From 12f3c29ceb400a4a49f3cb416c38bec4fb8d85f9 Mon Sep 17 00:00:00 2001 From: Leon Hiemstra <hiemstra@astron.nl> Date: Fri, 12 Mar 2021 19:38:37 +0100 Subject: [PATCH] unb2c ip --- .../ip_arria10_e2sg/clkbuf_global/hdllib.cfg | 2 +- .../ip_arria10_e2sg_clkbuf_global.ip | 256 + .../ip_arria10_e2sg_clkbuf_global.qsys | 73 - .../ip_arria10_e2sg/complex_mult/hdllib.cfg | 2 +- .../ip_arria10_e2sg_complex_mult.ip | 751 ++ .../ip_arria10_e2sg_complex_mult.qsys | 122 - .../ip_arria10_e2sg/ddio/hdllib.cfg | 5 +- .../ddio/ip_arria10_e2sg_ddio_in_1.ip | 630 + .../ddio/ip_arria10_e2sg_ddio_in_1.qsys | 108 - .../ddio/ip_arria10_e2sg_ddio_out_1.ip | 628 + .../ddio/ip_arria10_e2sg_ddio_out_1.qsys | 110 - .../ip_arria10_e2sg/ddr4_8g_1600/hdllib.cfg | 2 +- .../ip_arria10_e2sg_ddr4_8g_1600.ip | 10477 +++++++++++++++ .../ip_arria10_e2sg_ddr4_8g_1600.qsys | 1343 -- .../ip_arria10_e2sg/ddr4_8g_2400/hdllib.cfg | 2 +- .../ip_arria10_e2sg_ddr4_8g_2400.ip | 8698 +++++++++++++ .../ip_arria10_e2sg_ddr4_8g_2400.qsys | 1317 -- .../ip_arria10_e2sg/fifo/hdllib.cfg | 6 +- .../fifo/ip_arria10_e2sg_fifo_dc.ip | 654 + .../fifo/ip_arria10_e2sg_fifo_dc.qsys | 116 - .../ip_arria10_e2sg_fifo_dc_mixed_widths.ip | 654 + .../ip_arria10_e2sg_fifo_dc_mixed_widths.qsys | 116 - .../fifo/ip_arria10_e2sg_fifo_sc.ip | 606 + .../fifo/ip_arria10_e2sg_fifo_sc.qsys | 111 - .../flash/asmi_parallel/hdllib.cfg | 2 +- .../ip_arria10_e2sg_asmi_parallel.ip | 1337 ++ .../ip_arria10_e2sg_asmi_parallel.qsys | 175 - .../flash/remote_update/hdllib.cfg | 2 +- .../ip_arria10_e2sg_remote_update.ip | 842 ++ .../ip_arria10_e2sg_remote_update.qsys | 127 - .../fractional_pll_clk125/hdllib.cfg | 2 +- .../ip_arria10_e2sg_fractional_pll_clk125.ip | 3572 +++++ ...ip_arria10_e2sg_fractional_pll_clk125.qsys | 266 - .../fractional_pll_clk200/hdllib.cfg | 2 +- .../ip_arria10_e2sg_fractional_pll_clk200.ip | 3487 +++++ ...ip_arria10_e2sg_fractional_pll_clk200.qsys | 246 - .../ip_arria10_e2sg/jesd204b/compile_ip.tcl | 46 + .../ip_arria10_e2sg/jesd204b/hdllib.cfg | 16 +- .../jesd204b/ip_arria10_e2sg_jesd204b.vhd | 177 +- ...ip_arria10_e2sg_jesd204b_component_pkg.vhd | 96 + .../jesd204b/ip_arria10_e2sg_jesd204b_rx.ip | 3276 ----- .../jesd204b/ip_arria10_e2sg_jesd204b_rx.qsys | 1935 --- .../ip_arria10_e2sg_jesd204b_rx_200MHz.ip | 4037 ++++++ .../ip_arria10_e2sg_jesd204b_rx_core_pll.qsys | 572 - ...ria10_e2sg_jesd204b_rx_core_pll_200MHz.ip} | 230 +- .../ip_arria10_e2sg_jesd204b_rx_reset_seq.ip | 4578 +++---- ...ip_arria10_e2sg_jesd204b_rx_reset_seq.qsys | 1740 --- ..._e2sg_jesd204b_rx_xcvr_reset_control_12.ip | 1507 +-- ...2sg_jesd204b_rx_xcvr_reset_control_12.qsys | 639 - .../jesd204b/ip_arria10_e2sg_jesd204b_tx.ip | 4125 ++++++ .../ip_arria10_e2sg/mac_10g/hdllib.cfg | 2 +- .../mac_10g/ip_arria10_e2sg_mac_10g.ip | 2471 ++++ .../mac_10g/ip_arria10_e2sg_mac_10g.qsys | 272 - .../ip_arria10_e2sg/mult_add4/hdllib.cfg | 2 +- .../mult_add4/ip_arria10_e2sg_mult_add4.ip | 2380 ++++ .../mult_add4/ip_arria10_e2sg_mult_add4.qsys | 212 - .../ip_arria10_e2sg/phy_10gbase_r/hdllib.cfg | 2 +- .../ip_arria10_e2sg_phy_10gbase_r.ip | 10240 +++++++++++++++ .../ip_arria10_e2sg_phy_10gbase_r.qsys | 604 - .../phy_10gbase_r_12/hdllib.cfg | 2 +- .../ip_arria10_e2sg_phy_10gbase_r_12.ip | 10738 ++++++++++++++++ .../ip_arria10_e2sg_phy_10gbase_r_12.qsys | 627 - .../phy_10gbase_r_24/hdllib.cfg | 2 +- .../ip_arria10_e2sg_phy_10gbase_r_24.ip | 10738 ++++++++++++++++ .../ip_arria10_e2sg_phy_10gbase_r_24.qsys | 627 - .../phy_10gbase_r_3/hdllib.cfg | 2 +- .../ip_arria10_e2sg_phy_10gbase_r_3.ip | 10738 ++++++++++++++++ .../ip_arria10_e2sg_phy_10gbase_r_3.qsys | 627 - .../phy_10gbase_r_4/hdllib.cfg | 2 +- .../ip_arria10_e2sg_phy_10gbase_r_4.ip | 10738 ++++++++++++++++ .../ip_arria10_e2sg_phy_10gbase_r_4.qsys | 627 - .../phy_10gbase_r_48/hdllib.cfg | 2 +- .../ip_arria10_e2sg_phy_10gbase_r_48.ip | 10738 ++++++++++++++++ .../ip_arria10_e2sg_phy_10gbase_r_48.qsys | 627 - .../ip_arria10_e2sg/pll_clk125/hdllib.cfg | 2 +- .../pll_clk125/ip_arria10_e2sg_pll_clk125.ip | 4300 +++++++ .../ip_arria10_e2sg_pll_clk125.qsys | 376 - .../ip_arria10_e2sg/pll_clk200/hdllib.cfg | 2 +- .../pll_clk200/ip_arria10_e2sg_pll_clk200.ip | 4215 ++++++ .../ip_arria10_e2sg_pll_clk200.qsys | 360 - .../ip_arria10_e2sg/pll_clk25/hdllib.cfg | 2 +- .../pll_clk25/ip_arria10_e2sg_pll_clk25.ip | 4300 +++++++ .../pll_clk25/ip_arria10_e2sg_pll_clk25.qsys | 376 - .../pll_xgmii_mac_clocks/hdllib.cfg | 2 +- .../ip_arria10_e2sg_pll_xgmii_mac_clocks.ip | 3402 +++++ .../ip_arria10_e2sg_pll_xgmii_mac_clocks.qsys | 222 - .../ram/ip_arria10_e2sg_ram_cr_cw.ip | 921 ++ .../ram/ip_arria10_e2sg_ram_cr_cw.qsys | 145 - .../ram/ip_arria10_e2sg_ram_crw_crw.ip | 1124 ++ .../ram/ip_arria10_e2sg_ram_crw_crw.qsys | 154 - .../ram/ip_arria10_e2sg_ram_crwk_crw.ip | 1248 ++ .../ram/ip_arria10_e2sg_ram_crwk_crw.qsys | 200 - .../ram/ip_arria10_e2sg_ram_r_w.ip | 858 ++ .../ram/ip_arria10_e2sg_ram_r_w.qsys | 142 - .../ip_arria10_e2sg/temp_sense/hdllib.cfg | 2 +- .../temp_sense/ip_arria10_e2sg_temp_sense.ip | 396 + .../ip_arria10_e2sg_temp_sense.qsys | 87 - .../transceiver_pll_10g/hdllib.cfg | 2 +- .../ip_arria10_e2sg_transceiver_pll_10g.ip | 2521 ++++ .../ip_arria10_e2sg_transceiver_pll_10g.qsys | 221 - .../transceiver_reset_controller_1/hdllib.cfg | 2 +- ...a10_e2sg_transceiver_reset_controller_1.ip | 1110 ++ ...0_e2sg_transceiver_reset_controller_1.qsys | 173 - .../hdllib.cfg | 2 +- ...10_e2sg_transceiver_reset_controller_12.ip | 1164 ++ ..._e2sg_transceiver_reset_controller_12.qsys | 173 - .../hdllib.cfg | 2 +- ...10_e2sg_transceiver_reset_controller_24.ip | 1164 ++ ..._e2sg_transceiver_reset_controller_24.qsys | 173 - .../transceiver_reset_controller_3/hdllib.cfg | 2 +- ...a10_e2sg_transceiver_reset_controller_3.ip | 1164 ++ ...0_e2sg_transceiver_reset_controller_3.qsys | 173 - .../transceiver_reset_controller_4/hdllib.cfg | 2 +- ...a10_e2sg_transceiver_reset_controller_4.ip | 1164 ++ ...0_e2sg_transceiver_reset_controller_4.qsys | 173 - .../hdllib.cfg | 2 +- ...10_e2sg_transceiver_reset_controller_48.ip | 1164 ++ ..._e2sg_transceiver_reset_controller_48.qsys | 173 - .../ip_arria10_e2sg/tse_sgmii_gx/hdllib.cfg | 2 +- .../ip_arria10_e2sg_tse_sgmii_gx.ip | 3521 +++++ .../ip_arria10_e2sg_tse_sgmii_gx.qsys | 409 - .../ip_arria10_e2sg/tse_sgmii_lvds/hdllib.cfg | 2 +- .../ip_arria10_e2sg_tse_sgmii_lvds.ip | 2787 ++++ .../ip_arria10_e2sg_tse_sgmii_lvds.qsys | 325 - .../ip_arria10_e2sg/voltage_sense/hdllib.cfg | 2 +- .../ip_arria10_e2sg_voltage_sense.ip | 1062 ++ .../ip_arria10_e2sg_voltage_sense.qsys | 142 - 127 files changed, 150413 insertions(+), 24242 deletions(-) create mode 100644 libraries/technology/ip_arria10_e2sg/clkbuf_global/ip_arria10_e2sg_clkbuf_global.ip delete mode 100644 libraries/technology/ip_arria10_e2sg/clkbuf_global/ip_arria10_e2sg_clkbuf_global.qsys create mode 100644 libraries/technology/ip_arria10_e2sg/complex_mult/ip_arria10_e2sg_complex_mult.ip delete mode 100644 libraries/technology/ip_arria10_e2sg/complex_mult/ip_arria10_e2sg_complex_mult.qsys create mode 100644 libraries/technology/ip_arria10_e2sg/ddio/ip_arria10_e2sg_ddio_in_1.ip delete mode 100644 libraries/technology/ip_arria10_e2sg/ddio/ip_arria10_e2sg_ddio_in_1.qsys create mode 100644 libraries/technology/ip_arria10_e2sg/ddio/ip_arria10_e2sg_ddio_out_1.ip delete mode 100644 libraries/technology/ip_arria10_e2sg/ddio/ip_arria10_e2sg_ddio_out_1.qsys create mode 100644 libraries/technology/ip_arria10_e2sg/ddr4_8g_1600/ip_arria10_e2sg_ddr4_8g_1600.ip delete mode 100644 libraries/technology/ip_arria10_e2sg/ddr4_8g_1600/ip_arria10_e2sg_ddr4_8g_1600.qsys create mode 100644 libraries/technology/ip_arria10_e2sg/ddr4_8g_2400/ip_arria10_e2sg_ddr4_8g_2400.ip delete mode 100644 libraries/technology/ip_arria10_e2sg/ddr4_8g_2400/ip_arria10_e2sg_ddr4_8g_2400.qsys create mode 100644 libraries/technology/ip_arria10_e2sg/fifo/ip_arria10_e2sg_fifo_dc.ip delete mode 100644 libraries/technology/ip_arria10_e2sg/fifo/ip_arria10_e2sg_fifo_dc.qsys create mode 100644 libraries/technology/ip_arria10_e2sg/fifo/ip_arria10_e2sg_fifo_dc_mixed_widths.ip delete mode 100644 libraries/technology/ip_arria10_e2sg/fifo/ip_arria10_e2sg_fifo_dc_mixed_widths.qsys create mode 100644 libraries/technology/ip_arria10_e2sg/fifo/ip_arria10_e2sg_fifo_sc.ip delete mode 100644 libraries/technology/ip_arria10_e2sg/fifo/ip_arria10_e2sg_fifo_sc.qsys create mode 100644 libraries/technology/ip_arria10_e2sg/flash/asmi_parallel/ip_arria10_e2sg_asmi_parallel.ip delete mode 100644 libraries/technology/ip_arria10_e2sg/flash/asmi_parallel/ip_arria10_e2sg_asmi_parallel.qsys create mode 100644 libraries/technology/ip_arria10_e2sg/flash/remote_update/ip_arria10_e2sg_remote_update.ip delete mode 100644 libraries/technology/ip_arria10_e2sg/flash/remote_update/ip_arria10_e2sg_remote_update.qsys create mode 100644 libraries/technology/ip_arria10_e2sg/fractional_pll_clk125/ip_arria10_e2sg_fractional_pll_clk125.ip delete mode 100644 libraries/technology/ip_arria10_e2sg/fractional_pll_clk125/ip_arria10_e2sg_fractional_pll_clk125.qsys create mode 100644 libraries/technology/ip_arria10_e2sg/fractional_pll_clk200/ip_arria10_e2sg_fractional_pll_clk200.ip delete mode 100644 libraries/technology/ip_arria10_e2sg/fractional_pll_clk200/ip_arria10_e2sg_fractional_pll_clk200.qsys create mode 100644 libraries/technology/ip_arria10_e2sg/jesd204b/compile_ip.tcl create mode 100644 libraries/technology/ip_arria10_e2sg/jesd204b/ip_arria10_e2sg_jesd204b_component_pkg.vhd delete mode 100644 libraries/technology/ip_arria10_e2sg/jesd204b/ip_arria10_e2sg_jesd204b_rx.ip delete mode 100644 libraries/technology/ip_arria10_e2sg/jesd204b/ip_arria10_e2sg_jesd204b_rx.qsys create mode 100644 libraries/technology/ip_arria10_e2sg/jesd204b/ip_arria10_e2sg_jesd204b_rx_200MHz.ip delete mode 100644 libraries/technology/ip_arria10_e2sg/jesd204b/ip_arria10_e2sg_jesd204b_rx_core_pll.qsys rename libraries/technology/ip_arria10_e2sg/jesd204b/{ip_arria10_e2sg_jesd204b_rx_core_pll.ip => ip_arria10_e2sg_jesd204b_rx_core_pll_200MHz.ip} (95%) delete mode 100644 libraries/technology/ip_arria10_e2sg/jesd204b/ip_arria10_e2sg_jesd204b_rx_reset_seq.qsys delete mode 100644 libraries/technology/ip_arria10_e2sg/jesd204b/ip_arria10_e2sg_jesd204b_rx_xcvr_reset_control_12.qsys create mode 100644 libraries/technology/ip_arria10_e2sg/jesd204b/ip_arria10_e2sg_jesd204b_tx.ip create mode 100644 libraries/technology/ip_arria10_e2sg/mac_10g/ip_arria10_e2sg_mac_10g.ip delete mode 100644 libraries/technology/ip_arria10_e2sg/mac_10g/ip_arria10_e2sg_mac_10g.qsys create mode 100644 libraries/technology/ip_arria10_e2sg/mult_add4/ip_arria10_e2sg_mult_add4.ip delete mode 100644 libraries/technology/ip_arria10_e2sg/mult_add4/ip_arria10_e2sg_mult_add4.qsys create mode 100644 libraries/technology/ip_arria10_e2sg/phy_10gbase_r/ip_arria10_e2sg_phy_10gbase_r.ip delete mode 100644 libraries/technology/ip_arria10_e2sg/phy_10gbase_r/ip_arria10_e2sg_phy_10gbase_r.qsys create mode 100644 libraries/technology/ip_arria10_e2sg/phy_10gbase_r_12/ip_arria10_e2sg_phy_10gbase_r_12.ip delete mode 100644 libraries/technology/ip_arria10_e2sg/phy_10gbase_r_12/ip_arria10_e2sg_phy_10gbase_r_12.qsys create mode 100644 libraries/technology/ip_arria10_e2sg/phy_10gbase_r_24/ip_arria10_e2sg_phy_10gbase_r_24.ip delete mode 100644 libraries/technology/ip_arria10_e2sg/phy_10gbase_r_24/ip_arria10_e2sg_phy_10gbase_r_24.qsys create mode 100644 libraries/technology/ip_arria10_e2sg/phy_10gbase_r_3/ip_arria10_e2sg_phy_10gbase_r_3.ip delete mode 100644 libraries/technology/ip_arria10_e2sg/phy_10gbase_r_3/ip_arria10_e2sg_phy_10gbase_r_3.qsys create mode 100644 libraries/technology/ip_arria10_e2sg/phy_10gbase_r_4/ip_arria10_e2sg_phy_10gbase_r_4.ip delete mode 100644 libraries/technology/ip_arria10_e2sg/phy_10gbase_r_4/ip_arria10_e2sg_phy_10gbase_r_4.qsys create mode 100644 libraries/technology/ip_arria10_e2sg/phy_10gbase_r_48/ip_arria10_e2sg_phy_10gbase_r_48.ip delete mode 100644 libraries/technology/ip_arria10_e2sg/phy_10gbase_r_48/ip_arria10_e2sg_phy_10gbase_r_48.qsys create mode 100644 libraries/technology/ip_arria10_e2sg/pll_clk125/ip_arria10_e2sg_pll_clk125.ip delete mode 100644 libraries/technology/ip_arria10_e2sg/pll_clk125/ip_arria10_e2sg_pll_clk125.qsys create mode 100644 libraries/technology/ip_arria10_e2sg/pll_clk200/ip_arria10_e2sg_pll_clk200.ip delete mode 100644 libraries/technology/ip_arria10_e2sg/pll_clk200/ip_arria10_e2sg_pll_clk200.qsys create mode 100644 libraries/technology/ip_arria10_e2sg/pll_clk25/ip_arria10_e2sg_pll_clk25.ip delete mode 100644 libraries/technology/ip_arria10_e2sg/pll_clk25/ip_arria10_e2sg_pll_clk25.qsys create mode 100644 libraries/technology/ip_arria10_e2sg/pll_xgmii_mac_clocks/ip_arria10_e2sg_pll_xgmii_mac_clocks.ip delete mode 100644 libraries/technology/ip_arria10_e2sg/pll_xgmii_mac_clocks/ip_arria10_e2sg_pll_xgmii_mac_clocks.qsys create mode 100644 libraries/technology/ip_arria10_e2sg/ram/ip_arria10_e2sg_ram_cr_cw.ip delete mode 100644 libraries/technology/ip_arria10_e2sg/ram/ip_arria10_e2sg_ram_cr_cw.qsys create mode 100644 libraries/technology/ip_arria10_e2sg/ram/ip_arria10_e2sg_ram_crw_crw.ip delete mode 100644 libraries/technology/ip_arria10_e2sg/ram/ip_arria10_e2sg_ram_crw_crw.qsys create mode 100644 libraries/technology/ip_arria10_e2sg/ram/ip_arria10_e2sg_ram_crwk_crw.ip delete mode 100644 libraries/technology/ip_arria10_e2sg/ram/ip_arria10_e2sg_ram_crwk_crw.qsys create mode 100644 libraries/technology/ip_arria10_e2sg/ram/ip_arria10_e2sg_ram_r_w.ip delete mode 100644 libraries/technology/ip_arria10_e2sg/ram/ip_arria10_e2sg_ram_r_w.qsys create mode 100644 libraries/technology/ip_arria10_e2sg/temp_sense/ip_arria10_e2sg_temp_sense.ip delete mode 100644 libraries/technology/ip_arria10_e2sg/temp_sense/ip_arria10_e2sg_temp_sense.qsys create mode 100644 libraries/technology/ip_arria10_e2sg/transceiver_pll_10g/ip_arria10_e2sg_transceiver_pll_10g.ip delete mode 100644 libraries/technology/ip_arria10_e2sg/transceiver_pll_10g/ip_arria10_e2sg_transceiver_pll_10g.qsys create mode 100644 libraries/technology/ip_arria10_e2sg/transceiver_reset_controller_1/ip_arria10_e2sg_transceiver_reset_controller_1.ip delete mode 100644 libraries/technology/ip_arria10_e2sg/transceiver_reset_controller_1/ip_arria10_e2sg_transceiver_reset_controller_1.qsys create mode 100644 libraries/technology/ip_arria10_e2sg/transceiver_reset_controller_12/ip_arria10_e2sg_transceiver_reset_controller_12.ip delete mode 100644 libraries/technology/ip_arria10_e2sg/transceiver_reset_controller_12/ip_arria10_e2sg_transceiver_reset_controller_12.qsys create mode 100644 libraries/technology/ip_arria10_e2sg/transceiver_reset_controller_24/ip_arria10_e2sg_transceiver_reset_controller_24.ip delete mode 100644 libraries/technology/ip_arria10_e2sg/transceiver_reset_controller_24/ip_arria10_e2sg_transceiver_reset_controller_24.qsys create mode 100644 libraries/technology/ip_arria10_e2sg/transceiver_reset_controller_3/ip_arria10_e2sg_transceiver_reset_controller_3.ip delete mode 100644 libraries/technology/ip_arria10_e2sg/transceiver_reset_controller_3/ip_arria10_e2sg_transceiver_reset_controller_3.qsys create mode 100644 libraries/technology/ip_arria10_e2sg/transceiver_reset_controller_4/ip_arria10_e2sg_transceiver_reset_controller_4.ip delete mode 100644 libraries/technology/ip_arria10_e2sg/transceiver_reset_controller_4/ip_arria10_e2sg_transceiver_reset_controller_4.qsys create mode 100644 libraries/technology/ip_arria10_e2sg/transceiver_reset_controller_48/ip_arria10_e2sg_transceiver_reset_controller_48.ip delete mode 100644 libraries/technology/ip_arria10_e2sg/transceiver_reset_controller_48/ip_arria10_e2sg_transceiver_reset_controller_48.qsys create mode 100644 libraries/technology/ip_arria10_e2sg/tse_sgmii_gx/ip_arria10_e2sg_tse_sgmii_gx.ip delete mode 100644 libraries/technology/ip_arria10_e2sg/tse_sgmii_gx/ip_arria10_e2sg_tse_sgmii_gx.qsys create mode 100644 libraries/technology/ip_arria10_e2sg/tse_sgmii_lvds/ip_arria10_e2sg_tse_sgmii_lvds.ip delete mode 100644 libraries/technology/ip_arria10_e2sg/tse_sgmii_lvds/ip_arria10_e2sg_tse_sgmii_lvds.qsys create mode 100644 libraries/technology/ip_arria10_e2sg/voltage_sense/ip_arria10_e2sg_voltage_sense.ip delete mode 100644 libraries/technology/ip_arria10_e2sg/voltage_sense/ip_arria10_e2sg_voltage_sense.qsys diff --git a/libraries/technology/ip_arria10_e2sg/clkbuf_global/hdllib.cfg b/libraries/technology/ip_arria10_e2sg/clkbuf_global/hdllib.cfg index c6a1565701..ca72ce9ecb 100644 --- a/libraries/technology/ip_arria10_e2sg/clkbuf_global/hdllib.cfg +++ b/libraries/technology/ip_arria10_e2sg/clkbuf_global/hdllib.cfg @@ -20,5 +20,5 @@ quartus_qip_files = [generate_ip_libs] qsys-generate_ip_files = - ip_arria10_e2sg_clkbuf_global.qsys + ip_arria10_e2sg_clkbuf_global.ip diff --git a/libraries/technology/ip_arria10_e2sg/clkbuf_global/ip_arria10_e2sg_clkbuf_global.ip b/libraries/technology/ip_arria10_e2sg/clkbuf_global/ip_arria10_e2sg_clkbuf_global.ip new file mode 100644 index 0000000000..8a0b1397e4 --- /dev/null +++ b/libraries/technology/ip_arria10_e2sg/clkbuf_global/ip_arria10_e2sg_clkbuf_global.ip @@ -0,0 +1,256 @@ +<?xml version="1.0" ?> +<ipxact:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact2014/extensions" xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"> + <ipxact:vendor>Intel Corporation</ipxact:vendor> + <ipxact:library>ip_arria10_e2sg_clkbuf_global</ipxact:library> + <ipxact:name>altclkctrl_0</ipxact:name> + <ipxact:version>19.1</ipxact:version> + <ipxact:busInterfaces> + <ipxact:busInterface> + <ipxact:name>altclkctrl_input</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>inclk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>inclk</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>altclkctrl_output</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>outclk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>outclk</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + </ipxact:busInterfaces> + <ipxact:model> + <ipxact:views> + <ipxact:view> + <ipxact:name>QUARTUS_SYNTH</ipxact:name> + <ipxact:envIdentifier>:quartus.altera.com:</ipxact:envIdentifier> + <ipxact:componentInstantiationRef>QUARTUS_SYNTH</ipxact:componentInstantiationRef> + </ipxact:view> + </ipxact:views> + <ipxact:instantiations> + <ipxact:componentInstantiation> + <ipxact:name>QUARTUS_SYNTH</ipxact:name> + <ipxact:moduleName>altclkctrl</ipxact:moduleName> + <ipxact:fileSetRef> + <ipxact:localName>QUARTUS_SYNTH</ipxact:localName> + </ipxact:fileSetRef> + </ipxact:componentInstantiation> + </ipxact:instantiations> + <ipxact:ports> + <ipxact:port> + <ipxact:name>inclk</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>outclk</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + </ipxact:ports> + </ipxact:model> + <ipxact:vendorExtensions> + <altera:entity_info> + <ipxact:vendor>Intel Corporation</ipxact:vendor> + <ipxact:library>ip_arria10_e2sg_clkbuf_global</ipxact:library> + <ipxact:name>altclkctrl</ipxact:name> + <ipxact:version>19.1</ipxact:version> + </altera:entity_info> + <altera:altera_module_parameters> + <ipxact:parameters> + <ipxact:parameter parameterId="DEVICE_FAMILY" type="string"> + <ipxact:name>DEVICE_FAMILY</ipxact:name> + <ipxact:displayName>Device Family</ipxact:displayName> + <ipxact:value>Arria 10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CLOCK_TYPE" type="int"> + <ipxact:name>CLOCK_TYPE</ipxact:name> + <ipxact:displayName>How do you want to use the ALTCLKCTRL?</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="NUMBER_OF_CLOCKS" type="int"> + <ipxact:name>NUMBER_OF_CLOCKS</ipxact:name> + <ipxact:displayName>How many clock inputs would you like?</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ENA_REGISTER_MODE" type="int"> + <ipxact:name>ENA_REGISTER_MODE</ipxact:name> + <ipxact:displayName>How do you want to register the 'ena' port?</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_USE_ENA" type="bit"> + <ipxact:name>GUI_USE_ENA</ipxact:name> + <ipxact:displayName>Create 'ena' port to enable or disable the clock network driven by this buffer?</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="USE_GLITCH_FREE_SWITCH_OVER_IMPLEMENTATION" type="bit"> + <ipxact:name>USE_GLITCH_FREE_SWITCH_OVER_IMPLEMENTATION</ipxact:name> + <ipxact:displayName>Ensure glitch-free switchover implementation</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_module_parameters> + <altera:altera_system_parameters> + <ipxact:parameters> + <ipxact:parameter parameterId="device" type="string"> + <ipxact:name>device</ipxact:name> + <ipxact:displayName>Device</ipxact:displayName> + <ipxact:value>10AX115U3F45E2SG</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="deviceFamily" type="string"> + <ipxact:name>deviceFamily</ipxact:name> + <ipxact:displayName>Device family</ipxact:displayName> + <ipxact:value>Arria 10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="deviceSpeedGrade" type="string"> + <ipxact:name>deviceSpeedGrade</ipxact:name> + <ipxact:displayName>Device Speed Grade</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="generationId" type="int"> + <ipxact:name>generationId</ipxact:name> + <ipxact:displayName>Generation Id</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="bonusData" type="string"> + <ipxact:name>bonusData</ipxact:name> + <ipxact:displayName>bonusData</ipxact:displayName> + <ipxact:value>bonusData +{ + element altclkctrl_0 + { + datum _sortIndex + { + value = "0"; + type = "int"; + } + } +} +</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hideFromIPCatalog" type="bit"> + <ipxact:name>hideFromIPCatalog</ipxact:name> + <ipxact:displayName>Hide from IP Catalog</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="lockedInterfaceDefinition" type="string"> + <ipxact:name>lockedInterfaceDefinition</ipxact:name> + <ipxact:displayName>lockedInterfaceDefinition</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="systemInfos" type="string"> + <ipxact:name>systemInfos</ipxact:name> + <ipxact:displayName>systemInfos</ipxact:displayName> + <ipxact:value><systemInfosDefinition> + <connPtSystemInfos/> +</systemInfosDefinition></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_system_parameters> + <altera:altera_interface_boundary> + <altera:interface_mapping altera:name="altclkctrl_input" altera:internal="altclkctrl_0.altclkctrl_input" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="inclk" altera:internal="inclk"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="altclkctrl_output" altera:internal="altclkctrl_0.altclkctrl_output" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="outclk" altera:internal="outclk"></altera:port_mapping> + </altera:interface_mapping> + </altera:altera_interface_boundary> + <altera:altera_has_warnings>false</altera:altera_has_warnings> + <altera:altera_has_errors>false</altera:altera_has_errors> + </ipxact:vendorExtensions> +</ipxact:component> \ No newline at end of file diff --git a/libraries/technology/ip_arria10_e2sg/clkbuf_global/ip_arria10_e2sg_clkbuf_global.qsys b/libraries/technology/ip_arria10_e2sg/clkbuf_global/ip_arria10_e2sg_clkbuf_global.qsys deleted file mode 100644 index d9cf6ed47e..0000000000 --- a/libraries/technology/ip_arria10_e2sg/clkbuf_global/ip_arria10_e2sg_clkbuf_global.qsys +++ /dev/null @@ -1,73 +0,0 @@ -<?xml version="1.0" encoding="UTF-8"?> -<system name="ip_arria10_e2sg_clkbuf_global"> - <component - name="$${FILENAME}" - displayName="$${FILENAME}" - version="1.0" - description="" - tags="AUTHORSHIP=Intel Corporation /// INTERNAL_COMPONENT=true" - categories="System" - tool="QsysStandard" /> - <parameter name="bonusData"><![CDATA[bonusData -{ - element $system - { - } - element altclkctrl_0 - { - datum _sortIndex - { - value = "0"; - type = "int"; - } - } -} -]]></parameter> - <parameter name="device" value="10AX115U3F45E2SG" /> - <parameter name="deviceFamily" value="Arria 10" /> - <parameter name="deviceSpeedGrade" value="1" /> - <parameter name="fabricMode" value="QSYS" /> - <parameter name="generateLegacySim" value="false" /> - <parameter name="generationId" value="0" /> - <parameter name="globalResetBus" value="false" /> - <parameter name="hdlLanguage" value="VERILOG" /> - <parameter name="hideFromIPCatalog" value="true" /> - <parameter name="lockedInterfaceDefinition" value="" /> - <parameter name="sopcBorderPoints" value="false" /> - <parameter name="systemHash" value="0" /> - <parameter name="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos/> -</systemInfosDefinition>]]></parameter> - <parameter name="systemScripts" value="" /> - <parameter name="testBenchDutName" value="" /> - <parameter name="timeStamp" value="0" /> - <parameter name="useTestBenchNamingPattern" value="false" /> - <instanceScript></instanceScript> - <interface - name="altclkctrl_input" - internal="altclkctrl_0.altclkctrl_input" - type="conduit" - dir="end"> - <port name="inclk" internal="inclk" /> - </interface> - <interface - name="altclkctrl_output" - internal="altclkctrl_0.altclkctrl_output" - type="conduit" - dir="end"> - <port name="outclk" internal="outclk" /> - </interface> - <module - name="altclkctrl_0" - kind="altclkctrl" - version="19.1" - enabled="1" - autoexport="1"> - <parameter name="CLOCK_TYPE" value="1" /> - <parameter name="DEVICE_FAMILY" value="Arria 10" /> - <parameter name="ENA_REGISTER_MODE" value="1" /> - <parameter name="GUI_USE_ENA" value="false" /> - <parameter name="NUMBER_OF_CLOCKS" value="1" /> - <parameter name="USE_GLITCH_FREE_SWITCH_OVER_IMPLEMENTATION" value="false" /> - </module> -</system> diff --git a/libraries/technology/ip_arria10_e2sg/complex_mult/hdllib.cfg b/libraries/technology/ip_arria10_e2sg/complex_mult/hdllib.cfg index 1e148136ba..03fcb206c2 100644 --- a/libraries/technology/ip_arria10_e2sg/complex_mult/hdllib.cfg +++ b/libraries/technology/ip_arria10_e2sg/complex_mult/hdllib.cfg @@ -20,5 +20,5 @@ quartus_qip_files = [generate_ip_libs] qsys-generate_ip_files = - ip_arria10_e2sg_complex_mult.qsys + ip_arria10_e2sg_complex_mult.ip diff --git a/libraries/technology/ip_arria10_e2sg/complex_mult/ip_arria10_e2sg_complex_mult.ip b/libraries/technology/ip_arria10_e2sg/complex_mult/ip_arria10_e2sg_complex_mult.ip new file mode 100644 index 0000000000..b3ddf4b7cc --- /dev/null +++ b/libraries/technology/ip_arria10_e2sg/complex_mult/ip_arria10_e2sg_complex_mult.ip @@ -0,0 +1,751 @@ +<?xml version="1.0" ?> +<ipxact:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact2014/extensions" xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"> + <ipxact:vendor>Intel Corporation</ipxact:vendor> + <ipxact:library>ip_arria10_e2sg_complex_mult</ipxact:library> + <ipxact:name>altmult_complex_0</ipxact:name> + <ipxact:version>19.1.0</ipxact:version> + <ipxact:busInterfaces> + <ipxact:busInterface> + <ipxact:name>dataa_real</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>dataa_real</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>dataa_real</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>dataa_imag</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>dataa_imag</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>dataa_imag</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>datab_real</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>datab_real</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>datab_real</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>datab_imag</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>datab_imag</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>datab_imag</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>result_real</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>result_real</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>result_real</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>result_imag</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>result_imag</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>result_imag</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>clock</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="clock" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>clock</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="clockRate" type="longint"> + <ipxact:name>clockRate</ipxact:name> + <ipxact:displayName>Clock rate</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="externallyDriven" type="bit"> + <ipxact:name>externallyDriven</ipxact:name> + <ipxact:displayName>Externally driven</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ptfSchematicName" type="string"> + <ipxact:name>ptfSchematicName</ipxact:name> + <ipxact:displayName>PTF schematic name</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>aclr</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="reset" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="reset" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>reset</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>aclr</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>Associated clock</ipxact:displayName> + <ipxact:value>clock</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="synchronousEdges" type="string"> + <ipxact:name>synchronousEdges</ipxact:name> + <ipxact:displayName>Synchronous edges</ipxact:displayName> + <ipxact:value>DEASSERT</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>ena</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>ena</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>ena</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + </ipxact:busInterfaces> + <ipxact:model> + <ipxact:views> + <ipxact:view> + <ipxact:name>QUARTUS_SYNTH</ipxact:name> + <ipxact:envIdentifier>:quartus.altera.com:</ipxact:envIdentifier> + <ipxact:componentInstantiationRef>QUARTUS_SYNTH</ipxact:componentInstantiationRef> + </ipxact:view> + </ipxact:views> + <ipxact:instantiations> + <ipxact:componentInstantiation> + <ipxact:name>QUARTUS_SYNTH</ipxact:name> + <ipxact:moduleName>altmult_complex</ipxact:moduleName> + <ipxact:fileSetRef> + <ipxact:localName>QUARTUS_SYNTH</ipxact:localName> + </ipxact:fileSetRef> + </ipxact:componentInstantiation> + </ipxact:instantiations> + <ipxact:ports> + <ipxact:port> + <ipxact:name>dataa_real</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>17</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>dataa_imag</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>17</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>datab_real</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>17</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>datab_imag</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>17</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>result_real</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>35</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>result_imag</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>35</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>clock</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>aclr</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>ena</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + </ipxact:ports> + </ipxact:model> + <ipxact:vendorExtensions> + <altera:entity_info> + <ipxact:vendor>Intel Corporation</ipxact:vendor> + <ipxact:library>ip_arria10_e2sg_complex_mult</ipxact:library> + <ipxact:name>altmult_complex</ipxact:name> + <ipxact:version>19.1.0</ipxact:version> + </altera:entity_info> + <altera:altera_module_parameters> + <ipxact:parameters> + <ipxact:parameter parameterId="DEVICE_FAMILY" type="string"> + <ipxact:name>DEVICE_FAMILY</ipxact:name> + <ipxact:displayName>Device family</ipxact:displayName> + <ipxact:value>Arria 10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CBX_AUTO_BLACKBOX" type="string"> + <ipxact:name>CBX_AUTO_BLACKBOX</ipxact:name> + <ipxact:displayName>CBX_AUTO_BLACKBOX</ipxact:displayName> + <ipxact:value>ALL</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="WIDTH_A" type="int"> + <ipxact:name>WIDTH_A</ipxact:name> + <ipxact:displayName>How wide should the A input buses be?</ipxact:displayName> + <ipxact:value>18</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="WIDTH_B" type="int"> + <ipxact:name>WIDTH_B</ipxact:name> + <ipxact:displayName>How wide should the B input buses be?</ipxact:displayName> + <ipxact:value>18</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="WIDTH_RESULT" type="int"> + <ipxact:name>WIDTH_RESULT</ipxact:name> + <ipxact:displayName>How wide should the 'result' output bus be?</ipxact:displayName> + <ipxact:value>36</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="REPRESENTATION_A" type="int"> + <ipxact:name>REPRESENTATION_A</ipxact:name> + <ipxact:displayName>What is the representation format for A inputs?</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="REPRESENTATION_B" type="int"> + <ipxact:name>REPRESENTATION_B</ipxact:name> + <ipxact:displayName>What is the representation format for B inputs?</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_DYNAMIC_COMPLEX" type="bit"> + <ipxact:name>GUI_DYNAMIC_COMPLEX</ipxact:name> + <ipxact:displayName>Dynamic Complex Mode</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="IMPLEMENTATION_STYLE" type="string"> + <ipxact:name>IMPLEMENTATION_STYLE</ipxact:name> + <ipxact:displayName>Which implementation style should be used?</ipxact:displayName> + <ipxact:value>AUTO</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PIPELINE" type="int"> + <ipxact:name>PIPELINE</ipxact:name> + <ipxact:displayName>Output latency</ipxact:displayName> + <ipxact:value>3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_CLEAR_TYPE" type="string"> + <ipxact:name>GUI_CLEAR_TYPE</ipxact:name> + <ipxact:displayName>Clear Signal Type</ipxact:displayName> + <ipxact:value>ACLR</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_USE_CLKEN" type="bit"> + <ipxact:name>GUI_USE_CLKEN</ipxact:name> + <ipxact:displayName>Create a Clock Enable input?</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_module_parameters> + <altera:altera_system_parameters> + <ipxact:parameters> + <ipxact:parameter parameterId="device" type="string"> + <ipxact:name>device</ipxact:name> + <ipxact:displayName>Device</ipxact:displayName> + <ipxact:value>10AX115U3F45E2SG</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="deviceFamily" type="string"> + <ipxact:name>deviceFamily</ipxact:name> + <ipxact:displayName>Device family</ipxact:displayName> + <ipxact:value>Arria 10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="deviceSpeedGrade" type="string"> + <ipxact:name>deviceSpeedGrade</ipxact:name> + <ipxact:displayName>Device Speed Grade</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="generationId" type="int"> + <ipxact:name>generationId</ipxact:name> + <ipxact:displayName>Generation Id</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="bonusData" type="string"> + <ipxact:name>bonusData</ipxact:name> + <ipxact:displayName>bonusData</ipxact:displayName> + <ipxact:value>bonusData +{ + element altmult_complex_0 + { + datum _sortIndex + { + value = "0"; + type = "int"; + } + } +} +</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hideFromIPCatalog" type="bit"> + <ipxact:name>hideFromIPCatalog</ipxact:name> + <ipxact:displayName>Hide from IP Catalog</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="lockedInterfaceDefinition" type="string"> + <ipxact:name>lockedInterfaceDefinition</ipxact:name> + <ipxact:displayName>lockedInterfaceDefinition</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="systemInfos" type="string"> + <ipxact:name>systemInfos</ipxact:name> + <ipxact:displayName>systemInfos</ipxact:displayName> + <ipxact:value><systemInfosDefinition> + <connPtSystemInfos/> +</systemInfosDefinition></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_system_parameters> + <altera:altera_interface_boundary> + <altera:interface_mapping altera:name="aclr" altera:internal="altmult_complex_0.aclr" altera:type="reset" altera:dir="end"> + <altera:port_mapping altera:name="aclr" altera:internal="aclr"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="clock" altera:internal="altmult_complex_0.clock" altera:type="clock" altera:dir="end"> + <altera:port_mapping altera:name="clock" altera:internal="clock"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="dataa_imag" altera:internal="altmult_complex_0.dataa_imag" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="dataa_imag" altera:internal="dataa_imag"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="dataa_real" altera:internal="altmult_complex_0.dataa_real" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="dataa_real" altera:internal="dataa_real"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="datab_imag" altera:internal="altmult_complex_0.datab_imag" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="datab_imag" altera:internal="datab_imag"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="datab_real" altera:internal="altmult_complex_0.datab_real" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="datab_real" altera:internal="datab_real"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="ena" altera:internal="altmult_complex_0.ena" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="ena" altera:internal="ena"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="result_imag" altera:internal="altmult_complex_0.result_imag" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="result_imag" altera:internal="result_imag"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="result_real" altera:internal="altmult_complex_0.result_real" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="result_real" altera:internal="result_real"></altera:port_mapping> + </altera:interface_mapping> + </altera:altera_interface_boundary> + <altera:altera_has_warnings>false</altera:altera_has_warnings> + <altera:altera_has_errors>false</altera:altera_has_errors> + </ipxact:vendorExtensions> +</ipxact:component> \ No newline at end of file diff --git a/libraries/technology/ip_arria10_e2sg/complex_mult/ip_arria10_e2sg_complex_mult.qsys b/libraries/technology/ip_arria10_e2sg/complex_mult/ip_arria10_e2sg_complex_mult.qsys deleted file mode 100644 index 1b6592957a..0000000000 --- a/libraries/technology/ip_arria10_e2sg/complex_mult/ip_arria10_e2sg_complex_mult.qsys +++ /dev/null @@ -1,122 +0,0 @@ -<?xml version="1.0" encoding="UTF-8"?> -<system name="ip_arria10_e2sg_complex_mult"> - <component - name="$${FILENAME}" - displayName="$${FILENAME}" - version="1.0" - description="" - tags="AUTHORSHIP=Intel Corporation /// INTERNAL_COMPONENT=true" - categories="System" - tool="QsysStandard" /> - <parameter name="bonusData"><![CDATA[bonusData -{ - element $system - { - } - element altmult_complex_0 - { - datum _sortIndex - { - value = "0"; - type = "int"; - } - } -} -]]></parameter> - <parameter name="device" value="10AX115U3F45E2SG" /> - <parameter name="deviceFamily" value="Arria 10" /> - <parameter name="deviceSpeedGrade" value="2" /> - <parameter name="fabricMode" value="QSYS" /> - <parameter name="generateLegacySim" value="false" /> - <parameter name="generationId" value="0" /> - <parameter name="globalResetBus" value="false" /> - <parameter name="hdlLanguage" value="VERILOG" /> - <parameter name="hideFromIPCatalog" value="true" /> - <parameter name="lockedInterfaceDefinition" value="" /> - <parameter name="sopcBorderPoints" value="false" /> - <parameter name="systemHash" value="0" /> - <parameter name="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos/> -</systemInfosDefinition>]]></parameter> - <parameter name="systemScripts" value="" /> - <parameter name="testBenchDutName" value="" /> - <parameter name="timeStamp" value="0" /> - <parameter name="useTestBenchNamingPattern" value="false" /> - <instanceScript></instanceScript> - <interface name="aclr" internal="altmult_complex_0.aclr" type="reset" dir="end"> - <port name="aclr" internal="aclr" /> - </interface> - <interface - name="clock" - internal="altmult_complex_0.clock" - type="clock" - dir="end"> - <port name="clock" internal="clock" /> - </interface> - <interface name="complex_input" internal="altmult_complex_0.complex_input" /> - <interface name="complex_output" internal="altmult_complex_0.complex_output" /> - <interface - name="dataa_imag" - internal="altmult_complex_0.dataa_imag" - type="conduit" - dir="end"> - <port name="dataa_imag" internal="dataa_imag" /> - </interface> - <interface - name="dataa_real" - internal="altmult_complex_0.dataa_real" - type="conduit" - dir="end"> - <port name="dataa_real" internal="dataa_real" /> - </interface> - <interface - name="datab_imag" - internal="altmult_complex_0.datab_imag" - type="conduit" - dir="end"> - <port name="datab_imag" internal="datab_imag" /> - </interface> - <interface - name="datab_real" - internal="altmult_complex_0.datab_real" - type="conduit" - dir="end"> - <port name="datab_real" internal="datab_real" /> - </interface> - <interface name="ena" internal="altmult_complex_0.ena" type="conduit" dir="end"> - <port name="ena" internal="ena" /> - </interface> - <interface - name="result_imag" - internal="altmult_complex_0.result_imag" - type="conduit" - dir="end"> - <port name="result_imag" internal="result_imag" /> - </interface> - <interface - name="result_real" - internal="altmult_complex_0.result_real" - type="conduit" - dir="end"> - <port name="result_real" internal="result_real" /> - </interface> - <module - name="altmult_complex_0" - kind="altmult_complex" - version="19.1.0" - enabled="1" - autoexport="1"> - <parameter name="CBX_AUTO_BLACKBOX" value="ALL" /> - <parameter name="DEVICE_FAMILY" value="Arria 10" /> - <parameter name="GUI_CLEAR_TYPE" value="ACLR" /> - <parameter name="GUI_DYNAMIC_COMPLEX" value="false" /> - <parameter name="GUI_USE_CLKEN" value="true" /> - <parameter name="IMPLEMENTATION_STYLE" value="AUTO" /> - <parameter name="PIPELINE" value="3" /> - <parameter name="REPRESENTATION_A" value="1" /> - <parameter name="REPRESENTATION_B" value="1" /> - <parameter name="WIDTH_A" value="18" /> - <parameter name="WIDTH_B" value="18" /> - <parameter name="WIDTH_RESULT" value="36" /> - </module> -</system> diff --git a/libraries/technology/ip_arria10_e2sg/ddio/hdllib.cfg b/libraries/technology/ip_arria10_e2sg/ddio/hdllib.cfg index 64f68201fe..de54715d17 100644 --- a/libraries/technology/ip_arria10_e2sg/ddio/hdllib.cfg +++ b/libraries/technology/ip_arria10_e2sg/ddio/hdllib.cfg @@ -23,6 +23,5 @@ quartus_qip_files = [generate_ip_libs] qsys-generate_ip_files = - ip_arria10_e2sg_ddio_in_1.qsys - ip_arria10_e2sg_ddio_out_1.qsys - + ip_arria10_e2sg_ddio_in_1.ip + ip_arria10_e2sg_ddio_out_1.ip diff --git a/libraries/technology/ip_arria10_e2sg/ddio/ip_arria10_e2sg_ddio_in_1.ip b/libraries/technology/ip_arria10_e2sg/ddio/ip_arria10_e2sg_ddio_in_1.ip new file mode 100644 index 0000000000..3d88bc07cc --- /dev/null +++ b/libraries/technology/ip_arria10_e2sg/ddio/ip_arria10_e2sg_ddio_in_1.ip @@ -0,0 +1,630 @@ +<?xml version="1.0" ?> +<ipxact:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact2014/extensions" xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"> + <ipxact:vendor>Intel Corporation</ipxact:vendor> + <ipxact:library>ip_arria10_e2sg_ddio_in_1</ipxact:library> + <ipxact:name>gpio_0</ipxact:name> + <ipxact:version>19.3.0</ipxact:version> + <ipxact:busInterfaces> + <ipxact:busInterface> + <ipxact:name>ck</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>export</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>ck</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>dataout_h</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>fragment</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>dataout_h</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>dataout_l</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>fragment</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>dataout_l</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>pad_in</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>export</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>datain</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>aclr</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>export</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>aclr</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + </ipxact:busInterfaces> + <ipxact:model> + <ipxact:views> + <ipxact:view> + <ipxact:name>QUARTUS_SYNTH</ipxact:name> + <ipxact:envIdentifier>:quartus.altera.com:</ipxact:envIdentifier> + <ipxact:componentInstantiationRef>QUARTUS_SYNTH</ipxact:componentInstantiationRef> + </ipxact:view> + </ipxact:views> + <ipxact:instantiations> + <ipxact:componentInstantiation> + <ipxact:name>QUARTUS_SYNTH</ipxact:name> + <ipxact:moduleName>altera_gpio</ipxact:moduleName> + <ipxact:fileSetRef> + <ipxact:localName>QUARTUS_SYNTH</ipxact:localName> + </ipxact:fileSetRef> + </ipxact:componentInstantiation> + </ipxact:instantiations> + <ipxact:ports> + <ipxact:port> + <ipxact:name>ck</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>dataout_h</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>dataout_l</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>datain</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>aclr</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + </ipxact:ports> + </ipxact:model> + <ipxact:vendorExtensions> + <altera:entity_info> + <ipxact:vendor>Intel Corporation</ipxact:vendor> + <ipxact:library>ip_arria10_e2sg_ddio_in_1</ipxact:library> + <ipxact:name>altera_gpio</ipxact:name> + <ipxact:version>19.3.0</ipxact:version> + </altera:entity_info> + <altera:altera_module_parameters> + <ipxact:parameters> + <ipxact:parameter parameterId="device_family" type="string"> + <ipxact:name>device_family</ipxact:name> + <ipxact:displayName>device_family</ipxact:displayName> + <ipxact:value>Arria 10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PIN_TYPE_GUI" type="string"> + <ipxact:name>PIN_TYPE_GUI</ipxact:name> + <ipxact:displayName>Data Direction</ipxact:displayName> + <ipxact:value>Input</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="SIZE" type="int"> + <ipxact:name>SIZE</ipxact:name> + <ipxact:displayName>Data width</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_enable_migratable_port_names" type="bit"> + <ipxact:name>gui_enable_migratable_port_names</ipxact:name> + <ipxact:displayName>Use legacy top-level port names</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_diff_buff" type="bit"> + <ipxact:name>gui_diff_buff</ipxact:name> + <ipxact:displayName>Use differential buffer</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_pseudo_diff" type="bit"> + <ipxact:name>gui_pseudo_diff</ipxact:name> + <ipxact:displayName>Use pseudo-differential buffer</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_pseudo_diff_off_shadow" type="bit"> + <ipxact:name>gui_pseudo_diff_off_shadow</ipxact:name> + <ipxact:displayName>Use pseudo-differential buffer</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_pseudo_diff_on_shadow" type="bit"> + <ipxact:name>gui_pseudo_diff_on_shadow</ipxact:name> + <ipxact:displayName>Use pseudo-differential buffer</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_bus_hold" type="bit"> + <ipxact:name>gui_bus_hold</ipxact:name> + <ipxact:displayName>Use bus-hold circuitry</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_bus_hold_shadow" type="bit"> + <ipxact:name>gui_bus_hold_shadow</ipxact:name> + <ipxact:displayName>Use bus-hold circuitry</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_open_drain" type="bit"> + <ipxact:name>gui_open_drain</ipxact:name> + <ipxact:displayName>Use open-drain output</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_open_drain_shadow" type="bit"> + <ipxact:name>gui_open_drain_shadow</ipxact:name> + <ipxact:displayName>Use open-drain output</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_use_oe" type="bit"> + <ipxact:name>gui_use_oe</ipxact:name> + <ipxact:displayName>Enable output enable port</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_use_oe_off_shadow" type="bit"> + <ipxact:name>gui_use_oe_off_shadow</ipxact:name> + <ipxact:displayName>Enable output enable port</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_use_oe_on_shadow" type="bit"> + <ipxact:name>gui_use_oe_on_shadow</ipxact:name> + <ipxact:displayName>Enable output enable port</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_enable_termination_ports" type="bit"> + <ipxact:name>gui_enable_termination_ports</ipxact:name> + <ipxact:displayName>Enable seriestermination/paralleltermination ports</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_enable_termination_ports_shadow" type="bit"> + <ipxact:name>gui_enable_termination_ports_shadow</ipxact:name> + <ipxact:displayName>Enable seriestermination/paralleltermination ports</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_io_reg_mode" type="string"> + <ipxact:name>gui_io_reg_mode</ipxact:name> + <ipxact:displayName>Register mode</ipxact:displayName> + <ipxact:value>DDIO</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_sreset_mode" type="string"> + <ipxact:name>gui_sreset_mode</ipxact:name> + <ipxact:displayName>Enable synchronous clear / preset port</ipxact:displayName> + <ipxact:value>None</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_sreset_mode_off_shadow" type="string"> + <ipxact:name>gui_sreset_mode_off_shadow</ipxact:name> + <ipxact:displayName>Enable synchronous clear / preset port</ipxact:displayName> + <ipxact:value>None</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_areset_mode" type="string"> + <ipxact:name>gui_areset_mode</ipxact:name> + <ipxact:displayName>Enable asynchronous clear / preset port</ipxact:displayName> + <ipxact:value>Clear</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_areset_mode_off_shadow" type="string"> + <ipxact:name>gui_areset_mode_off_shadow</ipxact:name> + <ipxact:displayName>Enable asynchronous clear / preset port</ipxact:displayName> + <ipxact:value>None</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_enable_cke" type="bit"> + <ipxact:name>gui_enable_cke</ipxact:name> + <ipxact:displayName>Enable clock enable port</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_enable_cke_off_shadow" type="bit"> + <ipxact:name>gui_enable_cke_off_shadow</ipxact:name> + <ipxact:displayName>Enable clock enable port</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_hr_logic" type="bit"> + <ipxact:name>gui_hr_logic</ipxact:name> + <ipxact:displayName>Half Rate Logic</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_separate_io_clks" type="bit"> + <ipxact:name>gui_separate_io_clks</ipxact:name> + <ipxact:displayName>Separate input/output Clocks</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_separate_io_clks_off_shadow" type="bit"> + <ipxact:name>gui_separate_io_clks_off_shadow</ipxact:name> + <ipxact:displayName>Separate input/output Clocks</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PIN_TYPE" type="string"> + <ipxact:name>PIN_TYPE</ipxact:name> + <ipxact:displayName>PIN_TYPE</ipxact:displayName> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="REGISTER_MODE" type="string"> + <ipxact:name>REGISTER_MODE</ipxact:name> + <ipxact:displayName>REGISTER_MODE</ipxact:displayName> + <ipxact:value>ddr</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="HALF_RATE" type="string"> + <ipxact:name>HALF_RATE</ipxact:name> + <ipxact:displayName>HALF_RATE</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="SEPARATE_I_O_CLOCKS" type="string"> + <ipxact:name>SEPARATE_I_O_CLOCKS</ipxact:name> + <ipxact:displayName>SEPARATE_I_O_CLOCKS</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BUFFER_TYPE" type="string"> + <ipxact:name>BUFFER_TYPE</ipxact:name> + <ipxact:displayName>BUFFER_TYPE</ipxact:displayName> + <ipxact:value>single-ended</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PSEUDO_DIFF" type="string"> + <ipxact:name>PSEUDO_DIFF</ipxact:name> + <ipxact:displayName>PSEUDO_DIFF</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ARESET_MODE" type="string"> + <ipxact:name>ARESET_MODE</ipxact:name> + <ipxact:displayName>ARESET_MODE</ipxact:displayName> + <ipxact:value>clear</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="SRESET_MODE" type="string"> + <ipxact:name>SRESET_MODE</ipxact:name> + <ipxact:displayName>SRESET_MODE</ipxact:displayName> + <ipxact:value>none</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="OPEN_DRAIN" type="string"> + <ipxact:name>OPEN_DRAIN</ipxact:name> + <ipxact:displayName>OPEN_DRAIN</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BUS_HOLD" type="string"> + <ipxact:name>BUS_HOLD</ipxact:name> + <ipxact:displayName>BUS_HOLD</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ENABLE_OE" type="string"> + <ipxact:name>ENABLE_OE</ipxact:name> + <ipxact:displayName>ENABLE_OE</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ENABLE_CKE" type="string"> + <ipxact:name>ENABLE_CKE</ipxact:name> + <ipxact:displayName>ENABLE_CKE</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ENABLE_TERM" type="string"> + <ipxact:name>ENABLE_TERM</ipxact:name> + <ipxact:displayName>ENABLE_TERM</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="SYS_INFO_DEVICE" type="string"> + <ipxact:name>SYS_INFO_DEVICE</ipxact:name> + <ipxact:displayName>SYS_INFO_DEVICE</ipxact:displayName> + <ipxact:value>10AX115U3F45E2SG</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="EXT_DRIVER_PARAM" type="bit"> + <ipxact:name>EXT_DRIVER_PARAM</ipxact:name> + <ipxact:displayName>EXT_DRIVER_PARAM</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GENERATE_SDC_FILE" type="bit"> + <ipxact:name>GENERATE_SDC_FILE</ipxact:name> + <ipxact:displayName>GENERATE_SDC_FILE</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="IP_MIGRATE_PORT_MAP_FILE" type="string"> + <ipxact:name>IP_MIGRATE_PORT_MAP_FILE</ipxact:name> + <ipxact:displayName>IP_MIGRATE_PORT_MAP_FILE</ipxact:displayName> + <ipxact:value>altddio_bidir_port_map.csv</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="AUTO_DEVICE_SPEEDGRADE" type="string"> + <ipxact:name>AUTO_DEVICE_SPEEDGRADE</ipxact:name> + <ipxact:displayName>Auto DEVICE_SPEEDGRADE</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_module_parameters> + <altera:altera_system_parameters> + <ipxact:parameters> + <ipxact:parameter parameterId="device" type="string"> + <ipxact:name>device</ipxact:name> + <ipxact:displayName>Device</ipxact:displayName> + <ipxact:value>10AX115U3F45E2SG</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="deviceFamily" type="string"> + <ipxact:name>deviceFamily</ipxact:name> + <ipxact:displayName>Device family</ipxact:displayName> + <ipxact:value>Arria 10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="deviceSpeedGrade" type="string"> + <ipxact:name>deviceSpeedGrade</ipxact:name> + <ipxact:displayName>Device Speed Grade</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="generationId" type="int"> + <ipxact:name>generationId</ipxact:name> + <ipxact:displayName>Generation Id</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="bonusData" type="string"> + <ipxact:name>bonusData</ipxact:name> + <ipxact:displayName>bonusData</ipxact:displayName> + <ipxact:value>bonusData +{ + element gpio_0 + { + datum _sortIndex + { + value = "0"; + type = "int"; + } + } +} +</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hideFromIPCatalog" type="bit"> + <ipxact:name>hideFromIPCatalog</ipxact:name> + <ipxact:displayName>Hide from IP Catalog</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="lockedInterfaceDefinition" type="string"> + <ipxact:name>lockedInterfaceDefinition</ipxact:name> + <ipxact:displayName>lockedInterfaceDefinition</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="systemInfos" type="string"> + <ipxact:name>systemInfos</ipxact:name> + <ipxact:displayName>systemInfos</ipxact:displayName> + <ipxact:value><systemInfosDefinition> + <connPtSystemInfos/> +</systemInfosDefinition></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_system_parameters> + <altera:altera_interface_boundary> + <altera:interface_mapping altera:name="aclr" altera:internal="gpio_0.aclr" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="aclr" altera:internal="aclr"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="ck" altera:internal="gpio_0.ck" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="ck" altera:internal="ck"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="dataout_h" altera:internal="gpio_0.dataout_h" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="dataout_h" altera:internal="dataout_h"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="dataout_l" altera:internal="gpio_0.dataout_l" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="dataout_l" altera:internal="dataout_l"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="din" altera:internal="gpio_0.din"></altera:interface_mapping> + <altera:interface_mapping altera:name="dout" altera:internal="gpio_0.dout"></altera:interface_mapping> + <altera:interface_mapping altera:name="pad_in" altera:internal="gpio_0.pad_in" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="datain" altera:internal="datain"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="pad_out" altera:internal="gpio_0.pad_out"></altera:interface_mapping> + </altera:altera_interface_boundary> + <altera:altera_has_warnings>false</altera:altera_has_warnings> + <altera:altera_has_errors>false</altera:altera_has_errors> + </ipxact:vendorExtensions> +</ipxact:component> \ No newline at end of file diff --git a/libraries/technology/ip_arria10_e2sg/ddio/ip_arria10_e2sg_ddio_in_1.qsys b/libraries/technology/ip_arria10_e2sg/ddio/ip_arria10_e2sg_ddio_in_1.qsys deleted file mode 100644 index 074f66f1b1..0000000000 --- a/libraries/technology/ip_arria10_e2sg/ddio/ip_arria10_e2sg_ddio_in_1.qsys +++ /dev/null @@ -1,108 +0,0 @@ -<?xml version="1.0" encoding="UTF-8"?> -<system name="ip_arria10_e2sg_ddio_in_1"> - <component - name="$${FILENAME}" - displayName="$${FILENAME}" - version="1.0" - description="" - tags="AUTHORSHIP=Intel Corporation" - categories="System" - tool="QsysStandard" /> - <parameter name="bonusData"><![CDATA[bonusData -{ - element $system - { - } - element ip_arria10_ddio_in_1 - { - datum _sortIndex - { - value = "0"; - type = "int"; - } - } -} -]]></parameter> - <parameter name="device" value="10AX115U3F45E2SG" /> - <parameter name="deviceFamily" value="Arria 10" /> - <parameter name="deviceSpeedGrade" value="2" /> - <parameter name="fabricMode" value="QSYS" /> - <parameter name="generateLegacySim" value="false" /> - <parameter name="generationId" value="0" /> - <parameter name="globalResetBus" value="false" /> - <parameter name="hdlLanguage" value="VERILOG" /> - <parameter name="hideFromIPCatalog" value="false" /> - <parameter name="lockedInterfaceDefinition" value="" /> - <parameter name="sopcBorderPoints" value="false" /> - <parameter name="systemHash" value="0" /> - <parameter name="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos/> -</systemInfosDefinition>]]></parameter> - <parameter name="systemScripts" value="" /> - <parameter name="testBenchDutName" value="" /> - <parameter name="timeStamp" value="0" /> - <parameter name="useTestBenchNamingPattern" value="false" /> - <instanceScript></instanceScript> - <interface - name="aclr" - internal="ip_arria10_ddio_in_1.aclr" - type="conduit" - dir="end"> - <port name="aclr" internal="aclr" /> - </interface> - <interface name="ck" internal="ip_arria10_ddio_in_1.ck" type="conduit" dir="end"> - <port name="inclock" internal="inclock" /> - </interface> - <interface - name="dataout_h" - internal="ip_arria10_ddio_in_1.dataout_h" - type="conduit" - dir="end"> - <port name="dataout_h" internal="dataout_h" /> - </interface> - <interface - name="dataout_l" - internal="ip_arria10_ddio_in_1.dataout_l" - type="conduit" - dir="end"> - <port name="dataout_l" internal="dataout_l" /> - </interface> - <interface name="din" internal="ip_arria10_ddio_in_1.din" /> - <interface name="dout" internal="ip_arria10_ddio_in_1.dout" /> - <interface - name="pad_in" - internal="ip_arria10_ddio_in_1.pad_in" - type="conduit" - dir="end"> - <port name="datain" internal="datain" /> - </interface> - <interface name="pad_out" internal="ip_arria10_ddio_in_1.pad_out" /> - <module - name="ip_arria10_ddio_in_1" - kind="altera_gpio" - version="19.3.0" - enabled="1" - autoexport="1"> - <parameter name="AUTO_DEVICE_SPEEDGRADE" value="2" /> - <parameter name="EXT_DRIVER_PARAM" value="false" /> - <parameter name="GENERATE_SDC_FILE" value="false" /> - <parameter name="IP_MIGRATE_PORT_MAP_FILE">altddio_in_port_map.csv</parameter> - <parameter name="PIN_TYPE_GUI" value="Input" /> - <parameter name="SIZE" value="1" /> - <parameter name="SYS_INFO_DEVICE" value="10AX115U3F45E2SG" /> - <parameter name="device_family" value="Arria 10" /> - <parameter name="gui_areset_mode" value="Clear" /> - <parameter name="gui_bus_hold" value="false" /> - <parameter name="gui_diff_buff" value="false" /> - <parameter name="gui_enable_cke" value="false" /> - <parameter name="gui_enable_migratable_port_names" value="true" /> - <parameter name="gui_enable_termination_ports" value="false" /> - <parameter name="gui_hr_logic" value="false" /> - <parameter name="gui_io_reg_mode" value="DDIO" /> - <parameter name="gui_open_drain" value="false" /> - <parameter name="gui_pseudo_diff" value="false" /> - <parameter name="gui_separate_io_clks" value="false" /> - <parameter name="gui_sreset_mode" value="None" /> - <parameter name="gui_use_oe" value="false" /> - </module> -</system> diff --git a/libraries/technology/ip_arria10_e2sg/ddio/ip_arria10_e2sg_ddio_out_1.ip b/libraries/technology/ip_arria10_e2sg/ddio/ip_arria10_e2sg_ddio_out_1.ip new file mode 100644 index 0000000000..d8ed37757f --- /dev/null +++ b/libraries/technology/ip_arria10_e2sg/ddio/ip_arria10_e2sg_ddio_out_1.ip @@ -0,0 +1,628 @@ +<?xml version="1.0" ?> +<ipxact:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact2014/extensions" xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"> + <ipxact:vendor>Intel Corporation</ipxact:vendor> + <ipxact:library>ip_arria10_e2sg_ddio_out_1</ipxact:library> + <ipxact:name>gpio_0</ipxact:name> + <ipxact:version>19.3.0</ipxact:version> + <ipxact:busInterfaces> + <ipxact:busInterface> + <ipxact:name>ck</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>export</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>ck</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>datain_h</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>fragment</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>datain_h</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>datain_l</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>fragment</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>datain_l</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>pad_out</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>export</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>dataout</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>aclr</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>export</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>aclr</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + </ipxact:busInterfaces> + <ipxact:model> + <ipxact:views> + <ipxact:view> + <ipxact:name>QUARTUS_SYNTH</ipxact:name> + <ipxact:envIdentifier>:quartus.altera.com:</ipxact:envIdentifier> + <ipxact:componentInstantiationRef>QUARTUS_SYNTH</ipxact:componentInstantiationRef> + </ipxact:view> + </ipxact:views> + <ipxact:instantiations> + <ipxact:componentInstantiation> + <ipxact:name>QUARTUS_SYNTH</ipxact:name> + <ipxact:moduleName>altera_gpio</ipxact:moduleName> + <ipxact:fileSetRef> + <ipxact:localName>QUARTUS_SYNTH</ipxact:localName> + </ipxact:fileSetRef> + </ipxact:componentInstantiation> + </ipxact:instantiations> + <ipxact:ports> + <ipxact:port> + <ipxact:name>ck</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>datain_h</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>datain_l</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>dataout</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>aclr</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + </ipxact:ports> + </ipxact:model> + <ipxact:vendorExtensions> + <altera:entity_info> + <ipxact:vendor>Intel Corporation</ipxact:vendor> + <ipxact:library>ip_arria10_e2sg_ddio_out_1</ipxact:library> + <ipxact:name>altera_gpio</ipxact:name> + <ipxact:version>19.3.0</ipxact:version> + </altera:entity_info> + <altera:altera_module_parameters> + <ipxact:parameters> + <ipxact:parameter parameterId="device_family" type="string"> + <ipxact:name>device_family</ipxact:name> + <ipxact:displayName>device_family</ipxact:displayName> + <ipxact:value>Arria 10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PIN_TYPE_GUI" type="string"> + <ipxact:name>PIN_TYPE_GUI</ipxact:name> + <ipxact:displayName>Data Direction</ipxact:displayName> + <ipxact:value>Output</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="SIZE" type="int"> + <ipxact:name>SIZE</ipxact:name> + <ipxact:displayName>Data width</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_enable_migratable_port_names" type="bit"> + <ipxact:name>gui_enable_migratable_port_names</ipxact:name> + <ipxact:displayName>Use legacy top-level port names</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_diff_buff" type="bit"> + <ipxact:name>gui_diff_buff</ipxact:name> + <ipxact:displayName>Use differential buffer</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_pseudo_diff" type="bit"> + <ipxact:name>gui_pseudo_diff</ipxact:name> + <ipxact:displayName>Use pseudo-differential buffer</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_pseudo_diff_off_shadow" type="bit"> + <ipxact:name>gui_pseudo_diff_off_shadow</ipxact:name> + <ipxact:displayName>Use pseudo-differential buffer</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_pseudo_diff_on_shadow" type="bit"> + <ipxact:name>gui_pseudo_diff_on_shadow</ipxact:name> + <ipxact:displayName>Use pseudo-differential buffer</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_bus_hold" type="bit"> + <ipxact:name>gui_bus_hold</ipxact:name> + <ipxact:displayName>Use bus-hold circuitry</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_bus_hold_shadow" type="bit"> + <ipxact:name>gui_bus_hold_shadow</ipxact:name> + <ipxact:displayName>Use bus-hold circuitry</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_open_drain" type="bit"> + <ipxact:name>gui_open_drain</ipxact:name> + <ipxact:displayName>Use open-drain output</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_open_drain_shadow" type="bit"> + <ipxact:name>gui_open_drain_shadow</ipxact:name> + <ipxact:displayName>Use open-drain output</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_use_oe" type="bit"> + <ipxact:name>gui_use_oe</ipxact:name> + <ipxact:displayName>Enable output enable port</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_use_oe_off_shadow" type="bit"> + <ipxact:name>gui_use_oe_off_shadow</ipxact:name> + <ipxact:displayName>Enable output enable port</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_use_oe_on_shadow" type="bit"> + <ipxact:name>gui_use_oe_on_shadow</ipxact:name> + <ipxact:displayName>Enable output enable port</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_enable_termination_ports" type="bit"> + <ipxact:name>gui_enable_termination_ports</ipxact:name> + <ipxact:displayName>Enable seriestermination/paralleltermination ports</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_enable_termination_ports_shadow" type="bit"> + <ipxact:name>gui_enable_termination_ports_shadow</ipxact:name> + <ipxact:displayName>Enable seriestermination/paralleltermination ports</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_io_reg_mode" type="string"> + <ipxact:name>gui_io_reg_mode</ipxact:name> + <ipxact:displayName>Register mode</ipxact:displayName> + <ipxact:value>DDIO</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_sreset_mode" type="string"> + <ipxact:name>gui_sreset_mode</ipxact:name> + <ipxact:displayName>Enable synchronous clear / preset port</ipxact:displayName> + <ipxact:value>None</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_sreset_mode_off_shadow" type="string"> + <ipxact:name>gui_sreset_mode_off_shadow</ipxact:name> + <ipxact:displayName>Enable synchronous clear / preset port</ipxact:displayName> + <ipxact:value>None</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_areset_mode" type="string"> + <ipxact:name>gui_areset_mode</ipxact:name> + <ipxact:displayName>Enable asynchronous clear / preset port</ipxact:displayName> + <ipxact:value>Clear</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_areset_mode_off_shadow" type="string"> + <ipxact:name>gui_areset_mode_off_shadow</ipxact:name> + <ipxact:displayName>Enable asynchronous clear / preset port</ipxact:displayName> + <ipxact:value>None</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_enable_cke" type="bit"> + <ipxact:name>gui_enable_cke</ipxact:name> + <ipxact:displayName>Enable clock enable port</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_enable_cke_off_shadow" type="bit"> + <ipxact:name>gui_enable_cke_off_shadow</ipxact:name> + <ipxact:displayName>Enable clock enable port</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_hr_logic" type="bit"> + <ipxact:name>gui_hr_logic</ipxact:name> + <ipxact:displayName>Half Rate Logic</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_separate_io_clks" type="bit"> + <ipxact:name>gui_separate_io_clks</ipxact:name> + <ipxact:displayName>Separate input/output Clocks</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_separate_io_clks_off_shadow" type="bit"> + <ipxact:name>gui_separate_io_clks_off_shadow</ipxact:name> + <ipxact:displayName>Separate input/output Clocks</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PIN_TYPE" type="string"> + <ipxact:name>PIN_TYPE</ipxact:name> + <ipxact:displayName>PIN_TYPE</ipxact:displayName> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="REGISTER_MODE" type="string"> + <ipxact:name>REGISTER_MODE</ipxact:name> + <ipxact:displayName>REGISTER_MODE</ipxact:displayName> + <ipxact:value>ddr</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="HALF_RATE" type="string"> + <ipxact:name>HALF_RATE</ipxact:name> + <ipxact:displayName>HALF_RATE</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="SEPARATE_I_O_CLOCKS" type="string"> + <ipxact:name>SEPARATE_I_O_CLOCKS</ipxact:name> + <ipxact:displayName>SEPARATE_I_O_CLOCKS</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BUFFER_TYPE" type="string"> + <ipxact:name>BUFFER_TYPE</ipxact:name> + <ipxact:displayName>BUFFER_TYPE</ipxact:displayName> + <ipxact:value>single-ended</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PSEUDO_DIFF" type="string"> + <ipxact:name>PSEUDO_DIFF</ipxact:name> + <ipxact:displayName>PSEUDO_DIFF</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ARESET_MODE" type="string"> + <ipxact:name>ARESET_MODE</ipxact:name> + <ipxact:displayName>ARESET_MODE</ipxact:displayName> + <ipxact:value>clear</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="SRESET_MODE" type="string"> + <ipxact:name>SRESET_MODE</ipxact:name> + <ipxact:displayName>SRESET_MODE</ipxact:displayName> + <ipxact:value>none</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="OPEN_DRAIN" type="string"> + <ipxact:name>OPEN_DRAIN</ipxact:name> + <ipxact:displayName>OPEN_DRAIN</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BUS_HOLD" type="string"> + <ipxact:name>BUS_HOLD</ipxact:name> + <ipxact:displayName>BUS_HOLD</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ENABLE_OE" type="string"> + <ipxact:name>ENABLE_OE</ipxact:name> + <ipxact:displayName>ENABLE_OE</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ENABLE_CKE" type="string"> + <ipxact:name>ENABLE_CKE</ipxact:name> + <ipxact:displayName>ENABLE_CKE</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ENABLE_TERM" type="string"> + <ipxact:name>ENABLE_TERM</ipxact:name> + <ipxact:displayName>ENABLE_TERM</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="SYS_INFO_DEVICE" type="string"> + <ipxact:name>SYS_INFO_DEVICE</ipxact:name> + <ipxact:displayName>SYS_INFO_DEVICE</ipxact:displayName> + <ipxact:value>10AX115U3F45E2SG</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="EXT_DRIVER_PARAM" type="bit"> + <ipxact:name>EXT_DRIVER_PARAM</ipxact:name> + <ipxact:displayName>EXT_DRIVER_PARAM</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GENERATE_SDC_FILE" type="bit"> + <ipxact:name>GENERATE_SDC_FILE</ipxact:name> + <ipxact:displayName>GENERATE_SDC_FILE</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="IP_MIGRATE_PORT_MAP_FILE" type="string"> + <ipxact:name>IP_MIGRATE_PORT_MAP_FILE</ipxact:name> + <ipxact:displayName>IP_MIGRATE_PORT_MAP_FILE</ipxact:displayName> + <ipxact:value>altddio_bidir_port_map.csv</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="AUTO_DEVICE_SPEEDGRADE" type="string"> + <ipxact:name>AUTO_DEVICE_SPEEDGRADE</ipxact:name> + <ipxact:displayName>Auto DEVICE_SPEEDGRADE</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_module_parameters> + <altera:altera_system_parameters> + <ipxact:parameters> + <ipxact:parameter parameterId="device" type="string"> + <ipxact:name>device</ipxact:name> + <ipxact:displayName>Device</ipxact:displayName> + <ipxact:value>10AX115U3F45E2SG</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="deviceFamily" type="string"> + <ipxact:name>deviceFamily</ipxact:name> + <ipxact:displayName>Device family</ipxact:displayName> + <ipxact:value>Arria 10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="deviceSpeedGrade" type="string"> + <ipxact:name>deviceSpeedGrade</ipxact:name> + <ipxact:displayName>Device Speed Grade</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="generationId" type="int"> + <ipxact:name>generationId</ipxact:name> + <ipxact:displayName>Generation Id</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="bonusData" type="string"> + <ipxact:name>bonusData</ipxact:name> + <ipxact:displayName>bonusData</ipxact:displayName> + <ipxact:value>bonusData +{ + element gpio_0 + { + datum _sortIndex + { + value = "0"; + type = "int"; + } + } +} +</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hideFromIPCatalog" type="bit"> + <ipxact:name>hideFromIPCatalog</ipxact:name> + <ipxact:displayName>Hide from IP Catalog</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="lockedInterfaceDefinition" type="string"> + <ipxact:name>lockedInterfaceDefinition</ipxact:name> + <ipxact:displayName>lockedInterfaceDefinition</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="systemInfos" type="string"> + <ipxact:name>systemInfos</ipxact:name> + <ipxact:displayName>systemInfos</ipxact:displayName> + <ipxact:value><systemInfosDefinition> + <connPtSystemInfos/> +</systemInfosDefinition></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_system_parameters> + <altera:altera_interface_boundary> + <altera:interface_mapping altera:name="aclr" altera:internal="gpio_0.aclr" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="aclr" altera:internal="aclr"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="ck" altera:internal="gpio_0.ck" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="ck" altera:internal="ck"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="datain_h" altera:internal="gpio_0.datain_h" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="datain_h" altera:internal="datain_h"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="datain_l" altera:internal="gpio_0.datain_l" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="datain_l" altera:internal="datain_l"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="din" altera:internal="gpio_0.din"></altera:interface_mapping> + <altera:interface_mapping altera:name="pad_out" altera:internal="gpio_0.pad_out" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="dataout" altera:internal="dataout"></altera:port_mapping> + </altera:interface_mapping> + </altera:altera_interface_boundary> + <altera:altera_has_warnings>false</altera:altera_has_warnings> + <altera:altera_has_errors>false</altera:altera_has_errors> + </ipxact:vendorExtensions> +</ipxact:component> \ No newline at end of file diff --git a/libraries/technology/ip_arria10_e2sg/ddio/ip_arria10_e2sg_ddio_out_1.qsys b/libraries/technology/ip_arria10_e2sg/ddio/ip_arria10_e2sg_ddio_out_1.qsys deleted file mode 100644 index f7a5da58c5..0000000000 --- a/libraries/technology/ip_arria10_e2sg/ddio/ip_arria10_e2sg_ddio_out_1.qsys +++ /dev/null @@ -1,110 +0,0 @@ -<?xml version="1.0" encoding="UTF-8"?> -<system name="ip_arria10_e2sg_ddio_out_1"> - <component - name="$${FILENAME}" - displayName="$${FILENAME}" - version="1.0" - description="" - tags="AUTHORSHIP=Intel Corporation" - categories="System" - tool="QsysStandard" /> - <parameter name="bonusData"><![CDATA[bonusData -{ - element $system - { - } - element ip_arria10_ddio_out_1 - { - datum _sortIndex - { - value = "0"; - type = "int"; - } - } -} -]]></parameter> - <parameter name="device" value="10AX115U3F45E2SG" /> - <parameter name="deviceFamily" value="Arria 10" /> - <parameter name="deviceSpeedGrade" value="2" /> - <parameter name="fabricMode" value="QSYS" /> - <parameter name="generateLegacySim" value="false" /> - <parameter name="generationId" value="0" /> - <parameter name="globalResetBus" value="false" /> - <parameter name="hdlLanguage" value="VERILOG" /> - <parameter name="hideFromIPCatalog" value="false" /> - <parameter name="lockedInterfaceDefinition" value="" /> - <parameter name="sopcBorderPoints" value="false" /> - <parameter name="systemHash" value="0" /> - <parameter name="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos/> -</systemInfosDefinition>]]></parameter> - <parameter name="systemScripts" value="" /> - <parameter name="testBenchDutName" value="" /> - <parameter name="timeStamp" value="0" /> - <parameter name="useTestBenchNamingPattern" value="false" /> - <instanceScript></instanceScript> - <interface - name="aclr" - internal="ip_arria10_ddio_out_1.aclr" - type="conduit" - dir="end"> - <port name="aclr" internal="aclr" /> - </interface> - <interface - name="ck" - internal="ip_arria10_ddio_out_1.ck" - type="conduit" - dir="end"> - <port name="outclock" internal="outclock" /> - </interface> - <interface - name="datain_h" - internal="ip_arria10_ddio_out_1.datain_h" - type="conduit" - dir="end"> - <port name="datain_h" internal="datain_h" /> - </interface> - <interface - name="datain_l" - internal="ip_arria10_ddio_out_1.datain_l" - type="conduit" - dir="end"> - <port name="datain_l" internal="datain_l" /> - </interface> - <interface name="din" internal="ip_arria10_ddio_out_1.din" /> - <interface - name="pad_out" - internal="ip_arria10_ddio_out_1.pad_out" - type="conduit" - dir="end"> - <port name="dataout" internal="dataout" /> - </interface> - <module - name="ip_arria10_ddio_out_1" - kind="altera_gpio" - version="19.3.0" - enabled="1" - autoexport="1"> - <parameter name="AUTO_DEVICE_SPEEDGRADE" value="2" /> - <parameter name="EXT_DRIVER_PARAM" value="false" /> - <parameter name="GENERATE_SDC_FILE" value="false" /> - <parameter name="IP_MIGRATE_PORT_MAP_FILE">altddio_out_port_map.csv</parameter> - <parameter name="PIN_TYPE_GUI" value="Output" /> - <parameter name="SIZE" value="1" /> - <parameter name="SYS_INFO_DEVICE" value="10AX115U3F45E2SG" /> - <parameter name="device_family" value="Arria 10" /> - <parameter name="gui_areset_mode" value="Clear" /> - <parameter name="gui_bus_hold" value="false" /> - <parameter name="gui_diff_buff" value="false" /> - <parameter name="gui_enable_cke" value="false" /> - <parameter name="gui_enable_migratable_port_names" value="true" /> - <parameter name="gui_enable_termination_ports" value="false" /> - <parameter name="gui_hr_logic" value="false" /> - <parameter name="gui_io_reg_mode" value="DDIO" /> - <parameter name="gui_open_drain" value="false" /> - <parameter name="gui_pseudo_diff" value="false" /> - <parameter name="gui_separate_io_clks" value="false" /> - <parameter name="gui_sreset_mode" value="None" /> - <parameter name="gui_use_oe" value="false" /> - </module> -</system> diff --git a/libraries/technology/ip_arria10_e2sg/ddr4_8g_1600/hdllib.cfg b/libraries/technology/ip_arria10_e2sg/ddr4_8g_1600/hdllib.cfg index 9aa77ca037..3dbe4f379e 100644 --- a/libraries/technology/ip_arria10_e2sg/ddr4_8g_1600/hdllib.cfg +++ b/libraries/technology/ip_arria10_e2sg/ddr4_8g_1600/hdllib.cfg @@ -21,5 +21,5 @@ quartus_qip_files = [generate_ip_libs] qsys-generate_ip_files = - ip_arria10_e2sg_ddr4_8g_1600.qsys + ip_arria10_e2sg_ddr4_8g_1600.ip diff --git a/libraries/technology/ip_arria10_e2sg/ddr4_8g_1600/ip_arria10_e2sg_ddr4_8g_1600.ip b/libraries/technology/ip_arria10_e2sg/ddr4_8g_1600/ip_arria10_e2sg_ddr4_8g_1600.ip new file mode 100644 index 0000000000..39363aac32 --- /dev/null +++ b/libraries/technology/ip_arria10_e2sg/ddr4_8g_1600/ip_arria10_e2sg_ddr4_8g_1600.ip @@ -0,0 +1,10477 @@ +<?xml version="1.0" ?> +<ipxact:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact2014/extensions" xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"> + <ipxact:vendor>Intel Corporation</ipxact:vendor> + <ipxact:library>ip_arria10_e2sg_ddr4_8g_1600</ipxact:library> + <ipxact:name>emif_0</ipxact:name> + <ipxact:version>19.1.0</ipxact:version> + <ipxact:busInterfaces> + <ipxact:busInterface> + <ipxact:name>global_reset_n</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="reset" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="reset" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>reset_n</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>global_reset_n</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>Associated clock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="synchronousEdges" type="string"> + <ipxact:name>synchronousEdges</ipxact:name> + <ipxact:displayName>Synchronous edges</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>pll_ref_clk</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="clock" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>pll_ref_clk</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="clockRate" type="longint"> + <ipxact:name>clockRate</ipxact:name> + <ipxact:displayName>Clock rate</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="externallyDriven" type="bit"> + <ipxact:name>externallyDriven</ipxact:name> + <ipxact:displayName>Externally driven</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ptfSchematicName" type="string"> + <ipxact:name>ptfSchematicName</ipxact:name> + <ipxact:displayName>PTF schematic name</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>oct</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>oct_rzqin</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>oct_rzqin</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>mem</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>mem_ck</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>mem_ck</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>mem_ck_n</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>mem_ck_n</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>mem_a</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>mem_a</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>mem_act_n</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>mem_act_n</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>mem_ba</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>mem_ba</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>mem_bg</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>mem_bg</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>mem_cke</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>mem_cke</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>mem_cs_n</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>mem_cs_n</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>mem_odt</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>mem_odt</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>mem_reset_n</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>mem_reset_n</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>mem_par</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>mem_par</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>mem_alert_n</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>mem_alert_n</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>mem_dqs</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>mem_dqs</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>mem_dqs_n</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>mem_dqs_n</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>mem_dq</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>mem_dq</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>mem_dbi_n</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>mem_dbi_n</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>status</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>local_cal_success</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>local_cal_success</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>local_cal_fail</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>local_cal_fail</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>emif_usr_reset_n</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="reset" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="reset" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>reset_n</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>emif_usr_reset_n</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:master></ipxact:master> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>Associated clock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedDirectReset" type="string"> + <ipxact:name>associatedDirectReset</ipxact:name> + <ipxact:displayName>Associated direct reset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedResetSinks" type="string"> + <ipxact:name>associatedResetSinks</ipxact:name> + <ipxact:displayName>Associated reset sinks</ipxact:displayName> + <ipxact:value>global_reset_n</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="synchronousEdges" type="string"> + <ipxact:name>synchronousEdges</ipxact:name> + <ipxact:displayName>Synchronous edges</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>emif_usr_clk</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="clock" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>emif_usr_clk</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:master></ipxact:master> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedDirectClock" type="string"> + <ipxact:name>associatedDirectClock</ipxact:name> + <ipxact:displayName>Associated direct clock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clockRate" type="longint"> + <ipxact:name>clockRate</ipxact:name> + <ipxact:displayName>Clock rate</ipxact:displayName> + <ipxact:value>200000000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clockRateKnown" type="bit"> + <ipxact:name>clockRateKnown</ipxact:name> + <ipxact:displayName>Clock rate known</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="externallyDriven" type="bit"> + <ipxact:name>externallyDriven</ipxact:name> + <ipxact:displayName>Externally driven</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ptfSchematicName" type="string"> + <ipxact:name>ptfSchematicName</ipxact:name> + <ipxact:displayName>PTF schematic name</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>ctrl_amm_0</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="avalon" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="avalon" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>waitrequest_n</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>amm_ready_0</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>read</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>amm_read_0</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>write</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>amm_write_0</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>address</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>amm_address_0</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>readdata</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>amm_readdata_0</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>writedata</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>amm_writedata_0</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>burstcount</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>amm_burstcount_0</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>byteenable</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>amm_byteenable_0</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>readdatavalid</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>amm_readdatavalid_0</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="addressAlignment" type="string"> + <ipxact:name>addressAlignment</ipxact:name> + <ipxact:displayName>Slave addressing</ipxact:displayName> + <ipxact:value>DYNAMIC</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="addressGroup" type="int"> + <ipxact:name>addressGroup</ipxact:name> + <ipxact:displayName>Address group</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="addressSpan" type="string"> + <ipxact:name>addressSpan</ipxact:name> + <ipxact:displayName>Address span</ipxact:displayName> + <ipxact:value>9663676416</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="addressUnits" type="string"> + <ipxact:name>addressUnits</ipxact:name> + <ipxact:displayName>Address units</ipxact:displayName> + <ipxact:value>WORDS</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="alwaysBurstMaxBurst" type="bit"> + <ipxact:name>alwaysBurstMaxBurst</ipxact:name> + <ipxact:displayName>Always burst maximum burst</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>Associated clock</ipxact:displayName> + <ipxact:value>emif_usr_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>Associated reset</ipxact:displayName> + <ipxact:value>emif_usr_reset_n</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="bitsPerSymbol" type="int"> + <ipxact:name>bitsPerSymbol</ipxact:name> + <ipxact:displayName>Bits per symbol</ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="bridgedAddressOffset" type="string"> + <ipxact:name>bridgedAddressOffset</ipxact:name> + <ipxact:displayName>Bridged Address Offset</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="bridgesToMaster" type="string"> + <ipxact:name>bridgesToMaster</ipxact:name> + <ipxact:displayName>Bridges to master</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="burstOnBurstBoundariesOnly" type="bit"> + <ipxact:name>burstOnBurstBoundariesOnly</ipxact:name> + <ipxact:displayName>Burst on burst boundaries only</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="burstcountUnits" type="string"> + <ipxact:name>burstcountUnits</ipxact:name> + <ipxact:displayName>Burstcount units</ipxact:displayName> + <ipxact:value>WORDS</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="constantBurstBehavior" type="bit"> + <ipxact:name>constantBurstBehavior</ipxact:name> + <ipxact:displayName>Constant burst behavior</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="explicitAddressSpan" type="string"> + <ipxact:name>explicitAddressSpan</ipxact:name> + <ipxact:displayName>Explicit address span</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="holdTime" type="int"> + <ipxact:name>holdTime</ipxact:name> + <ipxact:displayName>Hold</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="interleaveBursts" type="bit"> + <ipxact:name>interleaveBursts</ipxact:name> + <ipxact:displayName>Interleave bursts</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="isBigEndian" type="bit"> + <ipxact:name>isBigEndian</ipxact:name> + <ipxact:displayName>Big endian</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="isFlash" type="bit"> + <ipxact:name>isFlash</ipxact:name> + <ipxact:displayName>Flash memory</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="isMemoryDevice" type="bit"> + <ipxact:name>isMemoryDevice</ipxact:name> + <ipxact:displayName>Memory device</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="isNonVolatileStorage" type="bit"> + <ipxact:name>isNonVolatileStorage</ipxact:name> + <ipxact:displayName>Non-volatile storage</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="linewrapBursts" type="bit"> + <ipxact:name>linewrapBursts</ipxact:name> + <ipxact:displayName>Linewrap bursts</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="maximumPendingReadTransactions" type="int"> + <ipxact:name>maximumPendingReadTransactions</ipxact:name> + <ipxact:displayName>Maximum pending read transactions</ipxact:displayName> + <ipxact:value>64</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="maximumPendingWriteTransactions" type="int"> + <ipxact:name>maximumPendingWriteTransactions</ipxact:name> + <ipxact:displayName>Maximum pending write transactions</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="minimumReadLatency" type="int"> + <ipxact:name>minimumReadLatency</ipxact:name> + <ipxact:displayName>minimumReadLatency</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="minimumResponseLatency" type="int"> + <ipxact:name>minimumResponseLatency</ipxact:name> + <ipxact:displayName>Minimum response latency</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="minimumUninterruptedRunLength" type="int"> + <ipxact:name>minimumUninterruptedRunLength</ipxact:name> + <ipxact:displayName>Minimum uninterrupted run length</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="printableDevice" type="bit"> + <ipxact:name>printableDevice</ipxact:name> + <ipxact:displayName>Can receive stdout/stderr</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="readLatency" type="int"> + <ipxact:name>readLatency</ipxact:name> + <ipxact:displayName>Read latency</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="readWaitStates" type="int"> + <ipxact:name>readWaitStates</ipxact:name> + <ipxact:displayName>Read wait states</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="readWaitTime" type="int"> + <ipxact:name>readWaitTime</ipxact:name> + <ipxact:displayName>Read wait</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="registerIncomingSignals" type="bit"> + <ipxact:name>registerIncomingSignals</ipxact:name> + <ipxact:displayName>Register incoming signals</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="registerOutgoingSignals" type="bit"> + <ipxact:name>registerOutgoingSignals</ipxact:name> + <ipxact:displayName>Register outgoing signals</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="setupTime" type="int"> + <ipxact:name>setupTime</ipxact:name> + <ipxact:displayName>Setup</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="timingUnits" type="string"> + <ipxact:name>timingUnits</ipxact:name> + <ipxact:displayName>Timing units</ipxact:displayName> + <ipxact:value>Cycles</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="transparentBridge" type="bit"> + <ipxact:name>transparentBridge</ipxact:name> + <ipxact:displayName>Transparent bridge</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="waitrequestAllowance" type="int"> + <ipxact:name>waitrequestAllowance</ipxact:name> + <ipxact:displayName>Waitrequest allowance</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="wellBehavedWaitrequest" type="bit"> + <ipxact:name>wellBehavedWaitrequest</ipxact:name> + <ipxact:displayName>Well-behaved waitrequest</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="writeLatency" type="int"> + <ipxact:name>writeLatency</ipxact:name> + <ipxact:displayName>Write latency</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="writeWaitStates" type="int"> + <ipxact:name>writeWaitStates</ipxact:name> + <ipxact:displayName>Write wait states</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="writeWaitTime" type="int"> + <ipxact:name>writeWaitTime</ipxact:name> + <ipxact:displayName>Write wait</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="embeddedsw.configuration.isFlash" type="string"> + <ipxact:name>embeddedsw.configuration.isFlash</ipxact:name> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="embeddedsw.configuration.isMemoryDevice" type="string"> + <ipxact:name>embeddedsw.configuration.isMemoryDevice</ipxact:name> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="embeddedsw.configuration.isNonVolatileStorage" type="string"> + <ipxact:name>embeddedsw.configuration.isNonVolatileStorage</ipxact:name> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="embeddedsw.configuration.isPrintableDevice" type="string"> + <ipxact:name>embeddedsw.configuration.isPrintableDevice</ipxact:name> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>ctrl_mmr_slave_0</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="avalon" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="avalon" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>waitrequest</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>mmr_slave_waitrequest_0</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>read</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>mmr_slave_read_0</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>write</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>mmr_slave_write_0</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>address</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>mmr_slave_address_0</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>readdata</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>mmr_slave_readdata_0</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>writedata</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>mmr_slave_writedata_0</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>burstcount</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>mmr_slave_burstcount_0</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>beginbursttransfer</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>mmr_slave_beginbursttransfer_0</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>readdatavalid</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>mmr_slave_readdatavalid_0</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="addressAlignment" type="string"> + <ipxact:name>addressAlignment</ipxact:name> + <ipxact:displayName>Slave addressing</ipxact:displayName> + <ipxact:value>DYNAMIC</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="addressGroup" type="int"> + <ipxact:name>addressGroup</ipxact:name> + <ipxact:displayName>Address group</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="addressSpan" type="string"> + <ipxact:name>addressSpan</ipxact:name> + <ipxact:displayName>Address span</ipxact:displayName> + <ipxact:value>4096</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="addressUnits" type="string"> + <ipxact:name>addressUnits</ipxact:name> + <ipxact:displayName>Address units</ipxact:displayName> + <ipxact:value>WORDS</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="alwaysBurstMaxBurst" type="bit"> + <ipxact:name>alwaysBurstMaxBurst</ipxact:name> + <ipxact:displayName>Always burst maximum burst</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>Associated clock</ipxact:displayName> + <ipxact:value>emif_usr_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>Associated reset</ipxact:displayName> + <ipxact:value>emif_usr_reset_n</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="bitsPerSymbol" type="int"> + <ipxact:name>bitsPerSymbol</ipxact:name> + <ipxact:displayName>Bits per symbol</ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="bridgedAddressOffset" type="string"> + <ipxact:name>bridgedAddressOffset</ipxact:name> + <ipxact:displayName>Bridged Address Offset</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="bridgesToMaster" type="string"> + <ipxact:name>bridgesToMaster</ipxact:name> + <ipxact:displayName>Bridges to master</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="burstOnBurstBoundariesOnly" type="bit"> + <ipxact:name>burstOnBurstBoundariesOnly</ipxact:name> + <ipxact:displayName>Burst on burst boundaries only</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="burstcountUnits" type="string"> + <ipxact:name>burstcountUnits</ipxact:name> + <ipxact:displayName>Burstcount units</ipxact:displayName> + <ipxact:value>WORDS</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="constantBurstBehavior" type="bit"> + <ipxact:name>constantBurstBehavior</ipxact:name> + <ipxact:displayName>Constant burst behavior</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="explicitAddressSpan" type="string"> + <ipxact:name>explicitAddressSpan</ipxact:name> + <ipxact:displayName>Explicit address span</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="holdTime" type="int"> + <ipxact:name>holdTime</ipxact:name> + <ipxact:displayName>Hold</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="interleaveBursts" type="bit"> + <ipxact:name>interleaveBursts</ipxact:name> + <ipxact:displayName>Interleave bursts</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="isBigEndian" type="bit"> + <ipxact:name>isBigEndian</ipxact:name> + <ipxact:displayName>Big endian</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="isFlash" type="bit"> + <ipxact:name>isFlash</ipxact:name> + <ipxact:displayName>Flash memory</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="isMemoryDevice" type="bit"> + <ipxact:name>isMemoryDevice</ipxact:name> + <ipxact:displayName>Memory device</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="isNonVolatileStorage" type="bit"> + <ipxact:name>isNonVolatileStorage</ipxact:name> + <ipxact:displayName>Non-volatile storage</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="linewrapBursts" type="bit"> + <ipxact:name>linewrapBursts</ipxact:name> + <ipxact:displayName>Linewrap bursts</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="maximumPendingReadTransactions" type="int"> + <ipxact:name>maximumPendingReadTransactions</ipxact:name> + <ipxact:displayName>Maximum pending read transactions</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="maximumPendingWriteTransactions" type="int"> + <ipxact:name>maximumPendingWriteTransactions</ipxact:name> + <ipxact:displayName>Maximum pending write transactions</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="minimumReadLatency" type="int"> + <ipxact:name>minimumReadLatency</ipxact:name> + <ipxact:displayName>minimumReadLatency</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="minimumResponseLatency" type="int"> + <ipxact:name>minimumResponseLatency</ipxact:name> + <ipxact:displayName>Minimum response latency</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="minimumUninterruptedRunLength" type="int"> + <ipxact:name>minimumUninterruptedRunLength</ipxact:name> + <ipxact:displayName>Minimum uninterrupted run length</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="printableDevice" type="bit"> + <ipxact:name>printableDevice</ipxact:name> + <ipxact:displayName>Can receive stdout/stderr</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="readLatency" type="int"> + <ipxact:name>readLatency</ipxact:name> + <ipxact:displayName>Read latency</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="readWaitStates" type="int"> + <ipxact:name>readWaitStates</ipxact:name> + <ipxact:displayName>Read wait states</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="readWaitTime" type="int"> + <ipxact:name>readWaitTime</ipxact:name> + <ipxact:displayName>Read wait</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="registerIncomingSignals" type="bit"> + <ipxact:name>registerIncomingSignals</ipxact:name> + <ipxact:displayName>Register incoming signals</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="registerOutgoingSignals" type="bit"> + <ipxact:name>registerOutgoingSignals</ipxact:name> + <ipxact:displayName>Register outgoing signals</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="setupTime" type="int"> + <ipxact:name>setupTime</ipxact:name> + <ipxact:displayName>Setup</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="timingUnits" type="string"> + <ipxact:name>timingUnits</ipxact:name> + <ipxact:displayName>Timing units</ipxact:displayName> + <ipxact:value>Cycles</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="transparentBridge" type="bit"> + <ipxact:name>transparentBridge</ipxact:name> + <ipxact:displayName>Transparent bridge</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="waitrequestAllowance" type="int"> + <ipxact:name>waitrequestAllowance</ipxact:name> + <ipxact:displayName>Waitrequest allowance</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="wellBehavedWaitrequest" type="bit"> + <ipxact:name>wellBehavedWaitrequest</ipxact:name> + <ipxact:displayName>Well-behaved waitrequest</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="writeLatency" type="int"> + <ipxact:name>writeLatency</ipxact:name> + <ipxact:displayName>Write latency</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="writeWaitStates" type="int"> + <ipxact:name>writeWaitStates</ipxact:name> + <ipxact:displayName>Write wait states</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="writeWaitTime" type="int"> + <ipxact:name>writeWaitTime</ipxact:name> + <ipxact:displayName>Write wait</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="embeddedsw.configuration.isFlash" type="string"> + <ipxact:name>embeddedsw.configuration.isFlash</ipxact:name> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="embeddedsw.configuration.isMemoryDevice" type="string"> + <ipxact:name>embeddedsw.configuration.isMemoryDevice</ipxact:name> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="embeddedsw.configuration.isNonVolatileStorage" type="string"> + <ipxact:name>embeddedsw.configuration.isNonVolatileStorage</ipxact:name> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="embeddedsw.configuration.isPrintableDevice" type="string"> + <ipxact:name>embeddedsw.configuration.isPrintableDevice</ipxact:name> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + </ipxact:busInterfaces> + <ipxact:model> + <ipxact:views> + <ipxact:view> + <ipxact:name>QUARTUS_SYNTH</ipxact:name> + <ipxact:envIdentifier>:quartus.altera.com:</ipxact:envIdentifier> + <ipxact:componentInstantiationRef>QUARTUS_SYNTH</ipxact:componentInstantiationRef> + </ipxact:view> + </ipxact:views> + <ipxact:instantiations> + <ipxact:componentInstantiation> + <ipxact:name>QUARTUS_SYNTH</ipxact:name> + <ipxact:moduleName>altera_emif</ipxact:moduleName> + <ipxact:fileSetRef> + <ipxact:localName>QUARTUS_SYNTH</ipxact:localName> + </ipxact:fileSetRef> + </ipxact:componentInstantiation> + </ipxact:instantiations> + <ipxact:ports> + <ipxact:port> + <ipxact:name>global_reset_n</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>pll_ref_clk</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>oct_rzqin</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>mem_ck</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>1</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>mem_ck_n</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>1</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>mem_a</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>16</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>mem_act_n</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>mem_ba</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>1</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>mem_bg</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>1</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>mem_cke</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>1</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>mem_cs_n</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>1</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>mem_odt</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>1</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>mem_reset_n</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>mem_par</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>mem_alert_n</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>mem_dqs</ipxact:name> + <ipxact:wire> + <ipxact:direction>inout</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>8</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>mem_dqs_n</ipxact:name> + <ipxact:wire> + <ipxact:direction>inout</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>8</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>mem_dq</ipxact:name> + <ipxact:wire> + <ipxact:direction>inout</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>71</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>mem_dbi_n</ipxact:name> + <ipxact:wire> + <ipxact:direction>inout</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>8</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>local_cal_success</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>local_cal_fail</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>emif_usr_reset_n</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>emif_usr_clk</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>amm_ready_0</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>amm_read_0</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>amm_write_0</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>amm_address_0</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>26</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>amm_readdata_0</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>575</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>amm_writedata_0</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>575</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>amm_burstcount_0</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>6</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>amm_byteenable_0</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>71</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>amm_readdatavalid_0</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>mmr_slave_waitrequest_0</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>mmr_slave_read_0</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>mmr_slave_write_0</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>mmr_slave_address_0</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>9</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>mmr_slave_readdata_0</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>31</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>mmr_slave_writedata_0</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>31</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>mmr_slave_burstcount_0</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>1</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>mmr_slave_beginbursttransfer_0</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>mmr_slave_readdatavalid_0</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + </ipxact:ports> + </ipxact:model> + <ipxact:vendorExtensions> + <altera:entity_info> + <ipxact:vendor>Intel Corporation</ipxact:vendor> + <ipxact:library>ip_arria10_e2sg_ddr4_8g_1600</ipxact:library> + <ipxact:name>altera_emif</ipxact:name> + <ipxact:version>19.1.0</ipxact:version> + </altera:entity_info> + <altera:altera_module_parameters> + <ipxact:parameters> + <ipxact:parameter parameterId="SYS_INFO_DEVICE_FAMILY" type="string"> + <ipxact:name>SYS_INFO_DEVICE_FAMILY</ipxact:name> + <ipxact:displayName>PARAM_SYS_INFO_DEVICE_FAMILY_NAME</ipxact:displayName> + <ipxact:value>Arria 10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="SYS_INFO_DEVICE" type="string"> + <ipxact:name>SYS_INFO_DEVICE</ipxact:name> + <ipxact:displayName>PARAM_SYS_INFO_DEVICE_NAME</ipxact:displayName> + <ipxact:value>10AX115U3F45E2SG</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="SYS_INFO_DEVICE_SPEEDGRADE" type="string"> + <ipxact:name>SYS_INFO_DEVICE_SPEEDGRADE</ipxact:name> + <ipxact:displayName>PARAM_SYS_INFO_DEVICE_SPEEDGRADE_NAME</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="SYS_INFO_DEVICE_TEMPERATURE_GRADE" type="string"> + <ipxact:name>SYS_INFO_DEVICE_TEMPERATURE_GRADE</ipxact:name> + <ipxact:displayName>PARAM_SYS_INFO_DEVICE_TEMPERATURE_GRADE_NAME</ipxact:displayName> + <ipxact:value>EXTENDED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="SYS_INFO_DEVICE_POWER_MODEL" type="string"> + <ipxact:name>SYS_INFO_DEVICE_POWER_MODEL</ipxact:name> + <ipxact:displayName>PARAM_SYS_INFO_DEVICE_POWER_MODEL_NAME</ipxact:displayName> + <ipxact:value>STANDARD</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="SYS_INFO_DEVICE_DIE_REVISIONS" type="string"> + <ipxact:name>SYS_INFO_DEVICE_DIE_REVISIONS</ipxact:name> + <ipxact:displayName>PARAM_SYS_INFO_DEVICE_DIE_REVISIONS_NAME</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="FAMILY_ENUM" type="string"> + <ipxact:name>FAMILY_ENUM</ipxact:name> + <ipxact:displayName>PARAM_FAMILY_ENUM_NAME</ipxact:displayName> + <ipxact:value>FAMILY_ARRIA10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="TRAIT_SUPPORTS_VID" type="string"> + <ipxact:name>TRAIT_SUPPORTS_VID</ipxact:name> + <ipxact:displayName>PARAM_TRAIT_SUPPORTS_VID_NAME</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PROTOCOL_ENUM" type="string"> + <ipxact:name>PROTOCOL_ENUM</ipxact:name> + <ipxact:displayName>Protocol</ipxact:displayName> + <ipxact:value>PROTOCOL_DDR4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="IS_ED_SLAVE" type="bit"> + <ipxact:name>IS_ED_SLAVE</ipxact:name> + <ipxact:displayName>PARAM_IS_ED_SLAVE_NAME</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="INTERNAL_TESTING_MODE" type="bit"> + <ipxact:name>INTERNAL_TESTING_MODE</ipxact:name> + <ipxact:displayName>PARAM_INTERNAL_TESTING_MODE_NAME</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CAL_DEBUG_CLOCK_FREQUENCY" type="longint"> + <ipxact:name>CAL_DEBUG_CLOCK_FREQUENCY</ipxact:name> + <ipxact:displayName>PARAM_CAL_DEBUG_CLOCK_FREQUENCY_NAME</ipxact:displayName> + <ipxact:value>50000000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="SYS_INFO_UNIQUE_ID" type="string"> + <ipxact:name>SYS_INFO_UNIQUE_ID</ipxact:name> + <ipxact:displayName>PARAM_SYS_INFO_UNIQUE_ID_NAME</ipxact:displayName> + <ipxact:value>ip_arria10_e2sg_ddr4_8g_1600_emif_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PREV_PROTOCOL_ENUM" type="string"> + <ipxact:name>PREV_PROTOCOL_ENUM</ipxact:name> + <ipxact:displayName>PARAM_PREV_PROTOCOL_ENUM_NAME</ipxact:displayName> + <ipxact:value>PROTOCOL_DDR4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_FPGA_SPEEDGRADE_GUI" type="string"> + <ipxact:name>PHY_FPGA_SPEEDGRADE_GUI</ipxact:name> + <ipxact:displayName>Speed grade</ipxact:displayName> + <ipxact:value>E2 (Production) - change device under 'View'->'Device Family'</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_TARGET_SPEEDGRADE" type="string"> + <ipxact:name>PHY_TARGET_SPEEDGRADE</ipxact:name> + <ipxact:displayName>PARAM_PHY_TARGET_SPEEDGRADE_NAME</ipxact:displayName> + <ipxact:value>E2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_TARGET_IS_ES" type="bit"> + <ipxact:name>PHY_TARGET_IS_ES</ipxact:name> + <ipxact:displayName>PARAM_PHY_TARGET_IS_ES_NAME</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_TARGET_IS_ES2" type="bit"> + <ipxact:name>PHY_TARGET_IS_ES2</ipxact:name> + <ipxact:displayName>PARAM_PHY_TARGET_IS_ES2_NAME</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_TARGET_IS_ES3" type="bit"> + <ipxact:name>PHY_TARGET_IS_ES3</ipxact:name> + <ipxact:displayName>PARAM_PHY_TARGET_IS_ES3_NAME</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_TARGET_IS_PRODUCTION" type="bit"> + <ipxact:name>PHY_TARGET_IS_PRODUCTION</ipxact:name> + <ipxact:displayName>PARAM_PHY_TARGET_IS_PRODUCTION_NAME</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_CONFIG_ENUM" type="string"> + <ipxact:name>PHY_CONFIG_ENUM</ipxact:name> + <ipxact:displayName>Configuration</ipxact:displayName> + <ipxact:value>CONFIG_PHY_AND_HARD_CTRL</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_PING_PONG_EN" type="bit"> + <ipxact:name>PHY_PING_PONG_EN</ipxact:name> + <ipxact:displayName>Instantiate two controllers sharing a Ping Pong PHY</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_CLAMSHELL_EN" type="bit"> + <ipxact:name>PHY_CLAMSHELL_EN</ipxact:name> + <ipxact:displayName>Use clamshell layout </ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RATE_ENUM" type="string"> + <ipxact:name>PHY_RATE_ENUM</ipxact:name> + <ipxact:displayName>Clock rate of user logic</ipxact:displayName> + <ipxact:value>RATE_QUARTER</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_MEM_CLK_FREQ_MHZ" type="real"> + <ipxact:name>PHY_MEM_CLK_FREQ_MHZ</ipxact:name> + <ipxact:displayName>Memory clock frequency</ipxact:displayName> + <ipxact:value>800.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_REF_CLK_FREQ_MHZ" type="real"> + <ipxact:name>PHY_REF_CLK_FREQ_MHZ</ipxact:name> + <ipxact:displayName>PLL reference clock frequency</ipxact:displayName> + <ipxact:value>25.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_REF_CLK_JITTER_PS" type="real"> + <ipxact:name>PHY_REF_CLK_JITTER_PS</ipxact:name> + <ipxact:displayName>PLL reference clock jitter</ipxact:displayName> + <ipxact:value>10.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DLL_CORE_UPDN_EN" type="bit"> + <ipxact:name>PHY_DLL_CORE_UPDN_EN</ipxact:name> + <ipxact:displayName>Use linear search for DLL lock</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_CORE_CLKS_SHARING_ENUM" type="string"> + <ipxact:name>PHY_CORE_CLKS_SHARING_ENUM</ipxact:name> + <ipxact:displayName>Core clocks sharing</ipxact:displayName> + <ipxact:value>CORE_CLKS_SHARING_DISABLED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_CORE_CLKS_SHARING_EXPOSE_SLAVE_OUT" type="bit"> + <ipxact:name>PHY_CORE_CLKS_SHARING_EXPOSE_SLAVE_OUT</ipxact:name> + <ipxact:displayName>Export clks_sharing_slave_out to facilitate multi-slave connectivity</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_CALIBRATED_OCT" type="bit"> + <ipxact:name>PHY_CALIBRATED_OCT</ipxact:name> + <ipxact:displayName>PARAM_PHY_CALIBRATED_OCT_NAME</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_AC_CALIBRATED_OCT" type="bit"> + <ipxact:name>PHY_AC_CALIBRATED_OCT</ipxact:name> + <ipxact:displayName>PARAM_PHY_AC_CALIBRATED_OCT_NAME</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_CK_CALIBRATED_OCT" type="bit"> + <ipxact:name>PHY_CK_CALIBRATED_OCT</ipxact:name> + <ipxact:displayName>PARAM_PHY_CK_CALIBRATED_OCT_NAME</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DATA_CALIBRATED_OCT" type="bit"> + <ipxact:name>PHY_DATA_CALIBRATED_OCT</ipxact:name> + <ipxact:displayName>PARAM_PHY_DATA_CALIBRATED_OCT_NAME</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RZQ" type="int"> + <ipxact:name>PHY_RZQ</ipxact:name> + <ipxact:displayName>RZQ resistor</ipxact:displayName> + <ipxact:value>240</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_HPS_ENABLE_EARLY_RELEASE" type="bit"> + <ipxact:name>PHY_HPS_ENABLE_EARLY_RELEASE</ipxact:name> + <ipxact:displayName>Enable HPS Early Release Mode</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_USER_PERIODIC_OCT_RECAL_ENUM" type="string"> + <ipxact:name>PHY_USER_PERIODIC_OCT_RECAL_ENUM</ipxact:name> + <ipxact:displayName>Periodic OCT re-calibration</ipxact:displayName> + <ipxact:value>PERIODIC_OCT_RECAL_AUTO</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_AC_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_AC_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>I/O standard</ipxact:displayName> + <ipxact:value>IO_STD_SSTL_12</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_CK_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_CK_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>I/O standard</ipxact:displayName> + <ipxact:value>IO_STD_SSTL_12</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DATA_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_DATA_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>I/O standard</ipxact:displayName> + <ipxact:value>IO_STD_POD_12</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_AC_MODE_ENUM" type="string"> + <ipxact:name>PHY_AC_MODE_ENUM</ipxact:name> + <ipxact:displayName>Output mode</ipxact:displayName> + <ipxact:value>OUT_OCT_40_CAL</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_CK_MODE_ENUM" type="string"> + <ipxact:name>PHY_CK_MODE_ENUM</ipxact:name> + <ipxact:displayName>Output mode</ipxact:displayName> + <ipxact:value>OUT_OCT_40_CAL</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DATA_OUT_MODE_ENUM" type="string"> + <ipxact:name>PHY_DATA_OUT_MODE_ENUM</ipxact:name> + <ipxact:displayName>Output mode</ipxact:displayName> + <ipxact:value>OUT_OCT_34_CAL</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_MIMIC_HPS_EMIF" type="bit"> + <ipxact:name>PHY_MIMIC_HPS_EMIF</ipxact:name> + <ipxact:displayName>Mimic HPS EMIF</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_ADD_EXTRA_CLKS" type="bit"> + <ipxact:name>PLL_ADD_EXTRA_CLKS</ipxact:name> + <ipxact:displayName>Specify additional core clocks based on existing PLL</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_USER_NUM_OF_EXTRA_CLKS" type="int"> + <ipxact:name>PLL_USER_NUM_OF_EXTRA_CLKS</ipxact:name> + <ipxact:displayName>Number of additional core clocks</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_FREQ_MHZ_GUI_0" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_FREQ_MHZ_GUI_0</ipxact:name> + <ipxact:displayName>Frequency</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_PHASE_PS_GUI_0" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_PHASE_PS_GUI_0</ipxact:name> + <ipxact:displayName>Phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_DESIRED_FREQ_MHZ_GUI_0" type="real"> + <ipxact:name>PLL_EXTRA_CLK_DESIRED_FREQ_MHZ_GUI_0</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_DESIRED_FREQ_MHZ_GUI_0_NAME</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_PHASE_SHIFT_UNIT_GUI_0" type="string"> + <ipxact:name>PLL_EXTRA_CLK_PHASE_SHIFT_UNIT_GUI_0</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_PHASE_SHIFT_UNIT_GUI_0_NAME</ipxact:displayName> + <ipxact:value>ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_DESIRED_PHASE_GUI_0" type="real"> + <ipxact:name>PLL_EXTRA_CLK_DESIRED_PHASE_GUI_0</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_DESIRED_PHASE_GUI_0_NAME</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_PHASE_DEG_GUI_0" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_PHASE_DEG_GUI_0</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_ACTUAL_PHASE_DEG_GUI_0_NAME</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_DESIRED_DUTY_CYCLE_GUI_0" type="real"> + <ipxact:name>PLL_EXTRA_CLK_DESIRED_DUTY_CYCLE_GUI_0</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_DESIRED_DUTY_CYCLE_GUI_0_NAME</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_GUI_0" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_GUI_0</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_GUI_0_NAME</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_0" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_0</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_0_NAME</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_FREQ_MHZ_GUI_1" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_FREQ_MHZ_GUI_1</ipxact:name> + <ipxact:displayName>Frequency</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_PHASE_PS_GUI_1" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_PHASE_PS_GUI_1</ipxact:name> + <ipxact:displayName>Phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_DESIRED_FREQ_MHZ_GUI_1" type="real"> + <ipxact:name>PLL_EXTRA_CLK_DESIRED_FREQ_MHZ_GUI_1</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_DESIRED_FREQ_MHZ_GUI_1_NAME</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_PHASE_SHIFT_UNIT_GUI_1" type="string"> + <ipxact:name>PLL_EXTRA_CLK_PHASE_SHIFT_UNIT_GUI_1</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_PHASE_SHIFT_UNIT_GUI_1_NAME</ipxact:displayName> + <ipxact:value>ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_DESIRED_PHASE_GUI_1" type="real"> + <ipxact:name>PLL_EXTRA_CLK_DESIRED_PHASE_GUI_1</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_DESIRED_PHASE_GUI_1_NAME</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_PHASE_DEG_GUI_1" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_PHASE_DEG_GUI_1</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_ACTUAL_PHASE_DEG_GUI_1_NAME</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_DESIRED_DUTY_CYCLE_GUI_1" type="real"> + <ipxact:name>PLL_EXTRA_CLK_DESIRED_DUTY_CYCLE_GUI_1</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_DESIRED_DUTY_CYCLE_GUI_1_NAME</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_GUI_1" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_GUI_1</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_GUI_1_NAME</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_1" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_1</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_1_NAME</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_FREQ_MHZ_GUI_2" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_FREQ_MHZ_GUI_2</ipxact:name> + <ipxact:displayName>Frequency</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_PHASE_PS_GUI_2" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_PHASE_PS_GUI_2</ipxact:name> + <ipxact:displayName>Phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_DESIRED_FREQ_MHZ_GUI_2" type="real"> + <ipxact:name>PLL_EXTRA_CLK_DESIRED_FREQ_MHZ_GUI_2</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_DESIRED_FREQ_MHZ_GUI_2_NAME</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_PHASE_SHIFT_UNIT_GUI_2" type="string"> + <ipxact:name>PLL_EXTRA_CLK_PHASE_SHIFT_UNIT_GUI_2</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_PHASE_SHIFT_UNIT_GUI_2_NAME</ipxact:displayName> + <ipxact:value>ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_DESIRED_PHASE_GUI_2" type="real"> + <ipxact:name>PLL_EXTRA_CLK_DESIRED_PHASE_GUI_2</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_DESIRED_PHASE_GUI_2_NAME</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_PHASE_DEG_GUI_2" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_PHASE_DEG_GUI_2</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_ACTUAL_PHASE_DEG_GUI_2_NAME</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_DESIRED_DUTY_CYCLE_GUI_2" type="real"> + <ipxact:name>PLL_EXTRA_CLK_DESIRED_DUTY_CYCLE_GUI_2</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_DESIRED_DUTY_CYCLE_GUI_2_NAME</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_GUI_2" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_GUI_2</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_GUI_2_NAME</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_2" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_2</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_2_NAME</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_FREQ_MHZ_GUI_3" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_FREQ_MHZ_GUI_3</ipxact:name> + <ipxact:displayName>Frequency</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_PHASE_PS_GUI_3" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_PHASE_PS_GUI_3</ipxact:name> + <ipxact:displayName>Phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_DESIRED_FREQ_MHZ_GUI_3" type="real"> + <ipxact:name>PLL_EXTRA_CLK_DESIRED_FREQ_MHZ_GUI_3</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_DESIRED_FREQ_MHZ_GUI_3_NAME</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_PHASE_SHIFT_UNIT_GUI_3" type="string"> + <ipxact:name>PLL_EXTRA_CLK_PHASE_SHIFT_UNIT_GUI_3</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_PHASE_SHIFT_UNIT_GUI_3_NAME</ipxact:displayName> + <ipxact:value>ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_DESIRED_PHASE_GUI_3" type="real"> + <ipxact:name>PLL_EXTRA_CLK_DESIRED_PHASE_GUI_3</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_DESIRED_PHASE_GUI_3_NAME</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_PHASE_DEG_GUI_3" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_PHASE_DEG_GUI_3</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_ACTUAL_PHASE_DEG_GUI_3_NAME</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_DESIRED_DUTY_CYCLE_GUI_3" type="real"> + <ipxact:name>PLL_EXTRA_CLK_DESIRED_DUTY_CYCLE_GUI_3</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_DESIRED_DUTY_CYCLE_GUI_3_NAME</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_GUI_3" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_GUI_3</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_GUI_3_NAME</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_3" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_3</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_3_NAME</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_FREQ_MHZ_GUI_4" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_FREQ_MHZ_GUI_4</ipxact:name> + <ipxact:displayName>Frequency</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_PHASE_PS_GUI_4" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_PHASE_PS_GUI_4</ipxact:name> + <ipxact:displayName>Phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_DESIRED_FREQ_MHZ_GUI_4" type="real"> + <ipxact:name>PLL_EXTRA_CLK_DESIRED_FREQ_MHZ_GUI_4</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_DESIRED_FREQ_MHZ_GUI_4_NAME</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_PHASE_SHIFT_UNIT_GUI_4" type="string"> + <ipxact:name>PLL_EXTRA_CLK_PHASE_SHIFT_UNIT_GUI_4</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_PHASE_SHIFT_UNIT_GUI_4_NAME</ipxact:displayName> + <ipxact:value>ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_DESIRED_PHASE_GUI_4" type="real"> + <ipxact:name>PLL_EXTRA_CLK_DESIRED_PHASE_GUI_4</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_DESIRED_PHASE_GUI_4_NAME</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_PHASE_DEG_GUI_4" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_PHASE_DEG_GUI_4</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_ACTUAL_PHASE_DEG_GUI_4_NAME</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_DESIRED_DUTY_CYCLE_GUI_4" type="real"> + <ipxact:name>PLL_EXTRA_CLK_DESIRED_DUTY_CYCLE_GUI_4</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_DESIRED_DUTY_CYCLE_GUI_4_NAME</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_GUI_4" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_GUI_4</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_GUI_4_NAME</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_4" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_4</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_4_NAME</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_FREQ_MHZ_GUI_5" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_FREQ_MHZ_GUI_5</ipxact:name> + <ipxact:displayName>Frequency</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_PHASE_PS_GUI_5" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_PHASE_PS_GUI_5</ipxact:name> + <ipxact:displayName>Phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_DESIRED_FREQ_MHZ_GUI_5" type="real"> + <ipxact:name>PLL_EXTRA_CLK_DESIRED_FREQ_MHZ_GUI_5</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_DESIRED_FREQ_MHZ_GUI_5_NAME</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_PHASE_SHIFT_UNIT_GUI_5" type="string"> + <ipxact:name>PLL_EXTRA_CLK_PHASE_SHIFT_UNIT_GUI_5</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_PHASE_SHIFT_UNIT_GUI_5_NAME</ipxact:displayName> + <ipxact:value>ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_DESIRED_PHASE_GUI_5" type="real"> + <ipxact:name>PLL_EXTRA_CLK_DESIRED_PHASE_GUI_5</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_DESIRED_PHASE_GUI_5_NAME</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_PHASE_DEG_GUI_5" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_PHASE_DEG_GUI_5</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_ACTUAL_PHASE_DEG_GUI_5_NAME</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_DESIRED_DUTY_CYCLE_GUI_5" type="real"> + <ipxact:name>PLL_EXTRA_CLK_DESIRED_DUTY_CYCLE_GUI_5</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_DESIRED_DUTY_CYCLE_GUI_5_NAME</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_GUI_5" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_GUI_5</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_GUI_5_NAME</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_5" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_5</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_5_NAME</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_FREQ_MHZ_GUI_6" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_FREQ_MHZ_GUI_6</ipxact:name> + <ipxact:displayName>Frequency</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_PHASE_PS_GUI_6" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_PHASE_PS_GUI_6</ipxact:name> + <ipxact:displayName>Phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_DESIRED_FREQ_MHZ_GUI_6" type="real"> + <ipxact:name>PLL_EXTRA_CLK_DESIRED_FREQ_MHZ_GUI_6</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_DESIRED_FREQ_MHZ_GUI_6_NAME</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_PHASE_SHIFT_UNIT_GUI_6" type="string"> + <ipxact:name>PLL_EXTRA_CLK_PHASE_SHIFT_UNIT_GUI_6</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_PHASE_SHIFT_UNIT_GUI_6_NAME</ipxact:displayName> + <ipxact:value>ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_DESIRED_PHASE_GUI_6" type="real"> + <ipxact:name>PLL_EXTRA_CLK_DESIRED_PHASE_GUI_6</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_DESIRED_PHASE_GUI_6_NAME</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_PHASE_DEG_GUI_6" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_PHASE_DEG_GUI_6</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_ACTUAL_PHASE_DEG_GUI_6_NAME</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_DESIRED_DUTY_CYCLE_GUI_6" type="real"> + <ipxact:name>PLL_EXTRA_CLK_DESIRED_DUTY_CYCLE_GUI_6</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_DESIRED_DUTY_CYCLE_GUI_6_NAME</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_GUI_6" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_GUI_6</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_GUI_6_NAME</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_6" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_6</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_6_NAME</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_FREQ_MHZ_GUI_7" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_FREQ_MHZ_GUI_7</ipxact:name> + <ipxact:displayName>Frequency</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_PHASE_PS_GUI_7" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_PHASE_PS_GUI_7</ipxact:name> + <ipxact:displayName>Phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_DESIRED_FREQ_MHZ_GUI_7" type="real"> + <ipxact:name>PLL_EXTRA_CLK_DESIRED_FREQ_MHZ_GUI_7</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_DESIRED_FREQ_MHZ_GUI_7_NAME</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_PHASE_SHIFT_UNIT_GUI_7" type="string"> + <ipxact:name>PLL_EXTRA_CLK_PHASE_SHIFT_UNIT_GUI_7</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_PHASE_SHIFT_UNIT_GUI_7_NAME</ipxact:displayName> + <ipxact:value>ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_DESIRED_PHASE_GUI_7" type="real"> + <ipxact:name>PLL_EXTRA_CLK_DESIRED_PHASE_GUI_7</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_DESIRED_PHASE_GUI_7_NAME</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_PHASE_DEG_GUI_7" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_PHASE_DEG_GUI_7</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_ACTUAL_PHASE_DEG_GUI_7_NAME</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_DESIRED_DUTY_CYCLE_GUI_7" type="real"> + <ipxact:name>PLL_EXTRA_CLK_DESIRED_DUTY_CYCLE_GUI_7</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_DESIRED_DUTY_CYCLE_GUI_7_NAME</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_GUI_7" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_GUI_7</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_GUI_7_NAME</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_7" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_7</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_7_NAME</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_FREQ_MHZ_GUI_8" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_FREQ_MHZ_GUI_8</ipxact:name> + <ipxact:displayName>Frequency</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_PHASE_PS_GUI_8" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_PHASE_PS_GUI_8</ipxact:name> + <ipxact:displayName>Phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_DESIRED_FREQ_MHZ_GUI_8" type="real"> + <ipxact:name>PLL_EXTRA_CLK_DESIRED_FREQ_MHZ_GUI_8</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_DESIRED_FREQ_MHZ_GUI_8_NAME</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_PHASE_SHIFT_UNIT_GUI_8" type="string"> + <ipxact:name>PLL_EXTRA_CLK_PHASE_SHIFT_UNIT_GUI_8</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_PHASE_SHIFT_UNIT_GUI_8_NAME</ipxact:displayName> + <ipxact:value>ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_DESIRED_PHASE_GUI_8" type="real"> + <ipxact:name>PLL_EXTRA_CLK_DESIRED_PHASE_GUI_8</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_DESIRED_PHASE_GUI_8_NAME</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_PHASE_DEG_GUI_8" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_PHASE_DEG_GUI_8</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_ACTUAL_PHASE_DEG_GUI_8_NAME</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_DESIRED_DUTY_CYCLE_GUI_8" type="real"> + <ipxact:name>PLL_EXTRA_CLK_DESIRED_DUTY_CYCLE_GUI_8</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_DESIRED_DUTY_CYCLE_GUI_8_NAME</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_GUI_8" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_GUI_8</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_GUI_8_NAME</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_8" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_8</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_8_NAME</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_VCO_CLK_FREQ_MHZ" type="real"> + <ipxact:name>PLL_VCO_CLK_FREQ_MHZ</ipxact:name> + <ipxact:displayName>PARAM_PLL_VCO_CLK_FREQ_MHZ_NAME</ipxact:displayName> + <ipxact:value>800.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_NUM_OF_EXTRA_CLKS" type="int"> + <ipxact:name>PLL_NUM_OF_EXTRA_CLKS</ipxact:name> + <ipxact:displayName>PARAM_PLL_NUM_OF_EXTRA_CLKS_NAME</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_FREQ_MHZ_0" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_FREQ_MHZ_0</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_ACTUAL_FREQ_MHZ_0_NAME</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_PHASE_PS_0" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_PHASE_PS_0</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_ACTUAL_PHASE_PS_0_NAME</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_FREQ_MHZ_1" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_FREQ_MHZ_1</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_ACTUAL_FREQ_MHZ_1_NAME</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_PHASE_PS_1" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_PHASE_PS_1</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_ACTUAL_PHASE_PS_1_NAME</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_FREQ_MHZ_2" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_FREQ_MHZ_2</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_ACTUAL_FREQ_MHZ_2_NAME</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_PHASE_PS_2" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_PHASE_PS_2</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_ACTUAL_PHASE_PS_2_NAME</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_FREQ_MHZ_3" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_FREQ_MHZ_3</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_ACTUAL_FREQ_MHZ_3_NAME</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_PHASE_PS_3" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_PHASE_PS_3</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_ACTUAL_PHASE_PS_3_NAME</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_FREQ_MHZ_4" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_FREQ_MHZ_4</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_ACTUAL_FREQ_MHZ_4_NAME</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_PHASE_PS_4" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_PHASE_PS_4</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_ACTUAL_PHASE_PS_4_NAME</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_FREQ_MHZ_5" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_FREQ_MHZ_5</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_ACTUAL_FREQ_MHZ_5_NAME</ipxact:displayName> + <ipxact:value>800.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_PHASE_PS_5" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_PHASE_PS_5</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_ACTUAL_PHASE_PS_5_NAME</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_FREQ_MHZ_6" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_FREQ_MHZ_6</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_ACTUAL_FREQ_MHZ_6_NAME</ipxact:displayName> + <ipxact:value>800.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_PHASE_PS_6" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_PHASE_PS_6</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_ACTUAL_PHASE_PS_6_NAME</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_FREQ_MHZ_7" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_FREQ_MHZ_7</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_ACTUAL_FREQ_MHZ_7_NAME</ipxact:displayName> + <ipxact:value>800.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_PHASE_PS_7" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_PHASE_PS_7</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_ACTUAL_PHASE_PS_7_NAME</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_FREQ_MHZ_8" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_FREQ_MHZ_8</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_ACTUAL_FREQ_MHZ_8_NAME</ipxact:displayName> + <ipxact:value>800.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_PHASE_PS_8" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_PHASE_PS_8</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_ACTUAL_PHASE_PS_8_NAME</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR3_CONFIG_ENUM" type="string"> + <ipxact:name>PHY_DDR3_CONFIG_ENUM</ipxact:name> + <ipxact:displayName>Configuration</ipxact:displayName> + <ipxact:value>CONFIG_PHY_AND_HARD_CTRL</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR3_USER_PING_PONG_EN" type="bit"> + <ipxact:name>PHY_DDR3_USER_PING_PONG_EN</ipxact:name> + <ipxact:displayName>Instantiate two controllers sharing a Ping Pong PHY</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR3_MEM_CLK_FREQ_MHZ" type="real"> + <ipxact:name>PHY_DDR3_MEM_CLK_FREQ_MHZ</ipxact:name> + <ipxact:displayName>Memory clock frequency</ipxact:displayName> + <ipxact:value>1066.667</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR3_DEFAULT_REF_CLK_FREQ" type="bit"> + <ipxact:name>PHY_DDR3_DEFAULT_REF_CLK_FREQ</ipxact:name> + <ipxact:displayName>Use recommended PLL reference clock frequency</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR3_USER_REF_CLK_FREQ_MHZ" type="real"> + <ipxact:name>PHY_DDR3_USER_REF_CLK_FREQ_MHZ</ipxact:name> + <ipxact:displayName>PLL reference clock frequency</ipxact:displayName> + <ipxact:value>-1.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR3_REF_CLK_JITTER_PS" type="real"> + <ipxact:name>PHY_DDR3_REF_CLK_JITTER_PS</ipxact:name> + <ipxact:displayName>PLL reference clock jitter</ipxact:displayName> + <ipxact:value>10.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR3_RATE_ENUM" type="string"> + <ipxact:name>PHY_DDR3_RATE_ENUM</ipxact:name> + <ipxact:displayName>Clock rate of user logic</ipxact:displayName> + <ipxact:value>RATE_QUARTER</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR3_CORE_CLKS_SHARING_ENUM" type="string"> + <ipxact:name>PHY_DDR3_CORE_CLKS_SHARING_ENUM</ipxact:name> + <ipxact:displayName>Core clocks sharing</ipxact:displayName> + <ipxact:value>CORE_CLKS_SHARING_DISABLED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR3_CORE_CLKS_SHARING_EXPOSE_SLAVE_OUT" type="bit"> + <ipxact:name>PHY_DDR3_CORE_CLKS_SHARING_EXPOSE_SLAVE_OUT</ipxact:name> + <ipxact:displayName>Export clks_sharing_slave_out to facilitate multi-slave connectivity</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR3_IO_VOLTAGE" type="real"> + <ipxact:name>PHY_DDR3_IO_VOLTAGE</ipxact:name> + <ipxact:displayName>Voltage</ipxact:displayName> + <ipxact:value>1.5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR3_DEFAULT_IO" type="bit"> + <ipxact:name>PHY_DDR3_DEFAULT_IO</ipxact:name> + <ipxact:displayName>Use default I/O settings</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR3_HPS_ENABLE_EARLY_RELEASE" type="bit"> + <ipxact:name>PHY_DDR3_HPS_ENABLE_EARLY_RELEASE</ipxact:name> + <ipxact:displayName>Enable HPS Early Release Mode</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR3_USER_PERIODIC_OCT_RECAL_ENUM" type="string"> + <ipxact:name>PHY_DDR3_USER_PERIODIC_OCT_RECAL_ENUM</ipxact:name> + <ipxact:displayName>Periodic OCT re-calibration</ipxact:displayName> + <ipxact:value>PERIODIC_OCT_RECAL_AUTO</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR3_USER_DLL_CORE_UPDN_EN" type="bit"> + <ipxact:name>PHY_DDR3_USER_DLL_CORE_UPDN_EN</ipxact:name> + <ipxact:displayName>Use linear search for DLL lock</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR3_MIMIC_HPS_EMIF" type="bit"> + <ipxact:name>PHY_DDR3_MIMIC_HPS_EMIF</ipxact:name> + <ipxact:displayName>Mimic HPS EMIF</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR3_REF_CLK_FREQ_MHZ" type="real"> + <ipxact:name>PHY_DDR3_REF_CLK_FREQ_MHZ</ipxact:name> + <ipxact:displayName>PLL reference clock frequency</ipxact:displayName> + <ipxact:value>-1.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR3_PING_PONG_EN" type="bit"> + <ipxact:name>PHY_DDR3_PING_PONG_EN</ipxact:name> + <ipxact:displayName>Instantiate two controllers sharing a Ping Pong PHY</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR3_USER_AC_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_DDR3_USER_AC_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR3_USER_AC_MODE_ENUM" type="string"> + <ipxact:name>PHY_DDR3_USER_AC_MODE_ENUM</ipxact:name> + <ipxact:displayName>Output mode</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR3_USER_AC_SLEW_RATE_ENUM" type="string"> + <ipxact:name>PHY_DDR3_USER_AC_SLEW_RATE_ENUM</ipxact:name> + <ipxact:displayName>Slew rate</ipxact:displayName> + <ipxact:value>SLEW_RATE_FAST</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR3_USER_CK_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_DDR3_USER_CK_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR3_USER_CK_MODE_ENUM" type="string"> + <ipxact:name>PHY_DDR3_USER_CK_MODE_ENUM</ipxact:name> + <ipxact:displayName>Output mode</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR3_USER_CK_SLEW_RATE_ENUM" type="string"> + <ipxact:name>PHY_DDR3_USER_CK_SLEW_RATE_ENUM</ipxact:name> + <ipxact:displayName>Slew rate</ipxact:displayName> + <ipxact:value>SLEW_RATE_FAST</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR3_USER_DATA_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_DDR3_USER_DATA_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR3_USER_DATA_OUT_MODE_ENUM" type="string"> + <ipxact:name>PHY_DDR3_USER_DATA_OUT_MODE_ENUM</ipxact:name> + <ipxact:displayName>Output mode</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR3_USER_DATA_IN_MODE_ENUM" type="string"> + <ipxact:name>PHY_DDR3_USER_DATA_IN_MODE_ENUM</ipxact:name> + <ipxact:displayName>Input mode</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR3_USER_AUTO_STARTING_VREFIN_EN" type="bit"> + <ipxact:name>PHY_DDR3_USER_AUTO_STARTING_VREFIN_EN</ipxact:name> + <ipxact:displayName>Use recommended initial Vrefin</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR3_USER_STARTING_VREFIN" type="real"> + <ipxact:name>PHY_DDR3_USER_STARTING_VREFIN</ipxact:name> + <ipxact:displayName>Initial Vrefin</ipxact:displayName> + <ipxact:value>70.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR3_USER_PLL_REF_CLK_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_DDR3_USER_PLL_REF_CLK_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>PLL reference clock I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR3_USER_RZQ_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_DDR3_USER_RZQ_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>RZQ I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR3_AC_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_DDR3_AC_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR3_AC_MODE_ENUM" type="string"> + <ipxact:name>PHY_DDR3_AC_MODE_ENUM</ipxact:name> + <ipxact:displayName>Output mode</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR3_AC_SLEW_RATE_ENUM" type="string"> + <ipxact:name>PHY_DDR3_AC_SLEW_RATE_ENUM</ipxact:name> + <ipxact:displayName>Slew rate</ipxact:displayName> + <ipxact:value>SLEW_RATE_FAST</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR3_CK_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_DDR3_CK_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR3_CK_MODE_ENUM" type="string"> + <ipxact:name>PHY_DDR3_CK_MODE_ENUM</ipxact:name> + <ipxact:displayName>Output mode</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR3_CK_SLEW_RATE_ENUM" type="string"> + <ipxact:name>PHY_DDR3_CK_SLEW_RATE_ENUM</ipxact:name> + <ipxact:displayName>Slew rate</ipxact:displayName> + <ipxact:value>SLEW_RATE_FAST</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR3_DATA_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_DDR3_DATA_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR3_DATA_OUT_MODE_ENUM" type="string"> + <ipxact:name>PHY_DDR3_DATA_OUT_MODE_ENUM</ipxact:name> + <ipxact:displayName>Output mode</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR3_DATA_IN_MODE_ENUM" type="string"> + <ipxact:name>PHY_DDR3_DATA_IN_MODE_ENUM</ipxact:name> + <ipxact:displayName>Input mode</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR3_AUTO_STARTING_VREFIN_EN" type="bit"> + <ipxact:name>PHY_DDR3_AUTO_STARTING_VREFIN_EN</ipxact:name> + <ipxact:displayName>Use recommended initial Vrefin</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR3_STARTING_VREFIN" type="real"> + <ipxact:name>PHY_DDR3_STARTING_VREFIN</ipxact:name> + <ipxact:displayName>Initial Vrefin</ipxact:displayName> + <ipxact:value>70.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR3_PLL_REF_CLK_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_DDR3_PLL_REF_CLK_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>PLL reference clock I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR3_RZQ_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_DDR3_RZQ_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>RZQ I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR3_CAL_ADDR0" type="int"> + <ipxact:name>PHY_DDR3_CAL_ADDR0</ipxact:name> + <ipxact:displayName>PARAM_PHY_DDR3_CAL_ADDR0_NAME</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR3_CAL_ADDR1" type="int"> + <ipxact:name>PHY_DDR3_CAL_ADDR1</ipxact:name> + <ipxact:displayName>PARAM_PHY_DDR3_CAL_ADDR1_NAME</ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR3_CAL_ENABLE_NON_DES" type="bit"> + <ipxact:name>PHY_DDR3_CAL_ENABLE_NON_DES</ipxact:name> + <ipxact:displayName>PARAM_PHY_DDR3_CAL_ENABLE_NON_DES_NAME</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR4_CONFIG_ENUM" type="string"> + <ipxact:name>PHY_DDR4_CONFIG_ENUM</ipxact:name> + <ipxact:displayName>Configuration</ipxact:displayName> + <ipxact:value>CONFIG_PHY_AND_HARD_CTRL</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR4_USER_PING_PONG_EN" type="bit"> + <ipxact:name>PHY_DDR4_USER_PING_PONG_EN</ipxact:name> + <ipxact:displayName>Instantiate two controllers sharing a Ping Pong PHY</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR4_USER_CLAMSHELL_EN" type="bit"> + <ipxact:name>PHY_DDR4_USER_CLAMSHELL_EN</ipxact:name> + <ipxact:displayName>Use clamshell layout </ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR4_USER_DLL_CORE_UPDN_EN" type="bit"> + <ipxact:name>PHY_DDR4_USER_DLL_CORE_UPDN_EN</ipxact:name> + <ipxact:displayName>Use linear search for DLL lock</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR4_MEM_CLK_FREQ_MHZ" type="real"> + <ipxact:name>PHY_DDR4_MEM_CLK_FREQ_MHZ</ipxact:name> + <ipxact:displayName>Memory clock frequency</ipxact:displayName> + <ipxact:value>800.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR4_DEFAULT_REF_CLK_FREQ" type="bit"> + <ipxact:name>PHY_DDR4_DEFAULT_REF_CLK_FREQ</ipxact:name> + <ipxact:displayName>Use recommended PLL reference clock frequency</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR4_USER_REF_CLK_FREQ_MHZ" type="real"> + <ipxact:name>PHY_DDR4_USER_REF_CLK_FREQ_MHZ</ipxact:name> + <ipxact:displayName>PLL reference clock frequency</ipxact:displayName> + <ipxact:value>25.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR4_REF_CLK_JITTER_PS" type="real"> + <ipxact:name>PHY_DDR4_REF_CLK_JITTER_PS</ipxact:name> + <ipxact:displayName>PLL reference clock jitter</ipxact:displayName> + <ipxact:value>10.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR4_RATE_ENUM" type="string"> + <ipxact:name>PHY_DDR4_RATE_ENUM</ipxact:name> + <ipxact:displayName>Clock rate of user logic</ipxact:displayName> + <ipxact:value>RATE_QUARTER</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR4_CORE_CLKS_SHARING_ENUM" type="string"> + <ipxact:name>PHY_DDR4_CORE_CLKS_SHARING_ENUM</ipxact:name> + <ipxact:displayName>Core clocks sharing</ipxact:displayName> + <ipxact:value>CORE_CLKS_SHARING_DISABLED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR4_CORE_CLKS_SHARING_EXPOSE_SLAVE_OUT" type="bit"> + <ipxact:name>PHY_DDR4_CORE_CLKS_SHARING_EXPOSE_SLAVE_OUT</ipxact:name> + <ipxact:displayName>Export clks_sharing_slave_out to facilitate multi-slave connectivity</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR4_IO_VOLTAGE" type="real"> + <ipxact:name>PHY_DDR4_IO_VOLTAGE</ipxact:name> + <ipxact:displayName>Voltage</ipxact:displayName> + <ipxact:value>1.2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR4_DEFAULT_IO" type="bit"> + <ipxact:name>PHY_DDR4_DEFAULT_IO</ipxact:name> + <ipxact:displayName>Use default I/O settings</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR4_HPS_ENABLE_EARLY_RELEASE" type="bit"> + <ipxact:name>PHY_DDR4_HPS_ENABLE_EARLY_RELEASE</ipxact:name> + <ipxact:displayName>Enable HPS Early Release Mode</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR4_USER_PERIODIC_OCT_RECAL_ENUM" type="string"> + <ipxact:name>PHY_DDR4_USER_PERIODIC_OCT_RECAL_ENUM</ipxact:name> + <ipxact:displayName>Periodic OCT re-calibration</ipxact:displayName> + <ipxact:value>PERIODIC_OCT_RECAL_AUTO</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR4_MIMIC_HPS_EMIF" type="bit"> + <ipxact:name>PHY_DDR4_MIMIC_HPS_EMIF</ipxact:name> + <ipxact:displayName>Mimic HPS EMIF</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR4_REF_CLK_FREQ_MHZ" type="real"> + <ipxact:name>PHY_DDR4_REF_CLK_FREQ_MHZ</ipxact:name> + <ipxact:displayName>PLL reference clock frequency</ipxact:displayName> + <ipxact:value>25.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR4_PING_PONG_EN" type="bit"> + <ipxact:name>PHY_DDR4_PING_PONG_EN</ipxact:name> + <ipxact:displayName>Instantiate two controllers sharing a Ping Pong PHY</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR4_CLAMSHELL_EN" type="bit"> + <ipxact:name>PHY_DDR4_CLAMSHELL_EN</ipxact:name> + <ipxact:displayName>Use clamshell layout </ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR4_USER_AC_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_DDR4_USER_AC_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>I/O standard</ipxact:displayName> + <ipxact:value>IO_STD_SSTL_12</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR4_USER_AC_MODE_ENUM" type="string"> + <ipxact:name>PHY_DDR4_USER_AC_MODE_ENUM</ipxact:name> + <ipxact:displayName>Output mode</ipxact:displayName> + <ipxact:value>OUT_OCT_40_CAL</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR4_USER_AC_SLEW_RATE_ENUM" type="string"> + <ipxact:name>PHY_DDR4_USER_AC_SLEW_RATE_ENUM</ipxact:name> + <ipxact:displayName>Slew rate</ipxact:displayName> + <ipxact:value>SLEW_RATE_FAST</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR4_USER_CK_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_DDR4_USER_CK_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>I/O standard</ipxact:displayName> + <ipxact:value>IO_STD_SSTL_12</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR4_USER_CK_MODE_ENUM" type="string"> + <ipxact:name>PHY_DDR4_USER_CK_MODE_ENUM</ipxact:name> + <ipxact:displayName>Output mode</ipxact:displayName> + <ipxact:value>OUT_OCT_40_CAL</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR4_USER_CK_SLEW_RATE_ENUM" type="string"> + <ipxact:name>PHY_DDR4_USER_CK_SLEW_RATE_ENUM</ipxact:name> + <ipxact:displayName>Slew rate</ipxact:displayName> + <ipxact:value>SLEW_RATE_FAST</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR4_USER_DATA_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_DDR4_USER_DATA_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>I/O standard</ipxact:displayName> + <ipxact:value>IO_STD_POD_12</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR4_USER_DATA_OUT_MODE_ENUM" type="string"> + <ipxact:name>PHY_DDR4_USER_DATA_OUT_MODE_ENUM</ipxact:name> + <ipxact:displayName>Output mode</ipxact:displayName> + <ipxact:value>OUT_OCT_34_CAL</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR4_USER_DATA_IN_MODE_ENUM" type="string"> + <ipxact:name>PHY_DDR4_USER_DATA_IN_MODE_ENUM</ipxact:name> + <ipxact:displayName>Input mode</ipxact:displayName> + <ipxact:value>IN_OCT_120_CAL</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR4_USER_AUTO_STARTING_VREFIN_EN" type="bit"> + <ipxact:name>PHY_DDR4_USER_AUTO_STARTING_VREFIN_EN</ipxact:name> + <ipxact:displayName>Use recommended initial Vrefin</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR4_USER_STARTING_VREFIN" type="real"> + <ipxact:name>PHY_DDR4_USER_STARTING_VREFIN</ipxact:name> + <ipxact:displayName>Initial Vrefin</ipxact:displayName> + <ipxact:value>70.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR4_USER_PLL_REF_CLK_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_DDR4_USER_PLL_REF_CLK_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>PLL reference clock I/O standard</ipxact:displayName> + <ipxact:value>IO_STD_LVDS</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR4_USER_RZQ_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_DDR4_USER_RZQ_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>RZQ I/O standard</ipxact:displayName> + <ipxact:value>IO_STD_CMOS_12</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR4_AC_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_DDR4_AC_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>I/O standard</ipxact:displayName> + <ipxact:value>IO_STD_SSTL_12</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR4_AC_MODE_ENUM" type="string"> + <ipxact:name>PHY_DDR4_AC_MODE_ENUM</ipxact:name> + <ipxact:displayName>Output mode</ipxact:displayName> + <ipxact:value>OUT_OCT_40_CAL</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR4_AC_SLEW_RATE_ENUM" type="string"> + <ipxact:name>PHY_DDR4_AC_SLEW_RATE_ENUM</ipxact:name> + <ipxact:displayName>Slew rate</ipxact:displayName> + <ipxact:value>SLEW_RATE_FAST</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR4_CK_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_DDR4_CK_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>I/O standard</ipxact:displayName> + <ipxact:value>IO_STD_SSTL_12</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR4_CK_MODE_ENUM" type="string"> + <ipxact:name>PHY_DDR4_CK_MODE_ENUM</ipxact:name> + <ipxact:displayName>Output mode</ipxact:displayName> + <ipxact:value>OUT_OCT_40_CAL</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR4_CK_SLEW_RATE_ENUM" type="string"> + <ipxact:name>PHY_DDR4_CK_SLEW_RATE_ENUM</ipxact:name> + <ipxact:displayName>Slew rate</ipxact:displayName> + <ipxact:value>SLEW_RATE_FAST</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR4_DATA_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_DDR4_DATA_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>I/O standard</ipxact:displayName> + <ipxact:value>IO_STD_POD_12</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR4_DATA_OUT_MODE_ENUM" type="string"> + <ipxact:name>PHY_DDR4_DATA_OUT_MODE_ENUM</ipxact:name> + <ipxact:displayName>Output mode</ipxact:displayName> + <ipxact:value>OUT_OCT_34_CAL</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR4_DATA_IN_MODE_ENUM" type="string"> + <ipxact:name>PHY_DDR4_DATA_IN_MODE_ENUM</ipxact:name> + <ipxact:displayName>Input mode</ipxact:displayName> + <ipxact:value>IN_OCT_120_CAL</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR4_AUTO_STARTING_VREFIN_EN" type="bit"> + <ipxact:name>PHY_DDR4_AUTO_STARTING_VREFIN_EN</ipxact:name> + <ipxact:displayName>Use recommended initial Vrefin</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR4_STARTING_VREFIN" type="real"> + <ipxact:name>PHY_DDR4_STARTING_VREFIN</ipxact:name> + <ipxact:displayName>Initial Vrefin</ipxact:displayName> + <ipxact:value>61.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR4_PLL_REF_CLK_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_DDR4_PLL_REF_CLK_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>PLL reference clock I/O standard</ipxact:displayName> + <ipxact:value>IO_STD_LVDS</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR4_RZQ_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_DDR4_RZQ_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>RZQ I/O standard</ipxact:displayName> + <ipxact:value>IO_STD_CMOS_12</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR2_CONFIG_ENUM" type="string"> + <ipxact:name>PHY_QDR2_CONFIG_ENUM</ipxact:name> + <ipxact:displayName>Configuration</ipxact:displayName> + <ipxact:value>CONFIG_PHY_AND_SOFT_CTRL</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR2_USER_PING_PONG_EN" type="bit"> + <ipxact:name>PHY_QDR2_USER_PING_PONG_EN</ipxact:name> + <ipxact:displayName>Instantiate two controllers sharing a Ping Pong PHY</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR2_MEM_CLK_FREQ_MHZ" type="real"> + <ipxact:name>PHY_QDR2_MEM_CLK_FREQ_MHZ</ipxact:name> + <ipxact:displayName>Memory clock frequency</ipxact:displayName> + <ipxact:value>633.333</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR2_DEFAULT_REF_CLK_FREQ" type="bit"> + <ipxact:name>PHY_QDR2_DEFAULT_REF_CLK_FREQ</ipxact:name> + <ipxact:displayName>Use recommended PLL reference clock frequency</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR2_USER_REF_CLK_FREQ_MHZ" type="real"> + <ipxact:name>PHY_QDR2_USER_REF_CLK_FREQ_MHZ</ipxact:name> + <ipxact:displayName>PLL reference clock frequency</ipxact:displayName> + <ipxact:value>-1.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR2_REF_CLK_JITTER_PS" type="real"> + <ipxact:name>PHY_QDR2_REF_CLK_JITTER_PS</ipxact:name> + <ipxact:displayName>PLL reference clock jitter</ipxact:displayName> + <ipxact:value>10.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR2_RATE_ENUM" type="string"> + <ipxact:name>PHY_QDR2_RATE_ENUM</ipxact:name> + <ipxact:displayName>Clock rate of user logic</ipxact:displayName> + <ipxact:value>RATE_HALF</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR2_CORE_CLKS_SHARING_ENUM" type="string"> + <ipxact:name>PHY_QDR2_CORE_CLKS_SHARING_ENUM</ipxact:name> + <ipxact:displayName>Core clocks sharing</ipxact:displayName> + <ipxact:value>CORE_CLKS_SHARING_DISABLED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR2_CORE_CLKS_SHARING_EXPOSE_SLAVE_OUT" type="bit"> + <ipxact:name>PHY_QDR2_CORE_CLKS_SHARING_EXPOSE_SLAVE_OUT</ipxact:name> + <ipxact:displayName>Export clks_sharing_slave_out to facilitate multi-slave connectivity</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR2_IO_VOLTAGE" type="real"> + <ipxact:name>PHY_QDR2_IO_VOLTAGE</ipxact:name> + <ipxact:displayName>Voltage</ipxact:displayName> + <ipxact:value>1.5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR2_DEFAULT_IO" type="bit"> + <ipxact:name>PHY_QDR2_DEFAULT_IO</ipxact:name> + <ipxact:displayName>Use default I/O settings</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR2_HPS_ENABLE_EARLY_RELEASE" type="bit"> + <ipxact:name>PHY_QDR2_HPS_ENABLE_EARLY_RELEASE</ipxact:name> + <ipxact:displayName>Enable HPS Early Release Mode</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR2_USER_PERIODIC_OCT_RECAL_ENUM" type="string"> + <ipxact:name>PHY_QDR2_USER_PERIODIC_OCT_RECAL_ENUM</ipxact:name> + <ipxact:displayName>Periodic OCT re-calibration</ipxact:displayName> + <ipxact:value>PERIODIC_OCT_RECAL_AUTO</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR2_USER_DLL_CORE_UPDN_EN" type="bit"> + <ipxact:name>PHY_QDR2_USER_DLL_CORE_UPDN_EN</ipxact:name> + <ipxact:displayName>Use linear search for DLL lock</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR2_MIMIC_HPS_EMIF" type="bit"> + <ipxact:name>PHY_QDR2_MIMIC_HPS_EMIF</ipxact:name> + <ipxact:displayName>Mimic HPS EMIF</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR2_REF_CLK_FREQ_MHZ" type="real"> + <ipxact:name>PHY_QDR2_REF_CLK_FREQ_MHZ</ipxact:name> + <ipxact:displayName>PLL reference clock frequency</ipxact:displayName> + <ipxact:value>-1.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR2_PING_PONG_EN" type="bit"> + <ipxact:name>PHY_QDR2_PING_PONG_EN</ipxact:name> + <ipxact:displayName>Instantiate two controllers sharing a Ping Pong PHY</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR2_USER_AC_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_QDR2_USER_AC_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR2_USER_AC_MODE_ENUM" type="string"> + <ipxact:name>PHY_QDR2_USER_AC_MODE_ENUM</ipxact:name> + <ipxact:displayName>Output mode</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR2_USER_AC_SLEW_RATE_ENUM" type="string"> + <ipxact:name>PHY_QDR2_USER_AC_SLEW_RATE_ENUM</ipxact:name> + <ipxact:displayName>Slew rate</ipxact:displayName> + <ipxact:value>SLEW_RATE_FAST</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR2_USER_CK_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_QDR2_USER_CK_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR2_USER_CK_MODE_ENUM" type="string"> + <ipxact:name>PHY_QDR2_USER_CK_MODE_ENUM</ipxact:name> + <ipxact:displayName>Output mode</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR2_USER_CK_SLEW_RATE_ENUM" type="string"> + <ipxact:name>PHY_QDR2_USER_CK_SLEW_RATE_ENUM</ipxact:name> + <ipxact:displayName>Slew rate</ipxact:displayName> + <ipxact:value>SLEW_RATE_FAST</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR2_USER_DATA_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_QDR2_USER_DATA_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR2_USER_DATA_OUT_MODE_ENUM" type="string"> + <ipxact:name>PHY_QDR2_USER_DATA_OUT_MODE_ENUM</ipxact:name> + <ipxact:displayName>Output mode</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR2_USER_DATA_IN_MODE_ENUM" type="string"> + <ipxact:name>PHY_QDR2_USER_DATA_IN_MODE_ENUM</ipxact:name> + <ipxact:displayName>Input mode</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR2_USER_AUTO_STARTING_VREFIN_EN" type="bit"> + <ipxact:name>PHY_QDR2_USER_AUTO_STARTING_VREFIN_EN</ipxact:name> + <ipxact:displayName>Use recommended initial Vrefin</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR2_USER_STARTING_VREFIN" type="real"> + <ipxact:name>PHY_QDR2_USER_STARTING_VREFIN</ipxact:name> + <ipxact:displayName>Initial Vrefin</ipxact:displayName> + <ipxact:value>70.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR2_USER_PLL_REF_CLK_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_QDR2_USER_PLL_REF_CLK_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>PLL reference clock I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR2_USER_RZQ_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_QDR2_USER_RZQ_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>RZQ I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR2_AC_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_QDR2_AC_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR2_AC_MODE_ENUM" type="string"> + <ipxact:name>PHY_QDR2_AC_MODE_ENUM</ipxact:name> + <ipxact:displayName>Output mode</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR2_AC_SLEW_RATE_ENUM" type="string"> + <ipxact:name>PHY_QDR2_AC_SLEW_RATE_ENUM</ipxact:name> + <ipxact:displayName>Slew rate</ipxact:displayName> + <ipxact:value>SLEW_RATE_FAST</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR2_CK_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_QDR2_CK_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR2_CK_MODE_ENUM" type="string"> + <ipxact:name>PHY_QDR2_CK_MODE_ENUM</ipxact:name> + <ipxact:displayName>Output mode</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR2_CK_SLEW_RATE_ENUM" type="string"> + <ipxact:name>PHY_QDR2_CK_SLEW_RATE_ENUM</ipxact:name> + <ipxact:displayName>Slew rate</ipxact:displayName> + <ipxact:value>SLEW_RATE_FAST</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR2_DATA_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_QDR2_DATA_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR2_DATA_OUT_MODE_ENUM" type="string"> + <ipxact:name>PHY_QDR2_DATA_OUT_MODE_ENUM</ipxact:name> + <ipxact:displayName>Output mode</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR2_DATA_IN_MODE_ENUM" type="string"> + <ipxact:name>PHY_QDR2_DATA_IN_MODE_ENUM</ipxact:name> + <ipxact:displayName>Input mode</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR2_AUTO_STARTING_VREFIN_EN" type="bit"> + <ipxact:name>PHY_QDR2_AUTO_STARTING_VREFIN_EN</ipxact:name> + <ipxact:displayName>Use recommended initial Vrefin</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR2_STARTING_VREFIN" type="real"> + <ipxact:name>PHY_QDR2_STARTING_VREFIN</ipxact:name> + <ipxact:displayName>Initial Vrefin</ipxact:displayName> + <ipxact:value>70.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR2_PLL_REF_CLK_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_QDR2_PLL_REF_CLK_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>PLL reference clock I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR2_RZQ_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_QDR2_RZQ_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>RZQ I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR4_CONFIG_ENUM" type="string"> + <ipxact:name>PHY_QDR4_CONFIG_ENUM</ipxact:name> + <ipxact:displayName>Configuration</ipxact:displayName> + <ipxact:value>CONFIG_PHY_AND_SOFT_CTRL</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR4_USER_PING_PONG_EN" type="bit"> + <ipxact:name>PHY_QDR4_USER_PING_PONG_EN</ipxact:name> + <ipxact:displayName>Instantiate two controllers sharing a Ping Pong PHY</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR4_MEM_CLK_FREQ_MHZ" type="real"> + <ipxact:name>PHY_QDR4_MEM_CLK_FREQ_MHZ</ipxact:name> + <ipxact:displayName>Memory clock frequency</ipxact:displayName> + <ipxact:value>1066.667</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR4_DEFAULT_REF_CLK_FREQ" type="bit"> + <ipxact:name>PHY_QDR4_DEFAULT_REF_CLK_FREQ</ipxact:name> + <ipxact:displayName>Use recommended PLL reference clock frequency</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR4_USER_REF_CLK_FREQ_MHZ" type="real"> + <ipxact:name>PHY_QDR4_USER_REF_CLK_FREQ_MHZ</ipxact:name> + <ipxact:displayName>PLL reference clock frequency</ipxact:displayName> + <ipxact:value>-1.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR4_REF_CLK_JITTER_PS" type="real"> + <ipxact:name>PHY_QDR4_REF_CLK_JITTER_PS</ipxact:name> + <ipxact:displayName>PLL reference clock jitter</ipxact:displayName> + <ipxact:value>10.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR4_RATE_ENUM" type="string"> + <ipxact:name>PHY_QDR4_RATE_ENUM</ipxact:name> + <ipxact:displayName>Clock rate of user logic</ipxact:displayName> + <ipxact:value>RATE_QUARTER</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR4_CORE_CLKS_SHARING_ENUM" type="string"> + <ipxact:name>PHY_QDR4_CORE_CLKS_SHARING_ENUM</ipxact:name> + <ipxact:displayName>Core clocks sharing</ipxact:displayName> + <ipxact:value>CORE_CLKS_SHARING_DISABLED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR4_CORE_CLKS_SHARING_EXPOSE_SLAVE_OUT" type="bit"> + <ipxact:name>PHY_QDR4_CORE_CLKS_SHARING_EXPOSE_SLAVE_OUT</ipxact:name> + <ipxact:displayName>Export clks_sharing_slave_out to facilitate multi-slave connectivity</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR4_IO_VOLTAGE" type="real"> + <ipxact:name>PHY_QDR4_IO_VOLTAGE</ipxact:name> + <ipxact:displayName>Voltage</ipxact:displayName> + <ipxact:value>1.2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR4_DEFAULT_IO" type="bit"> + <ipxact:name>PHY_QDR4_DEFAULT_IO</ipxact:name> + <ipxact:displayName>Use default I/O settings</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR4_HPS_ENABLE_EARLY_RELEASE" type="bit"> + <ipxact:name>PHY_QDR4_HPS_ENABLE_EARLY_RELEASE</ipxact:name> + <ipxact:displayName>Enable HPS Early Release Mode</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR4_USER_PERIODIC_OCT_RECAL_ENUM" type="string"> + <ipxact:name>PHY_QDR4_USER_PERIODIC_OCT_RECAL_ENUM</ipxact:name> + <ipxact:displayName>Periodic OCT re-calibration</ipxact:displayName> + <ipxact:value>PERIODIC_OCT_RECAL_AUTO</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR4_USER_DLL_CORE_UPDN_EN" type="bit"> + <ipxact:name>PHY_QDR4_USER_DLL_CORE_UPDN_EN</ipxact:name> + <ipxact:displayName>Use linear search for DLL lock</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR4_MIMIC_HPS_EMIF" type="bit"> + <ipxact:name>PHY_QDR4_MIMIC_HPS_EMIF</ipxact:name> + <ipxact:displayName>Mimic HPS EMIF</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR4_REF_CLK_FREQ_MHZ" type="real"> + <ipxact:name>PHY_QDR4_REF_CLK_FREQ_MHZ</ipxact:name> + <ipxact:displayName>PLL reference clock frequency</ipxact:displayName> + <ipxact:value>-1.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR4_PING_PONG_EN" type="bit"> + <ipxact:name>PHY_QDR4_PING_PONG_EN</ipxact:name> + <ipxact:displayName>Instantiate two controllers sharing a Ping Pong PHY</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR4_USER_AC_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_QDR4_USER_AC_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR4_USER_AC_MODE_ENUM" type="string"> + <ipxact:name>PHY_QDR4_USER_AC_MODE_ENUM</ipxact:name> + <ipxact:displayName>Output mode</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR4_USER_AC_SLEW_RATE_ENUM" type="string"> + <ipxact:name>PHY_QDR4_USER_AC_SLEW_RATE_ENUM</ipxact:name> + <ipxact:displayName>Slew rate</ipxact:displayName> + <ipxact:value>SLEW_RATE_FAST</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR4_USER_CK_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_QDR4_USER_CK_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR4_USER_CK_MODE_ENUM" type="string"> + <ipxact:name>PHY_QDR4_USER_CK_MODE_ENUM</ipxact:name> + <ipxact:displayName>Output mode</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR4_USER_CK_SLEW_RATE_ENUM" type="string"> + <ipxact:name>PHY_QDR4_USER_CK_SLEW_RATE_ENUM</ipxact:name> + <ipxact:displayName>Slew rate</ipxact:displayName> + <ipxact:value>SLEW_RATE_FAST</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR4_USER_DATA_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_QDR4_USER_DATA_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR4_USER_DATA_OUT_MODE_ENUM" type="string"> + <ipxact:name>PHY_QDR4_USER_DATA_OUT_MODE_ENUM</ipxact:name> + <ipxact:displayName>Output mode</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR4_USER_DATA_IN_MODE_ENUM" type="string"> + <ipxact:name>PHY_QDR4_USER_DATA_IN_MODE_ENUM</ipxact:name> + <ipxact:displayName>Input mode</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR4_USER_AUTO_STARTING_VREFIN_EN" type="bit"> + <ipxact:name>PHY_QDR4_USER_AUTO_STARTING_VREFIN_EN</ipxact:name> + <ipxact:displayName>Use recommended initial Vrefin</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR4_USER_STARTING_VREFIN" type="real"> + <ipxact:name>PHY_QDR4_USER_STARTING_VREFIN</ipxact:name> + <ipxact:displayName>Initial Vrefin</ipxact:displayName> + <ipxact:value>70.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR4_USER_PLL_REF_CLK_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_QDR4_USER_PLL_REF_CLK_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>PLL reference clock I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR4_USER_RZQ_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_QDR4_USER_RZQ_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>RZQ I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR4_AC_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_QDR4_AC_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR4_AC_MODE_ENUM" type="string"> + <ipxact:name>PHY_QDR4_AC_MODE_ENUM</ipxact:name> + <ipxact:displayName>Output mode</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR4_AC_SLEW_RATE_ENUM" type="string"> + <ipxact:name>PHY_QDR4_AC_SLEW_RATE_ENUM</ipxact:name> + <ipxact:displayName>Slew rate</ipxact:displayName> + <ipxact:value>SLEW_RATE_FAST</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR4_CK_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_QDR4_CK_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR4_CK_MODE_ENUM" type="string"> + <ipxact:name>PHY_QDR4_CK_MODE_ENUM</ipxact:name> + <ipxact:displayName>Output mode</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR4_CK_SLEW_RATE_ENUM" type="string"> + <ipxact:name>PHY_QDR4_CK_SLEW_RATE_ENUM</ipxact:name> + <ipxact:displayName>Slew rate</ipxact:displayName> + <ipxact:value>SLEW_RATE_FAST</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR4_DATA_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_QDR4_DATA_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR4_DATA_OUT_MODE_ENUM" type="string"> + <ipxact:name>PHY_QDR4_DATA_OUT_MODE_ENUM</ipxact:name> + <ipxact:displayName>Output mode</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR4_DATA_IN_MODE_ENUM" type="string"> + <ipxact:name>PHY_QDR4_DATA_IN_MODE_ENUM</ipxact:name> + <ipxact:displayName>Input mode</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR4_AUTO_STARTING_VREFIN_EN" type="bit"> + <ipxact:name>PHY_QDR4_AUTO_STARTING_VREFIN_EN</ipxact:name> + <ipxact:displayName>Use recommended initial Vrefin</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR4_STARTING_VREFIN" type="real"> + <ipxact:name>PHY_QDR4_STARTING_VREFIN</ipxact:name> + <ipxact:displayName>Initial Vrefin</ipxact:displayName> + <ipxact:value>70.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR4_PLL_REF_CLK_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_QDR4_PLL_REF_CLK_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>PLL reference clock I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR4_RZQ_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_QDR4_RZQ_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>RZQ I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD2_CONFIG_ENUM" type="string"> + <ipxact:name>PHY_RLD2_CONFIG_ENUM</ipxact:name> + <ipxact:displayName>Configuration</ipxact:displayName> + <ipxact:value>CONFIG_PHY_AND_SOFT_CTRL</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD2_USER_PING_PONG_EN" type="bit"> + <ipxact:name>PHY_RLD2_USER_PING_PONG_EN</ipxact:name> + <ipxact:displayName>Instantiate two controllers sharing a Ping Pong PHY</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD2_MEM_CLK_FREQ_MHZ" type="real"> + <ipxact:name>PHY_RLD2_MEM_CLK_FREQ_MHZ</ipxact:name> + <ipxact:displayName>Memory clock frequency</ipxact:displayName> + <ipxact:value>533.333</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD2_DEFAULT_REF_CLK_FREQ" type="bit"> + <ipxact:name>PHY_RLD2_DEFAULT_REF_CLK_FREQ</ipxact:name> + <ipxact:displayName>Use recommended PLL reference clock frequency</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD2_USER_REF_CLK_FREQ_MHZ" type="real"> + <ipxact:name>PHY_RLD2_USER_REF_CLK_FREQ_MHZ</ipxact:name> + <ipxact:displayName>PLL reference clock frequency</ipxact:displayName> + <ipxact:value>-1.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD2_REF_CLK_JITTER_PS" type="real"> + <ipxact:name>PHY_RLD2_REF_CLK_JITTER_PS</ipxact:name> + <ipxact:displayName>PLL reference clock jitter</ipxact:displayName> + <ipxact:value>10.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD2_RATE_ENUM" type="string"> + <ipxact:name>PHY_RLD2_RATE_ENUM</ipxact:name> + <ipxact:displayName>Clock rate of user logic</ipxact:displayName> + <ipxact:value>RATE_HALF</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD2_CORE_CLKS_SHARING_ENUM" type="string"> + <ipxact:name>PHY_RLD2_CORE_CLKS_SHARING_ENUM</ipxact:name> + <ipxact:displayName>Core clocks sharing</ipxact:displayName> + <ipxact:value>CORE_CLKS_SHARING_DISABLED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD2_CORE_CLKS_SHARING_EXPOSE_SLAVE_OUT" type="bit"> + <ipxact:name>PHY_RLD2_CORE_CLKS_SHARING_EXPOSE_SLAVE_OUT</ipxact:name> + <ipxact:displayName>Export clks_sharing_slave_out to facilitate multi-slave connectivity</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD2_IO_VOLTAGE" type="real"> + <ipxact:name>PHY_RLD2_IO_VOLTAGE</ipxact:name> + <ipxact:displayName>Voltage</ipxact:displayName> + <ipxact:value>1.8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD2_DEFAULT_IO" type="bit"> + <ipxact:name>PHY_RLD2_DEFAULT_IO</ipxact:name> + <ipxact:displayName>Use default I/O settings</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD2_HPS_ENABLE_EARLY_RELEASE" type="bit"> + <ipxact:name>PHY_RLD2_HPS_ENABLE_EARLY_RELEASE</ipxact:name> + <ipxact:displayName>Enable HPS Early Release Mode</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD2_USER_PERIODIC_OCT_RECAL_ENUM" type="string"> + <ipxact:name>PHY_RLD2_USER_PERIODIC_OCT_RECAL_ENUM</ipxact:name> + <ipxact:displayName>Periodic OCT re-calibration</ipxact:displayName> + <ipxact:value>PERIODIC_OCT_RECAL_AUTO</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD2_USER_DLL_CORE_UPDN_EN" type="bit"> + <ipxact:name>PHY_RLD2_USER_DLL_CORE_UPDN_EN</ipxact:name> + <ipxact:displayName>Use linear search for DLL lock</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD2_MIMIC_HPS_EMIF" type="bit"> + <ipxact:name>PHY_RLD2_MIMIC_HPS_EMIF</ipxact:name> + <ipxact:displayName>Mimic HPS EMIF</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD2_REF_CLK_FREQ_MHZ" type="real"> + <ipxact:name>PHY_RLD2_REF_CLK_FREQ_MHZ</ipxact:name> + <ipxact:displayName>PLL reference clock frequency</ipxact:displayName> + <ipxact:value>-1.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD2_PING_PONG_EN" type="bit"> + <ipxact:name>PHY_RLD2_PING_PONG_EN</ipxact:name> + <ipxact:displayName>Instantiate two controllers sharing a Ping Pong PHY</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD2_USER_AC_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_RLD2_USER_AC_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD2_USER_AC_MODE_ENUM" type="string"> + <ipxact:name>PHY_RLD2_USER_AC_MODE_ENUM</ipxact:name> + <ipxact:displayName>Output mode</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD2_USER_AC_SLEW_RATE_ENUM" type="string"> + <ipxact:name>PHY_RLD2_USER_AC_SLEW_RATE_ENUM</ipxact:name> + <ipxact:displayName>Slew rate</ipxact:displayName> + <ipxact:value>SLEW_RATE_FAST</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD2_USER_CK_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_RLD2_USER_CK_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD2_USER_CK_MODE_ENUM" type="string"> + <ipxact:name>PHY_RLD2_USER_CK_MODE_ENUM</ipxact:name> + <ipxact:displayName>Output mode</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD2_USER_CK_SLEW_RATE_ENUM" type="string"> + <ipxact:name>PHY_RLD2_USER_CK_SLEW_RATE_ENUM</ipxact:name> + <ipxact:displayName>Slew rate</ipxact:displayName> + <ipxact:value>SLEW_RATE_FAST</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD2_USER_DATA_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_RLD2_USER_DATA_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD2_USER_DATA_OUT_MODE_ENUM" type="string"> + <ipxact:name>PHY_RLD2_USER_DATA_OUT_MODE_ENUM</ipxact:name> + <ipxact:displayName>Output mode</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD2_USER_DATA_IN_MODE_ENUM" type="string"> + <ipxact:name>PHY_RLD2_USER_DATA_IN_MODE_ENUM</ipxact:name> + <ipxact:displayName>Input mode</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD2_USER_AUTO_STARTING_VREFIN_EN" type="bit"> + <ipxact:name>PHY_RLD2_USER_AUTO_STARTING_VREFIN_EN</ipxact:name> + <ipxact:displayName>Use recommended initial Vrefin</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD2_USER_STARTING_VREFIN" type="real"> + <ipxact:name>PHY_RLD2_USER_STARTING_VREFIN</ipxact:name> + <ipxact:displayName>Initial Vrefin</ipxact:displayName> + <ipxact:value>70.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD2_USER_PLL_REF_CLK_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_RLD2_USER_PLL_REF_CLK_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>PLL reference clock I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD2_USER_RZQ_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_RLD2_USER_RZQ_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>RZQ I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD2_AC_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_RLD2_AC_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD2_AC_MODE_ENUM" type="string"> + <ipxact:name>PHY_RLD2_AC_MODE_ENUM</ipxact:name> + <ipxact:displayName>Output mode</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD2_AC_SLEW_RATE_ENUM" type="string"> + <ipxact:name>PHY_RLD2_AC_SLEW_RATE_ENUM</ipxact:name> + <ipxact:displayName>Slew rate</ipxact:displayName> + <ipxact:value>SLEW_RATE_FAST</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD2_CK_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_RLD2_CK_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD2_CK_MODE_ENUM" type="string"> + <ipxact:name>PHY_RLD2_CK_MODE_ENUM</ipxact:name> + <ipxact:displayName>Output mode</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD2_CK_SLEW_RATE_ENUM" type="string"> + <ipxact:name>PHY_RLD2_CK_SLEW_RATE_ENUM</ipxact:name> + <ipxact:displayName>Slew rate</ipxact:displayName> + <ipxact:value>SLEW_RATE_FAST</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD2_DATA_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_RLD2_DATA_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD2_DATA_OUT_MODE_ENUM" type="string"> + <ipxact:name>PHY_RLD2_DATA_OUT_MODE_ENUM</ipxact:name> + <ipxact:displayName>Output mode</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD2_DATA_IN_MODE_ENUM" type="string"> + <ipxact:name>PHY_RLD2_DATA_IN_MODE_ENUM</ipxact:name> + <ipxact:displayName>Input mode</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD2_AUTO_STARTING_VREFIN_EN" type="bit"> + <ipxact:name>PHY_RLD2_AUTO_STARTING_VREFIN_EN</ipxact:name> + <ipxact:displayName>Use recommended initial Vrefin</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD2_STARTING_VREFIN" type="real"> + <ipxact:name>PHY_RLD2_STARTING_VREFIN</ipxact:name> + <ipxact:displayName>Initial Vrefin</ipxact:displayName> + <ipxact:value>70.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD2_PLL_REF_CLK_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_RLD2_PLL_REF_CLK_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>PLL reference clock I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD2_RZQ_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_RLD2_RZQ_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>RZQ I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD3_CONFIG_ENUM" type="string"> + <ipxact:name>PHY_RLD3_CONFIG_ENUM</ipxact:name> + <ipxact:displayName>Configuration</ipxact:displayName> + <ipxact:value>CONFIG_PHY_ONLY</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD3_USER_PING_PONG_EN" type="bit"> + <ipxact:name>PHY_RLD3_USER_PING_PONG_EN</ipxact:name> + <ipxact:displayName>Instantiate two controllers sharing a Ping Pong PHY</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD3_MEM_CLK_FREQ_MHZ" type="real"> + <ipxact:name>PHY_RLD3_MEM_CLK_FREQ_MHZ</ipxact:name> + <ipxact:displayName>Memory clock frequency</ipxact:displayName> + <ipxact:value>1066.667</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD3_DEFAULT_REF_CLK_FREQ" type="bit"> + <ipxact:name>PHY_RLD3_DEFAULT_REF_CLK_FREQ</ipxact:name> + <ipxact:displayName>Use recommended PLL reference clock frequency</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD3_USER_REF_CLK_FREQ_MHZ" type="real"> + <ipxact:name>PHY_RLD3_USER_REF_CLK_FREQ_MHZ</ipxact:name> + <ipxact:displayName>PLL reference clock frequency</ipxact:displayName> + <ipxact:value>-1.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD3_REF_CLK_JITTER_PS" type="real"> + <ipxact:name>PHY_RLD3_REF_CLK_JITTER_PS</ipxact:name> + <ipxact:displayName>PLL reference clock jitter</ipxact:displayName> + <ipxact:value>10.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD3_RATE_ENUM" type="string"> + <ipxact:name>PHY_RLD3_RATE_ENUM</ipxact:name> + <ipxact:displayName>Clock rate of user logic</ipxact:displayName> + <ipxact:value>RATE_QUARTER</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD3_CORE_CLKS_SHARING_ENUM" type="string"> + <ipxact:name>PHY_RLD3_CORE_CLKS_SHARING_ENUM</ipxact:name> + <ipxact:displayName>Core clocks sharing</ipxact:displayName> + <ipxact:value>CORE_CLKS_SHARING_DISABLED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD3_CORE_CLKS_SHARING_EXPOSE_SLAVE_OUT" type="bit"> + <ipxact:name>PHY_RLD3_CORE_CLKS_SHARING_EXPOSE_SLAVE_OUT</ipxact:name> + <ipxact:displayName>Export clks_sharing_slave_out to facilitate multi-slave connectivity</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD3_IO_VOLTAGE" type="real"> + <ipxact:name>PHY_RLD3_IO_VOLTAGE</ipxact:name> + <ipxact:displayName>Voltage</ipxact:displayName> + <ipxact:value>1.2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD3_DEFAULT_IO" type="bit"> + <ipxact:name>PHY_RLD3_DEFAULT_IO</ipxact:name> + <ipxact:displayName>Use default I/O settings</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD3_HPS_ENABLE_EARLY_RELEASE" type="bit"> + <ipxact:name>PHY_RLD3_HPS_ENABLE_EARLY_RELEASE</ipxact:name> + <ipxact:displayName>Enable HPS Early Release Mode</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD3_USER_PERIODIC_OCT_RECAL_ENUM" type="string"> + <ipxact:name>PHY_RLD3_USER_PERIODIC_OCT_RECAL_ENUM</ipxact:name> + <ipxact:displayName>Periodic OCT re-calibration</ipxact:displayName> + <ipxact:value>PERIODIC_OCT_RECAL_AUTO</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD3_USER_DLL_CORE_UPDN_EN" type="bit"> + <ipxact:name>PHY_RLD3_USER_DLL_CORE_UPDN_EN</ipxact:name> + <ipxact:displayName>Use linear search for DLL lock</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD3_MIMIC_HPS_EMIF" type="bit"> + <ipxact:name>PHY_RLD3_MIMIC_HPS_EMIF</ipxact:name> + <ipxact:displayName>Mimic HPS EMIF</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD3_REF_CLK_FREQ_MHZ" type="real"> + <ipxact:name>PHY_RLD3_REF_CLK_FREQ_MHZ</ipxact:name> + <ipxact:displayName>PLL reference clock frequency</ipxact:displayName> + <ipxact:value>-1.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD3_PING_PONG_EN" type="bit"> + <ipxact:name>PHY_RLD3_PING_PONG_EN</ipxact:name> + <ipxact:displayName>Instantiate two controllers sharing a Ping Pong PHY</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD3_USER_AC_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_RLD3_USER_AC_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD3_USER_AC_MODE_ENUM" type="string"> + <ipxact:name>PHY_RLD3_USER_AC_MODE_ENUM</ipxact:name> + <ipxact:displayName>Output mode</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD3_USER_AC_SLEW_RATE_ENUM" type="string"> + <ipxact:name>PHY_RLD3_USER_AC_SLEW_RATE_ENUM</ipxact:name> + <ipxact:displayName>Slew rate</ipxact:displayName> + <ipxact:value>SLEW_RATE_FAST</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD3_USER_CK_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_RLD3_USER_CK_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD3_USER_CK_MODE_ENUM" type="string"> + <ipxact:name>PHY_RLD3_USER_CK_MODE_ENUM</ipxact:name> + <ipxact:displayName>Output mode</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD3_USER_CK_SLEW_RATE_ENUM" type="string"> + <ipxact:name>PHY_RLD3_USER_CK_SLEW_RATE_ENUM</ipxact:name> + <ipxact:displayName>Slew rate</ipxact:displayName> + <ipxact:value>SLEW_RATE_FAST</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD3_USER_DATA_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_RLD3_USER_DATA_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD3_USER_DATA_OUT_MODE_ENUM" type="string"> + <ipxact:name>PHY_RLD3_USER_DATA_OUT_MODE_ENUM</ipxact:name> + <ipxact:displayName>Output mode</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD3_USER_DATA_IN_MODE_ENUM" type="string"> + <ipxact:name>PHY_RLD3_USER_DATA_IN_MODE_ENUM</ipxact:name> + <ipxact:displayName>Input mode</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD3_USER_AUTO_STARTING_VREFIN_EN" type="bit"> + <ipxact:name>PHY_RLD3_USER_AUTO_STARTING_VREFIN_EN</ipxact:name> + <ipxact:displayName>Use recommended initial Vrefin</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD3_USER_STARTING_VREFIN" type="real"> + <ipxact:name>PHY_RLD3_USER_STARTING_VREFIN</ipxact:name> + <ipxact:displayName>Initial Vrefin</ipxact:displayName> + <ipxact:value>70.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD3_USER_PLL_REF_CLK_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_RLD3_USER_PLL_REF_CLK_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>PLL reference clock I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD3_USER_RZQ_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_RLD3_USER_RZQ_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>RZQ I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD3_AC_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_RLD3_AC_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD3_AC_MODE_ENUM" type="string"> + <ipxact:name>PHY_RLD3_AC_MODE_ENUM</ipxact:name> + <ipxact:displayName>Output mode</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD3_AC_SLEW_RATE_ENUM" type="string"> + <ipxact:name>PHY_RLD3_AC_SLEW_RATE_ENUM</ipxact:name> + <ipxact:displayName>Slew rate</ipxact:displayName> + <ipxact:value>SLEW_RATE_FAST</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD3_CK_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_RLD3_CK_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD3_CK_MODE_ENUM" type="string"> + <ipxact:name>PHY_RLD3_CK_MODE_ENUM</ipxact:name> + <ipxact:displayName>Output mode</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD3_CK_SLEW_RATE_ENUM" type="string"> + <ipxact:name>PHY_RLD3_CK_SLEW_RATE_ENUM</ipxact:name> + <ipxact:displayName>Slew rate</ipxact:displayName> + <ipxact:value>SLEW_RATE_FAST</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD3_DATA_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_RLD3_DATA_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD3_DATA_OUT_MODE_ENUM" type="string"> + <ipxact:name>PHY_RLD3_DATA_OUT_MODE_ENUM</ipxact:name> + <ipxact:displayName>Output mode</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD3_DATA_IN_MODE_ENUM" type="string"> + <ipxact:name>PHY_RLD3_DATA_IN_MODE_ENUM</ipxact:name> + <ipxact:displayName>Input mode</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD3_AUTO_STARTING_VREFIN_EN" type="bit"> + <ipxact:name>PHY_RLD3_AUTO_STARTING_VREFIN_EN</ipxact:name> + <ipxact:displayName>Use recommended initial Vrefin</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD3_STARTING_VREFIN" type="real"> + <ipxact:name>PHY_RLD3_STARTING_VREFIN</ipxact:name> + <ipxact:displayName>Initial Vrefin</ipxact:displayName> + <ipxact:value>70.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD3_PLL_REF_CLK_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_RLD3_PLL_REF_CLK_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>PLL reference clock I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD3_RZQ_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_RLD3_RZQ_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>RZQ I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_LPDDR3_CONFIG_ENUM" type="string"> + <ipxact:name>PHY_LPDDR3_CONFIG_ENUM</ipxact:name> + <ipxact:displayName>Configuration</ipxact:displayName> + <ipxact:value>CONFIG_PHY_AND_HARD_CTRL</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_LPDDR3_USER_PING_PONG_EN" type="bit"> + <ipxact:name>PHY_LPDDR3_USER_PING_PONG_EN</ipxact:name> + <ipxact:displayName>Instantiate two controllers sharing a Ping Pong PHY</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_LPDDR3_MEM_CLK_FREQ_MHZ" type="real"> + <ipxact:name>PHY_LPDDR3_MEM_CLK_FREQ_MHZ</ipxact:name> + <ipxact:displayName>Memory clock frequency</ipxact:displayName> + <ipxact:value>800.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_LPDDR3_DEFAULT_REF_CLK_FREQ" type="bit"> + <ipxact:name>PHY_LPDDR3_DEFAULT_REF_CLK_FREQ</ipxact:name> + <ipxact:displayName>Use recommended PLL reference clock frequency</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_LPDDR3_USER_REF_CLK_FREQ_MHZ" type="real"> + <ipxact:name>PHY_LPDDR3_USER_REF_CLK_FREQ_MHZ</ipxact:name> + <ipxact:displayName>PLL reference clock frequency</ipxact:displayName> + <ipxact:value>-1.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_LPDDR3_REF_CLK_JITTER_PS" type="real"> + <ipxact:name>PHY_LPDDR3_REF_CLK_JITTER_PS</ipxact:name> + <ipxact:displayName>PLL reference clock jitter</ipxact:displayName> + <ipxact:value>10.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_LPDDR3_RATE_ENUM" type="string"> + <ipxact:name>PHY_LPDDR3_RATE_ENUM</ipxact:name> + <ipxact:displayName>Clock rate of user logic</ipxact:displayName> + <ipxact:value>RATE_QUARTER</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_LPDDR3_CORE_CLKS_SHARING_ENUM" type="string"> + <ipxact:name>PHY_LPDDR3_CORE_CLKS_SHARING_ENUM</ipxact:name> + <ipxact:displayName>Core clocks sharing</ipxact:displayName> + <ipxact:value>CORE_CLKS_SHARING_DISABLED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_LPDDR3_CORE_CLKS_SHARING_EXPOSE_SLAVE_OUT" type="bit"> + <ipxact:name>PHY_LPDDR3_CORE_CLKS_SHARING_EXPOSE_SLAVE_OUT</ipxact:name> + <ipxact:displayName>Export clks_sharing_slave_out to facilitate multi-slave connectivity</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_LPDDR3_IO_VOLTAGE" type="real"> + <ipxact:name>PHY_LPDDR3_IO_VOLTAGE</ipxact:name> + <ipxact:displayName>Voltage</ipxact:displayName> + <ipxact:value>1.2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_LPDDR3_DEFAULT_IO" type="bit"> + <ipxact:name>PHY_LPDDR3_DEFAULT_IO</ipxact:name> + <ipxact:displayName>Use default I/O settings</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_LPDDR3_HPS_ENABLE_EARLY_RELEASE" type="bit"> + <ipxact:name>PHY_LPDDR3_HPS_ENABLE_EARLY_RELEASE</ipxact:name> + <ipxact:displayName>Enable HPS Early Release Mode</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_LPDDR3_USER_PERIODIC_OCT_RECAL_ENUM" type="string"> + <ipxact:name>PHY_LPDDR3_USER_PERIODIC_OCT_RECAL_ENUM</ipxact:name> + <ipxact:displayName>Periodic OCT re-calibration</ipxact:displayName> + <ipxact:value>PERIODIC_OCT_RECAL_AUTO</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_LPDDR3_USER_DLL_CORE_UPDN_EN" type="bit"> + <ipxact:name>PHY_LPDDR3_USER_DLL_CORE_UPDN_EN</ipxact:name> + <ipxact:displayName>Use linear search for DLL lock</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_LPDDR3_MIMIC_HPS_EMIF" type="bit"> + <ipxact:name>PHY_LPDDR3_MIMIC_HPS_EMIF</ipxact:name> + <ipxact:displayName>Mimic HPS EMIF</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_LPDDR3_REF_CLK_FREQ_MHZ" type="real"> + <ipxact:name>PHY_LPDDR3_REF_CLK_FREQ_MHZ</ipxact:name> + <ipxact:displayName>PLL reference clock frequency</ipxact:displayName> + <ipxact:value>-1.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_LPDDR3_PING_PONG_EN" type="bit"> + <ipxact:name>PHY_LPDDR3_PING_PONG_EN</ipxact:name> + <ipxact:displayName>Instantiate two controllers sharing a Ping Pong PHY</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_LPDDR3_USER_AC_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_LPDDR3_USER_AC_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_LPDDR3_USER_AC_MODE_ENUM" type="string"> + <ipxact:name>PHY_LPDDR3_USER_AC_MODE_ENUM</ipxact:name> + <ipxact:displayName>Output mode</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_LPDDR3_USER_AC_SLEW_RATE_ENUM" type="string"> + <ipxact:name>PHY_LPDDR3_USER_AC_SLEW_RATE_ENUM</ipxact:name> + <ipxact:displayName>Slew rate</ipxact:displayName> + <ipxact:value>SLEW_RATE_FAST</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_LPDDR3_USER_CK_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_LPDDR3_USER_CK_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_LPDDR3_USER_CK_MODE_ENUM" type="string"> + <ipxact:name>PHY_LPDDR3_USER_CK_MODE_ENUM</ipxact:name> + <ipxact:displayName>Output mode</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_LPDDR3_USER_CK_SLEW_RATE_ENUM" type="string"> + <ipxact:name>PHY_LPDDR3_USER_CK_SLEW_RATE_ENUM</ipxact:name> + <ipxact:displayName>Slew rate</ipxact:displayName> + <ipxact:value>SLEW_RATE_FAST</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_LPDDR3_USER_DATA_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_LPDDR3_USER_DATA_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_LPDDR3_USER_DATA_OUT_MODE_ENUM" type="string"> + <ipxact:name>PHY_LPDDR3_USER_DATA_OUT_MODE_ENUM</ipxact:name> + <ipxact:displayName>Output mode</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_LPDDR3_USER_DATA_IN_MODE_ENUM" type="string"> + <ipxact:name>PHY_LPDDR3_USER_DATA_IN_MODE_ENUM</ipxact:name> + <ipxact:displayName>Input mode</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_LPDDR3_USER_AUTO_STARTING_VREFIN_EN" type="bit"> + <ipxact:name>PHY_LPDDR3_USER_AUTO_STARTING_VREFIN_EN</ipxact:name> + <ipxact:displayName>Use recommended initial Vrefin</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_LPDDR3_USER_STARTING_VREFIN" type="real"> + <ipxact:name>PHY_LPDDR3_USER_STARTING_VREFIN</ipxact:name> + <ipxact:displayName>Initial Vrefin</ipxact:displayName> + <ipxact:value>70.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_LPDDR3_USER_PLL_REF_CLK_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_LPDDR3_USER_PLL_REF_CLK_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>PLL reference clock I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_LPDDR3_USER_RZQ_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_LPDDR3_USER_RZQ_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>RZQ I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_LPDDR3_AC_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_LPDDR3_AC_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_LPDDR3_AC_MODE_ENUM" type="string"> + <ipxact:name>PHY_LPDDR3_AC_MODE_ENUM</ipxact:name> + <ipxact:displayName>Output mode</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_LPDDR3_AC_SLEW_RATE_ENUM" type="string"> + <ipxact:name>PHY_LPDDR3_AC_SLEW_RATE_ENUM</ipxact:name> + <ipxact:displayName>Slew rate</ipxact:displayName> + <ipxact:value>SLEW_RATE_FAST</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_LPDDR3_CK_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_LPDDR3_CK_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_LPDDR3_CK_MODE_ENUM" type="string"> + <ipxact:name>PHY_LPDDR3_CK_MODE_ENUM</ipxact:name> + <ipxact:displayName>Output mode</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_LPDDR3_CK_SLEW_RATE_ENUM" type="string"> + <ipxact:name>PHY_LPDDR3_CK_SLEW_RATE_ENUM</ipxact:name> + <ipxact:displayName>Slew rate</ipxact:displayName> + <ipxact:value>SLEW_RATE_FAST</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_LPDDR3_DATA_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_LPDDR3_DATA_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_LPDDR3_DATA_OUT_MODE_ENUM" type="string"> + <ipxact:name>PHY_LPDDR3_DATA_OUT_MODE_ENUM</ipxact:name> + <ipxact:displayName>Output mode</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_LPDDR3_DATA_IN_MODE_ENUM" type="string"> + <ipxact:name>PHY_LPDDR3_DATA_IN_MODE_ENUM</ipxact:name> + <ipxact:displayName>Input mode</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_LPDDR3_AUTO_STARTING_VREFIN_EN" type="bit"> + <ipxact:name>PHY_LPDDR3_AUTO_STARTING_VREFIN_EN</ipxact:name> + <ipxact:displayName>Use recommended initial Vrefin</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_LPDDR3_STARTING_VREFIN" type="real"> + <ipxact:name>PHY_LPDDR3_STARTING_VREFIN</ipxact:name> + <ipxact:displayName>Initial Vrefin</ipxact:displayName> + <ipxact:value>70.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_LPDDR3_PLL_REF_CLK_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_LPDDR3_PLL_REF_CLK_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>PLL reference clock I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_LPDDR3_RZQ_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_LPDDR3_RZQ_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>RZQ I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_FORMAT_ENUM" type="string"> + <ipxact:name>MEM_FORMAT_ENUM</ipxact:name> + <ipxact:displayName>PARAM_MEM_FORMAT_ENUM_NAME</ipxact:displayName> + <ipxact:value>MEM_FORMAT_SODIMM</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_READ_LATENCY" type="real"> + <ipxact:name>MEM_READ_LATENCY</ipxact:name> + <ipxact:displayName>PARAM_MEM_READ_LATENCY_NAME</ipxact:displayName> + <ipxact:value>11.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_WRITE_LATENCY" type="int"> + <ipxact:name>MEM_WRITE_LATENCY</ipxact:name> + <ipxact:displayName>PARAM_MEM_WRITE_LATENCY_NAME</ipxact:displayName> + <ipxact:value>9</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_BURST_LENGTH" type="int"> + <ipxact:name>MEM_BURST_LENGTH</ipxact:name> + <ipxact:displayName>PARAM_MEM_BURST_LENGTH_NAME</ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DATA_MASK_EN" type="bit"> + <ipxact:name>MEM_DATA_MASK_EN</ipxact:name> + <ipxact:displayName>PARAM_MEM_DATA_MASK_EN_NAME</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_HAS_SIM_SUPPORT" type="bit"> + <ipxact:name>MEM_HAS_SIM_SUPPORT</ipxact:name> + <ipxact:displayName>PARAM_MEM_HAS_SIM_SUPPORT_NAME</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_NUM_OF_PHYSICAL_RANKS" type="int"> + <ipxact:name>MEM_NUM_OF_PHYSICAL_RANKS</ipxact:name> + <ipxact:displayName>PARAM_MEM_NUM_OF_PHYSICAL_RANKS_NAME</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_NUM_OF_LOGICAL_RANKS" type="int"> + <ipxact:name>MEM_NUM_OF_LOGICAL_RANKS</ipxact:name> + <ipxact:displayName>PARAM_MEM_NUM_OF_LOGICAL_RANKS_NAME</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_NUM_OF_DATA_ENDPOINTS" type="int"> + <ipxact:name>MEM_NUM_OF_DATA_ENDPOINTS</ipxact:name> + <ipxact:displayName>PARAM_MEM_NUM_OF_DATA_ENDPOINTS_NAME</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_TTL_DATA_WIDTH" type="int"> + <ipxact:name>MEM_TTL_DATA_WIDTH</ipxact:name> + <ipxact:displayName>PARAM_MEM_TTL_DATA_WIDTH_NAME</ipxact:displayName> + <ipxact:value>72</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_TTL_NUM_OF_READ_GROUPS" type="int"> + <ipxact:name>MEM_TTL_NUM_OF_READ_GROUPS</ipxact:name> + <ipxact:displayName>PARAM_MEM_TTL_NUM_OF_READ_GROUPS_NAME</ipxact:displayName> + <ipxact:value>9</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_TTL_NUM_OF_WRITE_GROUPS" type="int"> + <ipxact:name>MEM_TTL_NUM_OF_WRITE_GROUPS</ipxact:name> + <ipxact:displayName>PARAM_MEM_TTL_NUM_OF_WRITE_GROUPS_NAME</ipxact:displayName> + <ipxact:value>9</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_FORMAT_ENUM" type="string"> + <ipxact:name>MEM_DDR3_FORMAT_ENUM</ipxact:name> + <ipxact:displayName>Memory format</ipxact:displayName> + <ipxact:value>MEM_FORMAT_UDIMM</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_DQ_WIDTH" type="int"> + <ipxact:name>MEM_DDR3_DQ_WIDTH</ipxact:name> + <ipxact:displayName>DQ width</ipxact:displayName> + <ipxact:value>72</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_DQ_PER_DQS" type="int"> + <ipxact:name>MEM_DDR3_DQ_PER_DQS</ipxact:name> + <ipxact:displayName>DQ pins per DQS group</ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_DISCRETE_CS_WIDTH" type="int"> + <ipxact:name>MEM_DDR3_DISCRETE_CS_WIDTH</ipxact:name> + <ipxact:displayName>Number of chip selects</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_NUM_OF_DIMMS" type="int"> + <ipxact:name>MEM_DDR3_NUM_OF_DIMMS</ipxact:name> + <ipxact:displayName>Number of DIMMs</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_RANKS_PER_DIMM" type="int"> + <ipxact:name>MEM_DDR3_RANKS_PER_DIMM</ipxact:name> + <ipxact:displayName>Number of physical ranks per DIMM</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_CKE_PER_DIMM" type="int"> + <ipxact:name>MEM_DDR3_CKE_PER_DIMM</ipxact:name> + <ipxact:displayName>Number of clock enables per DIMM</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_CK_WIDTH" type="int"> + <ipxact:name>MEM_DDR3_CK_WIDTH</ipxact:name> + <ipxact:displayName>Number of clocks</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_ROW_ADDR_WIDTH" type="int"> + <ipxact:name>MEM_DDR3_ROW_ADDR_WIDTH</ipxact:name> + <ipxact:displayName>Row address width</ipxact:displayName> + <ipxact:value>15</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_COL_ADDR_WIDTH" type="int"> + <ipxact:name>MEM_DDR3_COL_ADDR_WIDTH</ipxact:name> + <ipxact:displayName>Column address width</ipxact:displayName> + <ipxact:value>10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_BANK_ADDR_WIDTH" type="int"> + <ipxact:name>MEM_DDR3_BANK_ADDR_WIDTH</ipxact:name> + <ipxact:displayName>Bank address width</ipxact:displayName> + <ipxact:value>3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_DM_EN" type="bit"> + <ipxact:name>MEM_DDR3_DM_EN</ipxact:name> + <ipxact:displayName>Enable DM pins</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_DISCRETE_MIRROR_ADDRESSING_EN" type="bit"> + <ipxact:name>MEM_DDR3_DISCRETE_MIRROR_ADDRESSING_EN</ipxact:name> + <ipxact:displayName>Enable address mirroring for odd chip-selects</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_MIRROR_ADDRESSING_EN" type="bit"> + <ipxact:name>MEM_DDR3_MIRROR_ADDRESSING_EN</ipxact:name> + <ipxact:displayName>Enable address mirroring for odd ranks</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_HIDE_ADV_MR_SETTINGS" type="bit"> + <ipxact:name>MEM_DDR3_HIDE_ADV_MR_SETTINGS</ipxact:name> + <ipxact:displayName>Hide advanced mode register settings</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_RDIMM_CONFIG" type="string"> + <ipxact:name>MEM_DDR3_RDIMM_CONFIG</ipxact:name> + <ipxact:displayName>DDR3 RDIMM/LRDIMM control words</ipxact:displayName> + <ipxact:value>0000000000000000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_LRDIMM_EXTENDED_CONFIG" type="string"> + <ipxact:name>MEM_DDR3_LRDIMM_EXTENDED_CONFIG</ipxact:name> + <ipxact:displayName>DDR3 LRDIMM additional control words</ipxact:displayName> + <ipxact:value>000000000000000000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_ALERT_N_PLACEMENT_ENUM" type="string"> + <ipxact:name>MEM_DDR3_ALERT_N_PLACEMENT_ENUM</ipxact:name> + <ipxact:displayName>ALERT# pin placement</ipxact:displayName> + <ipxact:value>DDR3_ALERT_N_PLACEMENT_AC_LANES</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_ALERT_N_DQS_GROUP" type="int"> + <ipxact:name>MEM_DDR3_ALERT_N_DQS_GROUP</ipxact:name> + <ipxact:displayName>DQS group of ALERT#</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_DQS_WIDTH" type="int"> + <ipxact:name>MEM_DDR3_DQS_WIDTH</ipxact:name> + <ipxact:displayName>Number of DQS groups</ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_DM_WIDTH" type="int"> + <ipxact:name>MEM_DDR3_DM_WIDTH</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR3_DM_WIDTH_NAME</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_CS_WIDTH" type="int"> + <ipxact:name>MEM_DDR3_CS_WIDTH</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR3_CS_WIDTH_NAME</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_CS_PER_DIMM" type="int"> + <ipxact:name>MEM_DDR3_CS_PER_DIMM</ipxact:name> + <ipxact:displayName>Number of chip selects per DIMM</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_CKE_WIDTH" type="int"> + <ipxact:name>MEM_DDR3_CKE_WIDTH</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR3_CKE_WIDTH_NAME</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_ODT_WIDTH" type="int"> + <ipxact:name>MEM_DDR3_ODT_WIDTH</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR3_ODT_WIDTH_NAME</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_ADDR_WIDTH" type="int"> + <ipxact:name>MEM_DDR3_ADDR_WIDTH</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR3_ADDR_WIDTH_NAME</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_RM_WIDTH" type="int"> + <ipxact:name>MEM_DDR3_RM_WIDTH</ipxact:name> + <ipxact:displayName>Number of rank multiplication pins</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_AC_PAR_EN" type="bit"> + <ipxact:name>MEM_DDR3_AC_PAR_EN</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR3_AC_PAR_EN_NAME</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_NUM_OF_PHYSICAL_RANKS" type="int"> + <ipxact:name>MEM_DDR3_NUM_OF_PHYSICAL_RANKS</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR3_NUM_OF_PHYSICAL_RANKS_NAME</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_NUM_OF_LOGICAL_RANKS" type="int"> + <ipxact:name>MEM_DDR3_NUM_OF_LOGICAL_RANKS</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR3_NUM_OF_LOGICAL_RANKS_NAME</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_TTL_DQS_WIDTH" type="int"> + <ipxact:name>MEM_DDR3_TTL_DQS_WIDTH</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR3_TTL_DQS_WIDTH_NAME</ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_TTL_DQ_WIDTH" type="int"> + <ipxact:name>MEM_DDR3_TTL_DQ_WIDTH</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR3_TTL_DQ_WIDTH_NAME</ipxact:displayName> + <ipxact:value>72</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_TTL_DM_WIDTH" type="int"> + <ipxact:name>MEM_DDR3_TTL_DM_WIDTH</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR3_TTL_DM_WIDTH_NAME</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_TTL_CS_WIDTH" type="int"> + <ipxact:name>MEM_DDR3_TTL_CS_WIDTH</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR3_TTL_CS_WIDTH_NAME</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_TTL_CK_WIDTH" type="int"> + <ipxact:name>MEM_DDR3_TTL_CK_WIDTH</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR3_TTL_CK_WIDTH_NAME</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_TTL_CKE_WIDTH" type="int"> + <ipxact:name>MEM_DDR3_TTL_CKE_WIDTH</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR3_TTL_CKE_WIDTH_NAME</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_TTL_ODT_WIDTH" type="int"> + <ipxact:name>MEM_DDR3_TTL_ODT_WIDTH</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR3_TTL_ODT_WIDTH_NAME</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_TTL_BANK_ADDR_WIDTH" type="int"> + <ipxact:name>MEM_DDR3_TTL_BANK_ADDR_WIDTH</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR3_TTL_BANK_ADDR_WIDTH_NAME</ipxact:displayName> + <ipxact:value>3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_TTL_ADDR_WIDTH" type="int"> + <ipxact:name>MEM_DDR3_TTL_ADDR_WIDTH</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR3_TTL_ADDR_WIDTH_NAME</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_TTL_RM_WIDTH" type="int"> + <ipxact:name>MEM_DDR3_TTL_RM_WIDTH</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR3_TTL_RM_WIDTH_NAME</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_TTL_NUM_OF_DIMMS" type="int"> + <ipxact:name>MEM_DDR3_TTL_NUM_OF_DIMMS</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR3_TTL_NUM_OF_DIMMS_NAME</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_TTL_NUM_OF_PHYSICAL_RANKS" type="int"> + <ipxact:name>MEM_DDR3_TTL_NUM_OF_PHYSICAL_RANKS</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR3_TTL_NUM_OF_PHYSICAL_RANKS_NAME</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_TTL_NUM_OF_LOGICAL_RANKS" type="int"> + <ipxact:name>MEM_DDR3_TTL_NUM_OF_LOGICAL_RANKS</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR3_TTL_NUM_OF_LOGICAL_RANKS_NAME</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_MR0" type="int"> + <ipxact:name>MEM_DDR3_MR0</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR3_MR0_NAME</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_MR1" type="int"> + <ipxact:name>MEM_DDR3_MR1</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR3_MR1_NAME</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_MR2" type="int"> + <ipxact:name>MEM_DDR3_MR2</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR3_MR2_NAME</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_MR3" type="int"> + <ipxact:name>MEM_DDR3_MR3</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR3_MR3_NAME</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_ADDRESS_MIRROR_BITVEC" type="int"> + <ipxact:name>MEM_DDR3_ADDRESS_MIRROR_BITVEC</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR3_ADDRESS_MIRROR_BITVEC_NAME</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_BL_ENUM" type="string"> + <ipxact:name>MEM_DDR3_BL_ENUM</ipxact:name> + <ipxact:displayName>Burst Length</ipxact:displayName> + <ipxact:value>DDR3_BL_BL8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_BT_ENUM" type="string"> + <ipxact:name>MEM_DDR3_BT_ENUM</ipxact:name> + <ipxact:displayName>Read Burst Type</ipxact:displayName> + <ipxact:value>DDR3_BT_SEQUENTIAL</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_ASR_ENUM" type="string"> + <ipxact:name>MEM_DDR3_ASR_ENUM</ipxact:name> + <ipxact:displayName>Auto self-refresh method</ipxact:displayName> + <ipxact:value>DDR3_ASR_MANUAL</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_SRT_ENUM" type="string"> + <ipxact:name>MEM_DDR3_SRT_ENUM</ipxact:name> + <ipxact:displayName>Self-refresh temperature</ipxact:displayName> + <ipxact:value>DDR3_SRT_NORMAL</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_PD_ENUM" type="string"> + <ipxact:name>MEM_DDR3_PD_ENUM</ipxact:name> + <ipxact:displayName>DLL precharge power down</ipxact:displayName> + <ipxact:value>DDR3_PD_OFF</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_DRV_STR_ENUM" type="string"> + <ipxact:name>MEM_DDR3_DRV_STR_ENUM</ipxact:name> + <ipxact:displayName>Output drive strength setting</ipxact:displayName> + <ipxact:value>DDR3_DRV_STR_RZQ_7</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_DLL_EN" type="bit"> + <ipxact:name>MEM_DDR3_DLL_EN</ipxact:name> + <ipxact:displayName>Enable the DLL in memory device</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_RTT_NOM_ENUM" type="string"> + <ipxact:name>MEM_DDR3_RTT_NOM_ENUM</ipxact:name> + <ipxact:displayName>ODT Rtt nominal value</ipxact:displayName> + <ipxact:value>DDR3_RTT_NOM_ODT_DISABLED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_RTT_WR_ENUM" type="string"> + <ipxact:name>MEM_DDR3_RTT_WR_ENUM</ipxact:name> + <ipxact:displayName>Dynamic ODT (Rtt_WR) value</ipxact:displayName> + <ipxact:value>DDR3_RTT_WR_RZQ_4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_WTCL" type="int"> + <ipxact:name>MEM_DDR3_WTCL</ipxact:name> + <ipxact:displayName>Memory write CAS latency setting</ipxact:displayName> + <ipxact:value>10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_ATCL_ENUM" type="string"> + <ipxact:name>MEM_DDR3_ATCL_ENUM</ipxact:name> + <ipxact:displayName>Memory additive CAS latency setting</ipxact:displayName> + <ipxact:value>DDR3_ATCL_DISABLED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_TCL" type="int"> + <ipxact:name>MEM_DDR3_TCL</ipxact:name> + <ipxact:displayName>Memory CAS latency setting</ipxact:displayName> + <ipxact:value>14</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_USE_DEFAULT_ODT" type="bit"> + <ipxact:name>MEM_DDR3_USE_DEFAULT_ODT</ipxact:name> + <ipxact:displayName>Use Default ODT Assertion Tables</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_R_ODTN_1X1" type="string"> + <ipxact:name>MEM_DDR3_R_ODTN_1X1</ipxact:name> + <ipxact:displayName>Read Target</ipxact:displayName> + <ipxact:value>Rank 0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_R_ODT0_1X1" type="string"> + <ipxact:name>MEM_DDR3_R_ODT0_1X1</ipxact:name> + <ipxact:displayName>ODT0</ipxact:displayName> + <ipxact:value>off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_W_ODTN_1X1" type="string"> + <ipxact:name>MEM_DDR3_W_ODTN_1X1</ipxact:name> + <ipxact:displayName>Write Target</ipxact:displayName> + <ipxact:value>Rank 0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_W_ODT0_1X1" type="string"> + <ipxact:name>MEM_DDR3_W_ODT0_1X1</ipxact:name> + <ipxact:displayName>ODT0</ipxact:displayName> + <ipxact:value>on</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_R_ODTN_2X2" type="string"> + <ipxact:name>MEM_DDR3_R_ODTN_2X2</ipxact:name> + <ipxact:displayName>Read Target</ipxact:displayName> + <ipxact:value>Rank 0,Rank 1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_R_ODT0_2X2" type="string"> + <ipxact:name>MEM_DDR3_R_ODT0_2X2</ipxact:name> + <ipxact:displayName>ODT0</ipxact:displayName> + <ipxact:value>off,off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_R_ODT1_2X2" type="string"> + <ipxact:name>MEM_DDR3_R_ODT1_2X2</ipxact:name> + <ipxact:displayName>ODT1</ipxact:displayName> + <ipxact:value>off,off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_W_ODTN_2X2" type="string"> + <ipxact:name>MEM_DDR3_W_ODTN_2X2</ipxact:name> + <ipxact:displayName>Write Target</ipxact:displayName> + <ipxact:value>Rank 0,Rank 1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_W_ODT0_2X2" type="string"> + <ipxact:name>MEM_DDR3_W_ODT0_2X2</ipxact:name> + <ipxact:displayName>ODT0</ipxact:displayName> + <ipxact:value>on,off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_W_ODT1_2X2" type="string"> + <ipxact:name>MEM_DDR3_W_ODT1_2X2</ipxact:name> + <ipxact:displayName>ODT1</ipxact:displayName> + <ipxact:value>off,on</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_R_ODTN_4X2" type="string"> + <ipxact:name>MEM_DDR3_R_ODTN_4X2</ipxact:name> + <ipxact:displayName>Read Target</ipxact:displayName> + <ipxact:value>Rank 0,Rank 1,Rank 2,Rank 3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_R_ODT0_4X2" type="string"> + <ipxact:name>MEM_DDR3_R_ODT0_4X2</ipxact:name> + <ipxact:displayName>ODT0</ipxact:displayName> + <ipxact:value>off,off,on,on</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_R_ODT1_4X2" type="string"> + <ipxact:name>MEM_DDR3_R_ODT1_4X2</ipxact:name> + <ipxact:displayName>ODT1</ipxact:displayName> + <ipxact:value>on,on,off,off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_W_ODTN_4X2" type="string"> + <ipxact:name>MEM_DDR3_W_ODTN_4X2</ipxact:name> + <ipxact:displayName>Write Target</ipxact:displayName> + <ipxact:value>Rank 0,Rank 1,Rank 2,Rank 3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_W_ODT0_4X2" type="string"> + <ipxact:name>MEM_DDR3_W_ODT0_4X2</ipxact:name> + <ipxact:displayName>ODT0</ipxact:displayName> + <ipxact:value>off,off,on,on</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_W_ODT1_4X2" type="string"> + <ipxact:name>MEM_DDR3_W_ODT1_4X2</ipxact:name> + <ipxact:displayName>ODT1</ipxact:displayName> + <ipxact:value>on,on,off,off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_R_ODTN_4X4" type="string"> + <ipxact:name>MEM_DDR3_R_ODTN_4X4</ipxact:name> + <ipxact:displayName>Read Target</ipxact:displayName> + <ipxact:value>Rank 0,Rank 1,Rank 2,Rank 3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_R_ODT0_4X4" type="string"> + <ipxact:name>MEM_DDR3_R_ODT0_4X4</ipxact:name> + <ipxact:displayName>ODT0</ipxact:displayName> + <ipxact:value>off,off,on,off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_R_ODT1_4X4" type="string"> + <ipxact:name>MEM_DDR3_R_ODT1_4X4</ipxact:name> + <ipxact:displayName>ODT1</ipxact:displayName> + <ipxact:value>off,off,off,on</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_R_ODT2_4X4" type="string"> + <ipxact:name>MEM_DDR3_R_ODT2_4X4</ipxact:name> + <ipxact:displayName>ODT2</ipxact:displayName> + <ipxact:value>on,off,off,off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_R_ODT3_4X4" type="string"> + <ipxact:name>MEM_DDR3_R_ODT3_4X4</ipxact:name> + <ipxact:displayName>ODT3</ipxact:displayName> + <ipxact:value>off,on,off,off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_W_ODTN_4X4" type="string"> + <ipxact:name>MEM_DDR3_W_ODTN_4X4</ipxact:name> + <ipxact:displayName>Write Target</ipxact:displayName> + <ipxact:value>Rank 0,Rank 1,Rank 2,Rank 3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_W_ODT0_4X4" type="string"> + <ipxact:name>MEM_DDR3_W_ODT0_4X4</ipxact:name> + <ipxact:displayName>ODT0</ipxact:displayName> + <ipxact:value>on,off,on,off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_W_ODT1_4X4" type="string"> + <ipxact:name>MEM_DDR3_W_ODT1_4X4</ipxact:name> + <ipxact:displayName>ODT1</ipxact:displayName> + <ipxact:value>off,on,off,on</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_W_ODT2_4X4" type="string"> + <ipxact:name>MEM_DDR3_W_ODT2_4X4</ipxact:name> + <ipxact:displayName>ODT2</ipxact:displayName> + <ipxact:value>on,off,on,off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_W_ODT3_4X4" type="string"> + <ipxact:name>MEM_DDR3_W_ODT3_4X4</ipxact:name> + <ipxact:displayName>ODT3</ipxact:displayName> + <ipxact:value>off,on,off,on</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_R_DERIVED_ODTN" type="string"> + <ipxact:name>MEM_DDR3_R_DERIVED_ODTN</ipxact:name> + <ipxact:displayName>Read Target</ipxact:displayName> + <ipxact:value>,,</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_R_DERIVED_ODT0" type="string"> + <ipxact:name>MEM_DDR3_R_DERIVED_ODT0</ipxact:name> + <ipxact:displayName>ODT0 Value</ipxact:displayName> + <ipxact:value>,,</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_R_DERIVED_ODT1" type="string"> + <ipxact:name>MEM_DDR3_R_DERIVED_ODT1</ipxact:name> + <ipxact:displayName>ODT1 Value</ipxact:displayName> + <ipxact:value>,,</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_R_DERIVED_ODT2" type="string"> + <ipxact:name>MEM_DDR3_R_DERIVED_ODT2</ipxact:name> + <ipxact:displayName>ODT2 Value</ipxact:displayName> + <ipxact:value>,,</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_R_DERIVED_ODT3" type="string"> + <ipxact:name>MEM_DDR3_R_DERIVED_ODT3</ipxact:name> + <ipxact:displayName>ODT3 Value</ipxact:displayName> + <ipxact:value>,,</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_W_DERIVED_ODTN" type="string"> + <ipxact:name>MEM_DDR3_W_DERIVED_ODTN</ipxact:name> + <ipxact:displayName>Write Target</ipxact:displayName> + <ipxact:value>,,</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_W_DERIVED_ODT0" type="string"> + <ipxact:name>MEM_DDR3_W_DERIVED_ODT0</ipxact:name> + <ipxact:displayName>ODT0 Value</ipxact:displayName> + <ipxact:value>,,</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_W_DERIVED_ODT1" type="string"> + <ipxact:name>MEM_DDR3_W_DERIVED_ODT1</ipxact:name> + <ipxact:displayName>ODT1 Value</ipxact:displayName> + <ipxact:value>,,</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_W_DERIVED_ODT2" type="string"> + <ipxact:name>MEM_DDR3_W_DERIVED_ODT2</ipxact:name> + <ipxact:displayName>ODT2 Value</ipxact:displayName> + <ipxact:value>,,</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_W_DERIVED_ODT3" type="string"> + <ipxact:name>MEM_DDR3_W_DERIVED_ODT3</ipxact:name> + <ipxact:displayName>ODT3 Value</ipxact:displayName> + <ipxact:value>,,</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_SEQ_ODT_TABLE_LO" type="int"> + <ipxact:name>MEM_DDR3_SEQ_ODT_TABLE_LO</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR3_SEQ_ODT_TABLE_LO_NAME</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_SEQ_ODT_TABLE_HI" type="int"> + <ipxact:name>MEM_DDR3_SEQ_ODT_TABLE_HI</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR3_SEQ_ODT_TABLE_HI_NAME</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_CTRL_CFG_READ_ODT_CHIP" type="int"> + <ipxact:name>MEM_DDR3_CTRL_CFG_READ_ODT_CHIP</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR3_CTRL_CFG_READ_ODT_CHIP_NAME</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_CTRL_CFG_WRITE_ODT_CHIP" type="int"> + <ipxact:name>MEM_DDR3_CTRL_CFG_WRITE_ODT_CHIP</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR3_CTRL_CFG_WRITE_ODT_CHIP_NAME</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_CTRL_CFG_READ_ODT_RANK" type="int"> + <ipxact:name>MEM_DDR3_CTRL_CFG_READ_ODT_RANK</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR3_CTRL_CFG_READ_ODT_RANK_NAME</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_CTRL_CFG_WRITE_ODT_RANK" type="int"> + <ipxact:name>MEM_DDR3_CTRL_CFG_WRITE_ODT_RANK</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR3_CTRL_CFG_WRITE_ODT_RANK_NAME</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_SPEEDBIN_ENUM" type="string"> + <ipxact:name>MEM_DDR3_SPEEDBIN_ENUM</ipxact:name> + <ipxact:displayName>Speed bin</ipxact:displayName> + <ipxact:value>DDR3_SPEEDBIN_2133</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_TIS_PS" type="int"> + <ipxact:name>MEM_DDR3_TIS_PS</ipxact:name> + <ipxact:displayName>tIS (base)</ipxact:displayName> + <ipxact:value>60</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_TIS_AC_MV" type="int"> + <ipxact:name>MEM_DDR3_TIS_AC_MV</ipxact:name> + <ipxact:displayName>tIS (base) AC level</ipxact:displayName> + <ipxact:value>135</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_TIH_PS" type="int"> + <ipxact:name>MEM_DDR3_TIH_PS</ipxact:name> + <ipxact:displayName>tIH (base)</ipxact:displayName> + <ipxact:value>95</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_TIH_DC_MV" type="int"> + <ipxact:name>MEM_DDR3_TIH_DC_MV</ipxact:name> + <ipxact:displayName>tIH (base) DC level</ipxact:displayName> + <ipxact:value>100</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_TDS_PS" type="int"> + <ipxact:name>MEM_DDR3_TDS_PS</ipxact:name> + <ipxact:displayName>tDS (base)</ipxact:displayName> + <ipxact:value>53</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_TDS_AC_MV" type="int"> + <ipxact:name>MEM_DDR3_TDS_AC_MV</ipxact:name> + <ipxact:displayName>tDS (base) AC level</ipxact:displayName> + <ipxact:value>135</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_TDH_PS" type="int"> + <ipxact:name>MEM_DDR3_TDH_PS</ipxact:name> + <ipxact:displayName>tDH (base)</ipxact:displayName> + <ipxact:value>55</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_TDH_DC_MV" type="int"> + <ipxact:name>MEM_DDR3_TDH_DC_MV</ipxact:name> + <ipxact:displayName>tDH (base) DC level</ipxact:displayName> + <ipxact:value>100</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_TDQSQ_PS" type="int"> + <ipxact:name>MEM_DDR3_TDQSQ_PS</ipxact:name> + <ipxact:displayName>tDQSQ</ipxact:displayName> + <ipxact:value>75</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_TQH_CYC" type="real"> + <ipxact:name>MEM_DDR3_TQH_CYC</ipxact:name> + <ipxact:displayName>tQH</ipxact:displayName> + <ipxact:value>0.38</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_TDQSCK_PS" type="int"> + <ipxact:name>MEM_DDR3_TDQSCK_PS</ipxact:name> + <ipxact:displayName>tDQSCK</ipxact:displayName> + <ipxact:value>180</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_TDQSS_CYC" type="real"> + <ipxact:name>MEM_DDR3_TDQSS_CYC</ipxact:name> + <ipxact:displayName>tDQSS</ipxact:displayName> + <ipxact:value>0.27</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_TQSH_CYC" type="real"> + <ipxact:name>MEM_DDR3_TQSH_CYC</ipxact:name> + <ipxact:displayName>tQSH</ipxact:displayName> + <ipxact:value>0.4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_TDSH_CYC" type="real"> + <ipxact:name>MEM_DDR3_TDSH_CYC</ipxact:name> + <ipxact:displayName>tDSH</ipxact:displayName> + <ipxact:value>0.18</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_TWLS_PS" type="real"> + <ipxact:name>MEM_DDR3_TWLS_PS</ipxact:name> + <ipxact:displayName>tWLS</ipxact:displayName> + <ipxact:value>125.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_TWLH_PS" type="real"> + <ipxact:name>MEM_DDR3_TWLH_PS</ipxact:name> + <ipxact:displayName>tWLH</ipxact:displayName> + <ipxact:value>125.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_TDSS_CYC" type="real"> + <ipxact:name>MEM_DDR3_TDSS_CYC</ipxact:name> + <ipxact:displayName>tDSS</ipxact:displayName> + <ipxact:value>0.18</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_TINIT_US" type="int"> + <ipxact:name>MEM_DDR3_TINIT_US</ipxact:name> + <ipxact:displayName>tINIT</ipxact:displayName> + <ipxact:value>500</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_TMRD_CK_CYC" type="int"> + <ipxact:name>MEM_DDR3_TMRD_CK_CYC</ipxact:name> + <ipxact:displayName>tMRD</ipxact:displayName> + <ipxact:value>4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_TRAS_NS" type="real"> + <ipxact:name>MEM_DDR3_TRAS_NS</ipxact:name> + <ipxact:displayName>tRAS</ipxact:displayName> + <ipxact:value>33.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_TRCD_NS" type="real"> + <ipxact:name>MEM_DDR3_TRCD_NS</ipxact:name> + <ipxact:displayName>tRCD</ipxact:displayName> + <ipxact:value>13.09</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_TRP_NS" type="real"> + <ipxact:name>MEM_DDR3_TRP_NS</ipxact:name> + <ipxact:displayName>tRP</ipxact:displayName> + <ipxact:value>13.09</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_TREFI_US" type="real"> + <ipxact:name>MEM_DDR3_TREFI_US</ipxact:name> + <ipxact:displayName>tREFI</ipxact:displayName> + <ipxact:value>7.8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_TRFC_NS" type="real"> + <ipxact:name>MEM_DDR3_TRFC_NS</ipxact:name> + <ipxact:displayName>tRFC</ipxact:displayName> + <ipxact:value>160.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_TWR_NS" type="real"> + <ipxact:name>MEM_DDR3_TWR_NS</ipxact:name> + <ipxact:displayName>tWR</ipxact:displayName> + <ipxact:value>15.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_TWTR_CYC" type="int"> + <ipxact:name>MEM_DDR3_TWTR_CYC</ipxact:name> + <ipxact:displayName>tWTR</ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_TFAW_NS" type="real"> + <ipxact:name>MEM_DDR3_TFAW_NS</ipxact:name> + <ipxact:displayName>tFAW</ipxact:displayName> + <ipxact:value>25.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_TRRD_CYC" type="int"> + <ipxact:name>MEM_DDR3_TRRD_CYC</ipxact:name> + <ipxact:displayName>tRRD</ipxact:displayName> + <ipxact:value>6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_TRTP_CYC" type="int"> + <ipxact:name>MEM_DDR3_TRTP_CYC</ipxact:name> + <ipxact:displayName>tRTP</ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_TINIT_CK" type="int"> + <ipxact:name>MEM_DDR3_TINIT_CK</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR3_TINIT_CK_NAME</ipxact:displayName> + <ipxact:value>499</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_TDQSCK_DERV_PS" type="int"> + <ipxact:name>MEM_DDR3_TDQSCK_DERV_PS</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR3_TDQSCK_DERV_PS_NAME</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_TDQSCKDS" type="int"> + <ipxact:name>MEM_DDR3_TDQSCKDS</ipxact:name> + <ipxact:displayName>tDQSCK Delta Short</ipxact:displayName> + <ipxact:value>450</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_TDQSCKDM" type="int"> + <ipxact:name>MEM_DDR3_TDQSCKDM</ipxact:name> + <ipxact:displayName>tDQSCK Delta Medium</ipxact:displayName> + <ipxact:value>900</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_TDQSCKDL" type="int"> + <ipxact:name>MEM_DDR3_TDQSCKDL</ipxact:name> + <ipxact:displayName>tDQSCK Delta Long</ipxact:displayName> + <ipxact:value>1200</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_TRAS_CYC" type="int"> + <ipxact:name>MEM_DDR3_TRAS_CYC</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR3_TRAS_CYC_NAME</ipxact:displayName> + <ipxact:value>36</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_TRCD_CYC" type="int"> + <ipxact:name>MEM_DDR3_TRCD_CYC</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR3_TRCD_CYC_NAME</ipxact:displayName> + <ipxact:value>14</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_TRP_CYC" type="int"> + <ipxact:name>MEM_DDR3_TRP_CYC</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR3_TRP_CYC_NAME</ipxact:displayName> + <ipxact:value>14</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_TRFC_CYC" type="int"> + <ipxact:name>MEM_DDR3_TRFC_CYC</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR3_TRFC_CYC_NAME</ipxact:displayName> + <ipxact:value>171</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_TWR_CYC" type="int"> + <ipxact:name>MEM_DDR3_TWR_CYC</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR3_TWR_CYC_NAME</ipxact:displayName> + <ipxact:value>16</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_TFAW_CYC" type="int"> + <ipxact:name>MEM_DDR3_TFAW_CYC</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR3_TFAW_CYC_NAME</ipxact:displayName> + <ipxact:value>27</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_TREFI_CYC" type="int"> + <ipxact:name>MEM_DDR3_TREFI_CYC</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR3_TREFI_CYC_NAME</ipxact:displayName> + <ipxact:value>8320</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_CFG_GEN_SBE" type="bit"> + <ipxact:name>MEM_DDR3_CFG_GEN_SBE</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR3_CFG_GEN_SBE_NAME</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_CFG_GEN_DBE" type="bit"> + <ipxact:name>MEM_DDR3_CFG_GEN_DBE</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR3_CFG_GEN_DBE_NAME</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_FORMAT_ENUM" type="string"> + <ipxact:name>MEM_DDR4_FORMAT_ENUM</ipxact:name> + <ipxact:displayName>Memory format</ipxact:displayName> + <ipxact:value>MEM_FORMAT_SODIMM</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_DQ_WIDTH" type="int"> + <ipxact:name>MEM_DDR4_DQ_WIDTH</ipxact:name> + <ipxact:displayName>DQ width</ipxact:displayName> + <ipxact:value>72</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_DQ_PER_DQS" type="int"> + <ipxact:name>MEM_DDR4_DQ_PER_DQS</ipxact:name> + <ipxact:displayName>DQ pins per DQS group</ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_DISCRETE_CS_WIDTH" type="int"> + <ipxact:name>MEM_DDR4_DISCRETE_CS_WIDTH</ipxact:name> + <ipxact:displayName>Number of chip selects</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_NUM_OF_DIMMS" type="int"> + <ipxact:name>MEM_DDR4_NUM_OF_DIMMS</ipxact:name> + <ipxact:displayName>Number of DIMMs</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_CHIP_ID_WIDTH" type="int"> + <ipxact:name>MEM_DDR4_CHIP_ID_WIDTH</ipxact:name> + <ipxact:displayName>Chip ID width</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_RANKS_PER_DIMM" type="int"> + <ipxact:name>MEM_DDR4_RANKS_PER_DIMM</ipxact:name> + <ipxact:displayName>Number of physical ranks per DIMM</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_CKE_PER_DIMM" type="int"> + <ipxact:name>MEM_DDR4_CKE_PER_DIMM</ipxact:name> + <ipxact:displayName>Number of clock enables per DIMM</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_CK_WIDTH" type="int"> + <ipxact:name>MEM_DDR4_CK_WIDTH</ipxact:name> + <ipxact:displayName>Number of clocks</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_ROW_ADDR_WIDTH" type="int"> + <ipxact:name>MEM_DDR4_ROW_ADDR_WIDTH</ipxact:name> + <ipxact:displayName>Row address width</ipxact:displayName> + <ipxact:value>15</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_COL_ADDR_WIDTH" type="int"> + <ipxact:name>MEM_DDR4_COL_ADDR_WIDTH</ipxact:name> + <ipxact:displayName>Column address width</ipxact:displayName> + <ipxact:value>10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_BANK_ADDR_WIDTH" type="int"> + <ipxact:name>MEM_DDR4_BANK_ADDR_WIDTH</ipxact:name> + <ipxact:displayName>Bank address width</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_BANK_GROUP_WIDTH" type="int"> + <ipxact:name>MEM_DDR4_BANK_GROUP_WIDTH</ipxact:name> + <ipxact:displayName>Bank group width</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_DM_EN" type="bit"> + <ipxact:name>MEM_DDR4_DM_EN</ipxact:name> + <ipxact:displayName>Data mask</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_ALERT_PAR_EN" type="bit"> + <ipxact:name>MEM_DDR4_ALERT_PAR_EN</ipxact:name> + <ipxact:displayName>Enable ALERT#/PAR pins</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_ALERT_N_PLACEMENT_ENUM" type="string"> + <ipxact:name>MEM_DDR4_ALERT_N_PLACEMENT_ENUM</ipxact:name> + <ipxact:displayName>ALERT# pin placement</ipxact:displayName> + <ipxact:value>DDR4_ALERT_N_PLACEMENT_DATA_LANES</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_ALERT_N_DQS_GROUP" type="int"> + <ipxact:name>MEM_DDR4_ALERT_N_DQS_GROUP</ipxact:name> + <ipxact:displayName>DQS group of ALERT#</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_ALERT_N_AC_LANE" type="int"> + <ipxact:name>MEM_DDR4_ALERT_N_AC_LANE</ipxact:name> + <ipxact:displayName>Address/command I/O lane of ALERT#</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_ALERT_N_AC_PIN" type="int"> + <ipxact:name>MEM_DDR4_ALERT_N_AC_PIN</ipxact:name> + <ipxact:displayName>Pin index of ALERT#</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_DISCRETE_MIRROR_ADDRESSING_EN" type="bit"> + <ipxact:name>MEM_DDR4_DISCRETE_MIRROR_ADDRESSING_EN</ipxact:name> + <ipxact:displayName>Enable address mirroring for odd chip-selects</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_MIRROR_ADDRESSING_EN" type="bit"> + <ipxact:name>MEM_DDR4_MIRROR_ADDRESSING_EN</ipxact:name> + <ipxact:displayName>Enable address mirroring for odd ranks</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_HIDE_ADV_MR_SETTINGS" type="bit"> + <ipxact:name>MEM_DDR4_HIDE_ADV_MR_SETTINGS</ipxact:name> + <ipxact:displayName>Hide advanced mode register settings</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_BL_ENUM" type="string"> + <ipxact:name>MEM_DDR4_BL_ENUM</ipxact:name> + <ipxact:displayName>Burst Length</ipxact:displayName> + <ipxact:value>DDR4_BL_BL8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_BT_ENUM" type="string"> + <ipxact:name>MEM_DDR4_BT_ENUM</ipxact:name> + <ipxact:displayName>Read Burst Type</ipxact:displayName> + <ipxact:value>DDR4_BT_SEQUENTIAL</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TCL" type="int"> + <ipxact:name>MEM_DDR4_TCL</ipxact:name> + <ipxact:displayName>Memory CAS latency setting</ipxact:displayName> + <ipxact:value>11</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_RTT_NOM_ENUM" type="string"> + <ipxact:name>MEM_DDR4_RTT_NOM_ENUM</ipxact:name> + <ipxact:displayName>ODT Rtt nominal value</ipxact:displayName> + <ipxact:value>DDR4_RTT_NOM_RZQ_4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_DLL_EN" type="bit"> + <ipxact:name>MEM_DDR4_DLL_EN</ipxact:name> + <ipxact:displayName>Enable the DLL in memory device</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_ATCL_ENUM" type="string"> + <ipxact:name>MEM_DDR4_ATCL_ENUM</ipxact:name> + <ipxact:displayName>Memory additive CAS latency setting</ipxact:displayName> + <ipxact:value>DDR4_ATCL_DISABLED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_DRV_STR_ENUM" type="string"> + <ipxact:name>MEM_DDR4_DRV_STR_ENUM</ipxact:name> + <ipxact:displayName>Output drive strength setting</ipxact:displayName> + <ipxact:value>DDR4_DRV_STR_RZQ_7</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_ASR_ENUM" type="string"> + <ipxact:name>MEM_DDR4_ASR_ENUM</ipxact:name> + <ipxact:displayName>Auto self-refresh method</ipxact:displayName> + <ipxact:value>DDR4_ASR_MANUAL_NORMAL</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_RTT_WR_ENUM" type="string"> + <ipxact:name>MEM_DDR4_RTT_WR_ENUM</ipxact:name> + <ipxact:displayName>Dynamic ODT (Rtt_WR) value</ipxact:displayName> + <ipxact:value>DDR4_RTT_WR_ODT_DISABLED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_WTCL" type="int"> + <ipxact:name>MEM_DDR4_WTCL</ipxact:name> + <ipxact:displayName>Memory write CAS latency setting</ipxact:displayName> + <ipxact:value>9</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_WRITE_CRC" type="bit"> + <ipxact:name>MEM_DDR4_WRITE_CRC</ipxact:name> + <ipxact:displayName>Write CRC enable</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_GEARDOWN" type="string"> + <ipxact:name>MEM_DDR4_GEARDOWN</ipxact:name> + <ipxact:displayName>DDR4 geardown mode</ipxact:displayName> + <ipxact:value>DDR4_GEARDOWN_HR</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_PER_DRAM_ADDR" type="bit"> + <ipxact:name>MEM_DDR4_PER_DRAM_ADDR</ipxact:name> + <ipxact:displayName>Per-DRAM addressability</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TEMP_SENSOR_READOUT" type="bit"> + <ipxact:name>MEM_DDR4_TEMP_SENSOR_READOUT</ipxact:name> + <ipxact:displayName>Temperature sensor readout</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_FINE_GRANULARITY_REFRESH" type="string"> + <ipxact:name>MEM_DDR4_FINE_GRANULARITY_REFRESH</ipxact:name> + <ipxact:displayName>Fine granularity refresh</ipxact:displayName> + <ipxact:value>DDR4_FINE_REFRESH_FIXED_1X</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_MPR_READ_FORMAT" type="string"> + <ipxact:name>MEM_DDR4_MPR_READ_FORMAT</ipxact:name> + <ipxact:displayName>MPR read format</ipxact:displayName> + <ipxact:value>DDR4_MPR_READ_FORMAT_SERIAL</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_MAX_POWERDOWN" type="bit"> + <ipxact:name>MEM_DDR4_MAX_POWERDOWN</ipxact:name> + <ipxact:displayName>Maximum power down mode</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TEMP_CONTROLLED_RFSH_RANGE" type="string"> + <ipxact:name>MEM_DDR4_TEMP_CONTROLLED_RFSH_RANGE</ipxact:name> + <ipxact:displayName>Temperature controlled refresh range</ipxact:displayName> + <ipxact:value>DDR4_TEMP_CONTROLLED_RFSH_NORMAL</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TEMP_CONTROLLED_RFSH_ENA" type="bit"> + <ipxact:name>MEM_DDR4_TEMP_CONTROLLED_RFSH_ENA</ipxact:name> + <ipxact:displayName>Temperature controlled refresh enable</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_INTERNAL_VREFDQ_MONITOR" type="bit"> + <ipxact:name>MEM_DDR4_INTERNAL_VREFDQ_MONITOR</ipxact:name> + <ipxact:displayName>Internal VrefDQ monitor</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_CAL_MODE" type="int"> + <ipxact:name>MEM_DDR4_CAL_MODE</ipxact:name> + <ipxact:displayName>CS to Addr/CMD Latency</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_SELF_RFSH_ABORT" type="bit"> + <ipxact:name>MEM_DDR4_SELF_RFSH_ABORT</ipxact:name> + <ipxact:displayName>Self refresh abort</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_READ_PREAMBLE_TRAINING" type="bit"> + <ipxact:name>MEM_DDR4_READ_PREAMBLE_TRAINING</ipxact:name> + <ipxact:displayName>Read preamble training mode enable</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_READ_PREAMBLE" type="int"> + <ipxact:name>MEM_DDR4_READ_PREAMBLE</ipxact:name> + <ipxact:displayName>Read preamble</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_WRITE_PREAMBLE" type="int"> + <ipxact:name>MEM_DDR4_WRITE_PREAMBLE</ipxact:name> + <ipxact:displayName>Write preamble</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_AC_PARITY_LATENCY" type="string"> + <ipxact:name>MEM_DDR4_AC_PARITY_LATENCY</ipxact:name> + <ipxact:displayName>Addr/CMD parity latency</ipxact:displayName> + <ipxact:value>DDR4_AC_PARITY_LATENCY_DISABLE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_ODT_IN_POWERDOWN" type="bit"> + <ipxact:name>MEM_DDR4_ODT_IN_POWERDOWN</ipxact:name> + <ipxact:displayName>ODT input buffer during powerdown mode</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_RTT_PARK" type="string"> + <ipxact:name>MEM_DDR4_RTT_PARK</ipxact:name> + <ipxact:displayName>RTT PARK</ipxact:displayName> + <ipxact:value>DDR4_RTT_PARK_ODT_DISABLED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_AC_PERSISTENT_ERROR" type="bit"> + <ipxact:name>MEM_DDR4_AC_PERSISTENT_ERROR</ipxact:name> + <ipxact:displayName>Addr/CMD persistent error</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_WRITE_DBI" type="bit"> + <ipxact:name>MEM_DDR4_WRITE_DBI</ipxact:name> + <ipxact:displayName>Write DBI</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_READ_DBI" type="bit"> + <ipxact:name>MEM_DDR4_READ_DBI</ipxact:name> + <ipxact:displayName>Read DBI</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_DEFAULT_VREFOUT" type="bit"> + <ipxact:name>MEM_DDR4_DEFAULT_VREFOUT</ipxact:name> + <ipxact:displayName>Use recommended initial VrefDQ value</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_USER_VREFDQ_TRAINING_VALUE" type="real"> + <ipxact:name>MEM_DDR4_USER_VREFDQ_TRAINING_VALUE</ipxact:name> + <ipxact:displayName>VrefDQ training value</ipxact:displayName> + <ipxact:value>60.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_USER_VREFDQ_TRAINING_RANGE" type="string"> + <ipxact:name>MEM_DDR4_USER_VREFDQ_TRAINING_RANGE</ipxact:name> + <ipxact:displayName>VrefDQ training range</ipxact:displayName> + <ipxact:value>DDR4_VREFDQ_TRAINING_RANGE_1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_RCD_CA_IBT_ENUM" type="string"> + <ipxact:name>MEM_DDR4_RCD_CA_IBT_ENUM</ipxact:name> + <ipxact:displayName>RCD CA Input Bus Termination</ipxact:displayName> + <ipxact:value>DDR4_RCD_CA_IBT_100</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_RCD_CS_IBT_ENUM" type="string"> + <ipxact:name>MEM_DDR4_RCD_CS_IBT_ENUM</ipxact:name> + <ipxact:displayName>RCD DCS[3:0]_n Input Bus Termination</ipxact:displayName> + <ipxact:value>DDR4_RCD_CS_IBT_100</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_RCD_CKE_IBT_ENUM" type="string"> + <ipxact:name>MEM_DDR4_RCD_CKE_IBT_ENUM</ipxact:name> + <ipxact:displayName>RCD DCKE Input Bus Termination</ipxact:displayName> + <ipxact:value>DDR4_RCD_CKE_IBT_100</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_RCD_ODT_IBT_ENUM" type="string"> + <ipxact:name>MEM_DDR4_RCD_ODT_IBT_ENUM</ipxact:name> + <ipxact:displayName>RCD DODT Input Bus Termination</ipxact:displayName> + <ipxact:value>DDR4_RCD_ODT_IBT_100</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_DB_RTT_NOM_ENUM" type="string"> + <ipxact:name>MEM_DDR4_DB_RTT_NOM_ENUM</ipxact:name> + <ipxact:displayName>DB Host Interface DQ RTT_NOM</ipxact:displayName> + <ipxact:value>DDR4_DB_RTT_NOM_ODT_DISABLED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_DB_RTT_WR_ENUM" type="string"> + <ipxact:name>MEM_DDR4_DB_RTT_WR_ENUM</ipxact:name> + <ipxact:displayName>DB Host Interface DQ RTT_WR</ipxact:displayName> + <ipxact:value>DDR4_DB_RTT_WR_RZQ_3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_DB_RTT_PARK_ENUM" type="string"> + <ipxact:name>MEM_DDR4_DB_RTT_PARK_ENUM</ipxact:name> + <ipxact:displayName>DB Host Interface DQ RTT_PARK</ipxact:displayName> + <ipxact:value>DDR4_DB_RTT_PARK_ODT_DISABLED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_DB_DQ_DRV_ENUM" type="string"> + <ipxact:name>MEM_DDR4_DB_DQ_DRV_ENUM</ipxact:name> + <ipxact:displayName>DB Host Interface DQ Driver</ipxact:displayName> + <ipxact:value>DDR4_DB_DRV_STR_RZQ_7</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_SPD_137_RCD_CA_DRV" type="int"> + <ipxact:name>MEM_DDR4_SPD_137_RCD_CA_DRV</ipxact:name> + <ipxact:displayName>SPD Byte 137 - RCD Drive Strength for Command/Address</ipxact:displayName> + <ipxact:value>101</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_SPD_138_RCD_CK_DRV" type="int"> + <ipxact:name>MEM_DDR4_SPD_138_RCD_CK_DRV</ipxact:name> + <ipxact:displayName>SPD Byte 138 - RCD Drive Strength for CK</ipxact:displayName> + <ipxact:value>5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_SPD_140_DRAM_VREFDQ_R0" type="int"> + <ipxact:name>MEM_DDR4_SPD_140_DRAM_VREFDQ_R0</ipxact:name> + <ipxact:displayName>SPD Byte 140 - DRAM VrefDQ for Package Rank 0</ipxact:displayName> + <ipxact:value>29</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_SPD_141_DRAM_VREFDQ_R1" type="int"> + <ipxact:name>MEM_DDR4_SPD_141_DRAM_VREFDQ_R1</ipxact:name> + <ipxact:displayName>SPD Byte 141 - DRAM VrefDQ for Package Rank 1</ipxact:displayName> + <ipxact:value>29</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_SPD_142_DRAM_VREFDQ_R2" type="int"> + <ipxact:name>MEM_DDR4_SPD_142_DRAM_VREFDQ_R2</ipxact:name> + <ipxact:displayName>SPD Byte 142 - DRAM VrefDQ for Package Rank 2</ipxact:displayName> + <ipxact:value>29</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_SPD_143_DRAM_VREFDQ_R3" type="int"> + <ipxact:name>MEM_DDR4_SPD_143_DRAM_VREFDQ_R3</ipxact:name> + <ipxact:displayName>SPD Byte 143 - DRAM VrefDQ for Package Rank 3</ipxact:displayName> + <ipxact:value>29</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_SPD_144_DB_VREFDQ" type="int"> + <ipxact:name>MEM_DDR4_SPD_144_DB_VREFDQ</ipxact:name> + <ipxact:displayName>SPD Byte 144 - DB VrefDQ for DRAM Interface</ipxact:displayName> + <ipxact:value>37</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_SPD_145_DB_MDQ_DRV" type="int"> + <ipxact:name>MEM_DDR4_SPD_145_DB_MDQ_DRV</ipxact:name> + <ipxact:displayName>SPD Byte 145-147 - DB MDQ Drive Strength and RTT</ipxact:displayName> + <ipxact:value>21</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_SPD_148_DRAM_DRV" type="int"> + <ipxact:name>MEM_DDR4_SPD_148_DRAM_DRV</ipxact:name> + <ipxact:displayName>SPD Byte 148 - DRAM Drive Strength</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_SPD_149_DRAM_RTT_WR_NOM" type="int"> + <ipxact:name>MEM_DDR4_SPD_149_DRAM_RTT_WR_NOM</ipxact:name> + <ipxact:displayName>SPD Byte 149-151 - DRAM ODT (RTT_WR and RTT_NOM)</ipxact:displayName> + <ipxact:value>20</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_SPD_152_DRAM_RTT_PARK" type="int"> + <ipxact:name>MEM_DDR4_SPD_152_DRAM_RTT_PARK</ipxact:name> + <ipxact:displayName>SPD Byte 152-154 - DRAM ODT (RTT_PARK)</ipxact:displayName> + <ipxact:value>39</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_SPD_133_RCD_DB_VENDOR_LSB" type="int"> + <ipxact:name>MEM_DDR4_SPD_133_RCD_DB_VENDOR_LSB</ipxact:name> + <ipxact:displayName>RCD and DB Manufacturer (LSB)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_SPD_134_RCD_DB_VENDOR_MSB" type="int"> + <ipxact:name>MEM_DDR4_SPD_134_RCD_DB_VENDOR_MSB</ipxact:name> + <ipxact:displayName>RCD and DB Manufacturer (MSB)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_SPD_135_RCD_REV" type="int"> + <ipxact:name>MEM_DDR4_SPD_135_RCD_REV</ipxact:name> + <ipxact:displayName>RCD Revision Number</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_SPD_139_DB_REV" type="int"> + <ipxact:name>MEM_DDR4_SPD_139_DB_REV</ipxact:name> + <ipxact:displayName>DB Revision Number</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_LRDIMM_ODT_LESS_BS" type="bit"> + <ipxact:name>MEM_DDR4_LRDIMM_ODT_LESS_BS</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_LRDIMM_ODT_LESS_BS_NAME</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_LRDIMM_ODT_LESS_BS_PARK_OHM" type="int"> + <ipxact:name>MEM_DDR4_LRDIMM_ODT_LESS_BS_PARK_OHM</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_LRDIMM_ODT_LESS_BS_PARK_OHM_NAME</ipxact:displayName> + <ipxact:value>240</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_DQS_WIDTH" type="int"> + <ipxact:name>MEM_DDR4_DQS_WIDTH</ipxact:name> + <ipxact:displayName>Number of DQS groups</ipxact:displayName> + <ipxact:value>9</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_CS_WIDTH" type="int"> + <ipxact:name>MEM_DDR4_CS_WIDTH</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_CS_WIDTH_NAME</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_CS_PER_DIMM" type="int"> + <ipxact:name>MEM_DDR4_CS_PER_DIMM</ipxact:name> + <ipxact:displayName>Number of chip selects per DIMM</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_CKE_WIDTH" type="int"> + <ipxact:name>MEM_DDR4_CKE_WIDTH</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_CKE_WIDTH_NAME</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_ODT_WIDTH" type="int"> + <ipxact:name>MEM_DDR4_ODT_WIDTH</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_ODT_WIDTH_NAME</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_ADDR_WIDTH" type="int"> + <ipxact:name>MEM_DDR4_ADDR_WIDTH</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_ADDR_WIDTH_NAME</ipxact:displayName> + <ipxact:value>17</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_RM_WIDTH" type="int"> + <ipxact:name>MEM_DDR4_RM_WIDTH</ipxact:name> + <ipxact:displayName>Number of rank multiplication pins</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_NUM_OF_PHYSICAL_RANKS" type="int"> + <ipxact:name>MEM_DDR4_NUM_OF_PHYSICAL_RANKS</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_NUM_OF_PHYSICAL_RANKS_NAME</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_NUM_OF_LOGICAL_RANKS" type="int"> + <ipxact:name>MEM_DDR4_NUM_OF_LOGICAL_RANKS</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_NUM_OF_LOGICAL_RANKS_NAME</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_IDEAL_VREF_IN_PCT" type="real"> + <ipxact:name>MEM_DDR4_IDEAL_VREF_IN_PCT</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_IDEAL_VREF_IN_PCT_NAME</ipxact:displayName> + <ipxact:value>61.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_IDEAL_VREF_OUT_PCT" type="real"> + <ipxact:name>MEM_DDR4_IDEAL_VREF_OUT_PCT</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_IDEAL_VREF_OUT_PCT_NAME</ipxact:displayName> + <ipxact:value>68.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_VREFDQ_TRAINING_VALUE" type="real"> + <ipxact:name>MEM_DDR4_VREFDQ_TRAINING_VALUE</ipxact:name> + <ipxact:displayName>VrefDQ training value</ipxact:displayName> + <ipxact:value>68.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_VREFDQ_TRAINING_RANGE" type="string"> + <ipxact:name>MEM_DDR4_VREFDQ_TRAINING_RANGE</ipxact:name> + <ipxact:displayName>VrefDQ training range</ipxact:displayName> + <ipxact:value>DDR4_VREFDQ_TRAINING_RANGE_1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_VREFDQ_TRAINING_RANGE_DISP" type="string"> + <ipxact:name>MEM_DDR4_VREFDQ_TRAINING_RANGE_DISP</ipxact:name> + <ipxact:displayName>VrefDQ training range</ipxact:displayName> + <ipxact:value>Range 2 - 45% to 77.5%</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TTL_DQS_WIDTH" type="int"> + <ipxact:name>MEM_DDR4_TTL_DQS_WIDTH</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_TTL_DQS_WIDTH_NAME</ipxact:displayName> + <ipxact:value>9</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TTL_DQ_WIDTH" type="int"> + <ipxact:name>MEM_DDR4_TTL_DQ_WIDTH</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_TTL_DQ_WIDTH_NAME</ipxact:displayName> + <ipxact:value>72</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TTL_CS_WIDTH" type="int"> + <ipxact:name>MEM_DDR4_TTL_CS_WIDTH</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_TTL_CS_WIDTH_NAME</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TTL_CK_WIDTH" type="int"> + <ipxact:name>MEM_DDR4_TTL_CK_WIDTH</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_TTL_CK_WIDTH_NAME</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TTL_CKE_WIDTH" type="int"> + <ipxact:name>MEM_DDR4_TTL_CKE_WIDTH</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_TTL_CKE_WIDTH_NAME</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TTL_ODT_WIDTH" type="int"> + <ipxact:name>MEM_DDR4_TTL_ODT_WIDTH</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_TTL_ODT_WIDTH_NAME</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TTL_BANK_ADDR_WIDTH" type="int"> + <ipxact:name>MEM_DDR4_TTL_BANK_ADDR_WIDTH</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_TTL_BANK_ADDR_WIDTH_NAME</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TTL_BANK_GROUP_WIDTH" type="int"> + <ipxact:name>MEM_DDR4_TTL_BANK_GROUP_WIDTH</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_TTL_BANK_GROUP_WIDTH_NAME</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TTL_CHIP_ID_WIDTH" type="int"> + <ipxact:name>MEM_DDR4_TTL_CHIP_ID_WIDTH</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_TTL_CHIP_ID_WIDTH_NAME</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TTL_ADDR_WIDTH" type="int"> + <ipxact:name>MEM_DDR4_TTL_ADDR_WIDTH</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_TTL_ADDR_WIDTH_NAME</ipxact:displayName> + <ipxact:value>17</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TTL_RM_WIDTH" type="int"> + <ipxact:name>MEM_DDR4_TTL_RM_WIDTH</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_TTL_RM_WIDTH_NAME</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TTL_NUM_OF_DIMMS" type="int"> + <ipxact:name>MEM_DDR4_TTL_NUM_OF_DIMMS</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_TTL_NUM_OF_DIMMS_NAME</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TTL_NUM_OF_PHYSICAL_RANKS" type="int"> + <ipxact:name>MEM_DDR4_TTL_NUM_OF_PHYSICAL_RANKS</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_TTL_NUM_OF_PHYSICAL_RANKS_NAME</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TTL_NUM_OF_LOGICAL_RANKS" type="int"> + <ipxact:name>MEM_DDR4_TTL_NUM_OF_LOGICAL_RANKS</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_TTL_NUM_OF_LOGICAL_RANKS_NAME</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_MR0" type="int"> + <ipxact:name>MEM_DDR4_MR0</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_MR0_NAME</ipxact:displayName> + <ipxact:value>528</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_MR1" type="int"> + <ipxact:name>MEM_DDR4_MR1</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_MR1_NAME</ipxact:displayName> + <ipxact:value>65793</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_MR2" type="int"> + <ipxact:name>MEM_DDR4_MR2</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_MR2_NAME</ipxact:displayName> + <ipxact:value>131072</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_MR3" type="int"> + <ipxact:name>MEM_DDR4_MR3</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_MR3_NAME</ipxact:displayName> + <ipxact:value>197120</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_MR4" type="int"> + <ipxact:name>MEM_DDR4_MR4</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_MR4_NAME</ipxact:displayName> + <ipxact:value>264192</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_MR5" type="int"> + <ipxact:name>MEM_DDR4_MR5</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_MR5_NAME</ipxact:displayName> + <ipxact:value>328736</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_MR6" type="int"> + <ipxact:name>MEM_DDR4_MR6</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_MR6_NAME</ipxact:displayName> + <ipxact:value>395363</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_RDIMM_CONFIG" type="string"> + <ipxact:name>MEM_DDR4_RDIMM_CONFIG</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_RDIMM_CONFIG_NAME</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_LRDIMM_EXTENDED_CONFIG" type="string"> + <ipxact:name>MEM_DDR4_LRDIMM_EXTENDED_CONFIG</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_LRDIMM_EXTENDED_CONFIG_NAME</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_ADDRESS_MIRROR_BITVEC" type="int"> + <ipxact:name>MEM_DDR4_ADDRESS_MIRROR_BITVEC</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_ADDRESS_MIRROR_BITVEC_NAME</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_RCD_PARITY_CONTROL_WORD" type="int"> + <ipxact:name>MEM_DDR4_RCD_PARITY_CONTROL_WORD</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_RCD_PARITY_CONTROL_WORD_NAME</ipxact:displayName> + <ipxact:value>13</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_RCD_COMMAND_LATENCY" type="int"> + <ipxact:name>MEM_DDR4_RCD_COMMAND_LATENCY</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_RCD_COMMAND_LATENCY_NAME</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_USE_DEFAULT_ODT" type="bit"> + <ipxact:name>MEM_DDR4_USE_DEFAULT_ODT</ipxact:name> + <ipxact:displayName>Use Default ODT Assertion Tables</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_R_ODTN_1X1" type="string"> + <ipxact:name>MEM_DDR4_R_ODTN_1X1</ipxact:name> + <ipxact:displayName>Read Target</ipxact:displayName> + <ipxact:value>Rank 0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_R_ODT0_1X1" type="string"> + <ipxact:name>MEM_DDR4_R_ODT0_1X1</ipxact:name> + <ipxact:displayName>ODT0</ipxact:displayName> + <ipxact:value>off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_W_ODTN_1X1" type="string"> + <ipxact:name>MEM_DDR4_W_ODTN_1X1</ipxact:name> + <ipxact:displayName>Write Target</ipxact:displayName> + <ipxact:value>Rank 0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_W_ODT0_1X1" type="string"> + <ipxact:name>MEM_DDR4_W_ODT0_1X1</ipxact:name> + <ipxact:displayName>ODT0</ipxact:displayName> + <ipxact:value>on</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_R_ODTN_2X2" type="string"> + <ipxact:name>MEM_DDR4_R_ODTN_2X2</ipxact:name> + <ipxact:displayName>Read Target</ipxact:displayName> + <ipxact:value>Rank 0,Rank 1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_R_ODT0_2X2" type="string"> + <ipxact:name>MEM_DDR4_R_ODT0_2X2</ipxact:name> + <ipxact:displayName>ODT0</ipxact:displayName> + <ipxact:value>off,off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_R_ODT1_2X2" type="string"> + <ipxact:name>MEM_DDR4_R_ODT1_2X2</ipxact:name> + <ipxact:displayName>ODT1</ipxact:displayName> + <ipxact:value>off,off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_W_ODTN_2X2" type="string"> + <ipxact:name>MEM_DDR4_W_ODTN_2X2</ipxact:name> + <ipxact:displayName>Write Target</ipxact:displayName> + <ipxact:value>Rank 0,Rank 1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_W_ODT0_2X2" type="string"> + <ipxact:name>MEM_DDR4_W_ODT0_2X2</ipxact:name> + <ipxact:displayName>ODT0</ipxact:displayName> + <ipxact:value>on,off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_W_ODT1_2X2" type="string"> + <ipxact:name>MEM_DDR4_W_ODT1_2X2</ipxact:name> + <ipxact:displayName>ODT1</ipxact:displayName> + <ipxact:value>off,on</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_R_ODTN_4X2" type="string"> + <ipxact:name>MEM_DDR4_R_ODTN_4X2</ipxact:name> + <ipxact:displayName>Read Target</ipxact:displayName> + <ipxact:value>Rank 0,Rank 1,Rank 2,Rank 3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_R_ODT0_4X2" type="string"> + <ipxact:name>MEM_DDR4_R_ODT0_4X2</ipxact:name> + <ipxact:displayName>ODT0</ipxact:displayName> + <ipxact:value>off,off,on,on</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_R_ODT1_4X2" type="string"> + <ipxact:name>MEM_DDR4_R_ODT1_4X2</ipxact:name> + <ipxact:displayName>ODT1</ipxact:displayName> + <ipxact:value>on,on,off,off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_W_ODTN_4X2" type="string"> + <ipxact:name>MEM_DDR4_W_ODTN_4X2</ipxact:name> + <ipxact:displayName>Write Target</ipxact:displayName> + <ipxact:value>Rank 0,Rank 1,Rank 2,Rank 3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_W_ODT0_4X2" type="string"> + <ipxact:name>MEM_DDR4_W_ODT0_4X2</ipxact:name> + <ipxact:displayName>ODT0</ipxact:displayName> + <ipxact:value>off,off,on,on</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_W_ODT1_4X2" type="string"> + <ipxact:name>MEM_DDR4_W_ODT1_4X2</ipxact:name> + <ipxact:displayName>ODT1</ipxact:displayName> + <ipxact:value>on,on,off,off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_R_ODTN_4X4" type="string"> + <ipxact:name>MEM_DDR4_R_ODTN_4X4</ipxact:name> + <ipxact:displayName>Read Target</ipxact:displayName> + <ipxact:value>Rank 0,Rank 1,Rank 2,Rank 3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_R_ODT0_4X4" type="string"> + <ipxact:name>MEM_DDR4_R_ODT0_4X4</ipxact:name> + <ipxact:displayName>ODT0</ipxact:displayName> + <ipxact:value>off,off,on,off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_R_ODT1_4X4" type="string"> + <ipxact:name>MEM_DDR4_R_ODT1_4X4</ipxact:name> + <ipxact:displayName>ODT1</ipxact:displayName> + <ipxact:value>off,off,off,on</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_R_ODT2_4X4" type="string"> + <ipxact:name>MEM_DDR4_R_ODT2_4X4</ipxact:name> + <ipxact:displayName>ODT2</ipxact:displayName> + <ipxact:value>on,off,off,off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_R_ODT3_4X4" type="string"> + <ipxact:name>MEM_DDR4_R_ODT3_4X4</ipxact:name> + <ipxact:displayName>ODT3</ipxact:displayName> + <ipxact:value>off,on,off,off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_W_ODTN_4X4" type="string"> + <ipxact:name>MEM_DDR4_W_ODTN_4X4</ipxact:name> + <ipxact:displayName>Write Target</ipxact:displayName> + <ipxact:value>Rank 0,Rank 1,Rank 2,Rank 3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_W_ODT0_4X4" type="string"> + <ipxact:name>MEM_DDR4_W_ODT0_4X4</ipxact:name> + <ipxact:displayName>ODT0</ipxact:displayName> + <ipxact:value>on,off,on,off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_W_ODT1_4X4" type="string"> + <ipxact:name>MEM_DDR4_W_ODT1_4X4</ipxact:name> + <ipxact:displayName>ODT1</ipxact:displayName> + <ipxact:value>off,on,off,on</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_W_ODT2_4X4" type="string"> + <ipxact:name>MEM_DDR4_W_ODT2_4X4</ipxact:name> + <ipxact:displayName>ODT2</ipxact:displayName> + <ipxact:value>on,off,on,off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_W_ODT3_4X4" type="string"> + <ipxact:name>MEM_DDR4_W_ODT3_4X4</ipxact:name> + <ipxact:displayName>ODT3</ipxact:displayName> + <ipxact:value>off,on,off,on</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_R_DERIVED_ODTN" type="string"> + <ipxact:name>MEM_DDR4_R_DERIVED_ODTN</ipxact:name> + <ipxact:displayName>Read Target</ipxact:displayName> + <ipxact:value>Rank 0,Rank 1,-,-</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_R_DERIVED_ODT0" type="string"> + <ipxact:name>MEM_DDR4_R_DERIVED_ODT0</ipxact:name> + <ipxact:displayName>ODT0 Value</ipxact:displayName> + <ipxact:value>(Drive) RZQ/7 (34 Ohm),ODT Disabled,-,-</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_R_DERIVED_ODT1" type="string"> + <ipxact:name>MEM_DDR4_R_DERIVED_ODT1</ipxact:name> + <ipxact:displayName>ODT1 Value</ipxact:displayName> + <ipxact:value>ODT Disabled,(Drive) RZQ/7 (34 Ohm),-,-</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_R_DERIVED_ODT2" type="string"> + <ipxact:name>MEM_DDR4_R_DERIVED_ODT2</ipxact:name> + <ipxact:displayName>ODT2 Value</ipxact:displayName> + <ipxact:value>-,-,-,-</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_R_DERIVED_ODT3" type="string"> + <ipxact:name>MEM_DDR4_R_DERIVED_ODT3</ipxact:name> + <ipxact:displayName>ODT3 Value</ipxact:displayName> + <ipxact:value>-,-,-,-</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_W_DERIVED_ODTN" type="string"> + <ipxact:name>MEM_DDR4_W_DERIVED_ODTN</ipxact:name> + <ipxact:displayName>Write Target</ipxact:displayName> + <ipxact:value>Rank 0,Rank 1,-,-</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_W_DERIVED_ODT0" type="string"> + <ipxact:name>MEM_DDR4_W_DERIVED_ODT0</ipxact:name> + <ipxact:displayName>ODT0 Value</ipxact:displayName> + <ipxact:value>(Nominal) RZQ/4 (60 Ohm),ODT Disabled,-,-</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_W_DERIVED_ODT1" type="string"> + <ipxact:name>MEM_DDR4_W_DERIVED_ODT1</ipxact:name> + <ipxact:displayName>ODT1 Value</ipxact:displayName> + <ipxact:value>ODT Disabled,(Nominal) RZQ/4 (60 Ohm),-,-</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_W_DERIVED_ODT2" type="string"> + <ipxact:name>MEM_DDR4_W_DERIVED_ODT2</ipxact:name> + <ipxact:displayName>ODT2 Value</ipxact:displayName> + <ipxact:value>-,-,-,-</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_W_DERIVED_ODT3" type="string"> + <ipxact:name>MEM_DDR4_W_DERIVED_ODT3</ipxact:name> + <ipxact:displayName>ODT3 Value</ipxact:displayName> + <ipxact:value>-,-,-,-</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_SEQ_ODT_TABLE_LO" type="int"> + <ipxact:name>MEM_DDR4_SEQ_ODT_TABLE_LO</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_SEQ_ODT_TABLE_LO_NAME</ipxact:displayName> + <ipxact:value>4194308</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_SEQ_ODT_TABLE_HI" type="int"> + <ipxact:name>MEM_DDR4_SEQ_ODT_TABLE_HI</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_SEQ_ODT_TABLE_HI_NAME</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_CTRL_CFG_READ_ODT_CHIP" type="int"> + <ipxact:name>MEM_DDR4_CTRL_CFG_READ_ODT_CHIP</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_CTRL_CFG_READ_ODT_CHIP_NAME</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_CTRL_CFG_WRITE_ODT_CHIP" type="int"> + <ipxact:name>MEM_DDR4_CTRL_CFG_WRITE_ODT_CHIP</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_CTRL_CFG_WRITE_ODT_CHIP_NAME</ipxact:displayName> + <ipxact:value>33</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_CTRL_CFG_READ_ODT_RANK" type="int"> + <ipxact:name>MEM_DDR4_CTRL_CFG_READ_ODT_RANK</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_CTRL_CFG_READ_ODT_RANK_NAME</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_CTRL_CFG_WRITE_ODT_RANK" type="int"> + <ipxact:name>MEM_DDR4_CTRL_CFG_WRITE_ODT_RANK</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_CTRL_CFG_WRITE_ODT_RANK_NAME</ipxact:displayName> + <ipxact:value>33</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_SPEEDBIN_ENUM" type="string"> + <ipxact:name>MEM_DDR4_SPEEDBIN_ENUM</ipxact:name> + <ipxact:displayName>Speed bin</ipxact:displayName> + <ipxact:value>DDR4_SPEEDBIN_2133</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TIS_PS" type="int"> + <ipxact:name>MEM_DDR4_TIS_PS</ipxact:name> + <ipxact:displayName>tIS (base)</ipxact:displayName> + <ipxact:value>80</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TIS_AC_MV" type="int"> + <ipxact:name>MEM_DDR4_TIS_AC_MV</ipxact:name> + <ipxact:displayName>tIS (base) AC level</ipxact:displayName> + <ipxact:value>100</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TIH_PS" type="int"> + <ipxact:name>MEM_DDR4_TIH_PS</ipxact:name> + <ipxact:displayName>tIH (base)</ipxact:displayName> + <ipxact:value>105</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TIH_DC_MV" type="int"> + <ipxact:name>MEM_DDR4_TIH_DC_MV</ipxact:name> + <ipxact:displayName>tIH (base) DC level</ipxact:displayName> + <ipxact:value>75</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TDIVW_TOTAL_UI" type="real"> + <ipxact:name>MEM_DDR4_TDIVW_TOTAL_UI</ipxact:name> + <ipxact:displayName>TdiVW_total</ipxact:displayName> + <ipxact:value>0.2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_VDIVW_TOTAL" type="int"> + <ipxact:name>MEM_DDR4_VDIVW_TOTAL</ipxact:name> + <ipxact:displayName>VdiVW_total</ipxact:displayName> + <ipxact:value>136</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TDQSQ_UI" type="real"> + <ipxact:name>MEM_DDR4_TDQSQ_UI</ipxact:name> + <ipxact:displayName>tDQSQ</ipxact:displayName> + <ipxact:value>0.16</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TQH_UI" type="real"> + <ipxact:name>MEM_DDR4_TQH_UI</ipxact:name> + <ipxact:displayName>tQH</ipxact:displayName> + <ipxact:value>0.76</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TDVWP_UI" type="real"> + <ipxact:name>MEM_DDR4_TDVWP_UI</ipxact:name> + <ipxact:displayName>tDVWp</ipxact:displayName> + <ipxact:value>0.69</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TDQSCK_PS" type="int"> + <ipxact:name>MEM_DDR4_TDQSCK_PS</ipxact:name> + <ipxact:displayName>tDQSCK</ipxact:displayName> + <ipxact:value>180</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TDQSS_CYC" type="real"> + <ipxact:name>MEM_DDR4_TDQSS_CYC</ipxact:name> + <ipxact:displayName>tDQSS</ipxact:displayName> + <ipxact:value>0.27</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TQSH_CYC" type="real"> + <ipxact:name>MEM_DDR4_TQSH_CYC</ipxact:name> + <ipxact:displayName>tQSH</ipxact:displayName> + <ipxact:value>0.4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TDSH_CYC" type="real"> + <ipxact:name>MEM_DDR4_TDSH_CYC</ipxact:name> + <ipxact:displayName>tDSH</ipxact:displayName> + <ipxact:value>0.18</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TDSS_CYC" type="real"> + <ipxact:name>MEM_DDR4_TDSS_CYC</ipxact:name> + <ipxact:displayName>tDSS</ipxact:displayName> + <ipxact:value>0.18</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TWLS_CYC" type="real"> + <ipxact:name>MEM_DDR4_TWLS_CYC</ipxact:name> + <ipxact:displayName>tWLS</ipxact:displayName> + <ipxact:value>0.13</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TWLH_CYC" type="real"> + <ipxact:name>MEM_DDR4_TWLH_CYC</ipxact:name> + <ipxact:displayName>tWLH</ipxact:displayName> + <ipxact:value>0.13</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TINIT_US" type="int"> + <ipxact:name>MEM_DDR4_TINIT_US</ipxact:name> + <ipxact:displayName>tINIT</ipxact:displayName> + <ipxact:value>500</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TMRD_CK_CYC" type="int"> + <ipxact:name>MEM_DDR4_TMRD_CK_CYC</ipxact:name> + <ipxact:displayName>tMRD</ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TRAS_NS" type="real"> + <ipxact:name>MEM_DDR4_TRAS_NS</ipxact:name> + <ipxact:displayName>tRAS</ipxact:displayName> + <ipxact:value>33.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TRCD_NS" type="real"> + <ipxact:name>MEM_DDR4_TRCD_NS</ipxact:name> + <ipxact:displayName>tRCD</ipxact:displayName> + <ipxact:value>14.06</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TRP_NS" type="real"> + <ipxact:name>MEM_DDR4_TRP_NS</ipxact:name> + <ipxact:displayName>tRP</ipxact:displayName> + <ipxact:value>14.06</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TREFI_US" type="real"> + <ipxact:name>MEM_DDR4_TREFI_US</ipxact:name> + <ipxact:displayName>tREFI</ipxact:displayName> + <ipxact:value>7.8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TRFC_NS" type="real"> + <ipxact:name>MEM_DDR4_TRFC_NS</ipxact:name> + <ipxact:displayName>tRFC</ipxact:displayName> + <ipxact:value>260.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TWR_NS" type="real"> + <ipxact:name>MEM_DDR4_TWR_NS</ipxact:name> + <ipxact:displayName>tWR</ipxact:displayName> + <ipxact:value>15.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TWTR_L_CYC" type="int"> + <ipxact:name>MEM_DDR4_TWTR_L_CYC</ipxact:name> + <ipxact:displayName>tWTR_L</ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TWTR_S_CYC" type="int"> + <ipxact:name>MEM_DDR4_TWTR_S_CYC</ipxact:name> + <ipxact:displayName>tWTR_S</ipxact:displayName> + <ipxact:value>3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TFAW_NS" type="real"> + <ipxact:name>MEM_DDR4_TFAW_NS</ipxact:name> + <ipxact:displayName>tFAW</ipxact:displayName> + <ipxact:value>25.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TRRD_L_CYC" type="int"> + <ipxact:name>MEM_DDR4_TRRD_L_CYC</ipxact:name> + <ipxact:displayName>tRRD_L</ipxact:displayName> + <ipxact:value>6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TRRD_S_CYC" type="int"> + <ipxact:name>MEM_DDR4_TRRD_S_CYC</ipxact:name> + <ipxact:displayName>tRRD_S</ipxact:displayName> + <ipxact:value>4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TCCD_L_CYC" type="int"> + <ipxact:name>MEM_DDR4_TCCD_L_CYC</ipxact:name> + <ipxact:displayName>tCCD_L</ipxact:displayName> + <ipxact:value>6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TCCD_S_CYC" type="int"> + <ipxact:name>MEM_DDR4_TCCD_S_CYC</ipxact:name> + <ipxact:displayName>tCCD_S</ipxact:displayName> + <ipxact:value>4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TRFC_DLR_NS" type="real"> + <ipxact:name>MEM_DDR4_TRFC_DLR_NS</ipxact:name> + <ipxact:displayName>tRFC_dlr</ipxact:displayName> + <ipxact:value>90.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TFAW_DLR_CYC" type="int"> + <ipxact:name>MEM_DDR4_TFAW_DLR_CYC</ipxact:name> + <ipxact:displayName>tFAW_dlr</ipxact:displayName> + <ipxact:value>16</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TRRD_DLR_CYC" type="int"> + <ipxact:name>MEM_DDR4_TRRD_DLR_CYC</ipxact:name> + <ipxact:displayName>tRRD_dlr</ipxact:displayName> + <ipxact:value>4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TDIVW_DJ_CYC" type="real"> + <ipxact:name>MEM_DDR4_TDIVW_DJ_CYC</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_TDIVW_DJ_CYC_NAME</ipxact:displayName> + <ipxact:value>0.1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TDQSQ_PS" type="int"> + <ipxact:name>MEM_DDR4_TDQSQ_PS</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_TDQSQ_PS_NAME</ipxact:displayName> + <ipxact:value>66</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TQH_CYC" type="real"> + <ipxact:name>MEM_DDR4_TQH_CYC</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_TQH_CYC_NAME</ipxact:displayName> + <ipxact:value>0.38</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TINIT_CK" type="int"> + <ipxact:name>MEM_DDR4_TINIT_CK</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_TINIT_CK_NAME</ipxact:displayName> + <ipxact:value>400000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TDQSCK_DERV_PS" type="int"> + <ipxact:name>MEM_DDR4_TDQSCK_DERV_PS</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_TDQSCK_DERV_PS_NAME</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TDQSCKDS" type="int"> + <ipxact:name>MEM_DDR4_TDQSCKDS</ipxact:name> + <ipxact:displayName>tDQSCK Delta Short</ipxact:displayName> + <ipxact:value>450</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TDQSCKDM" type="int"> + <ipxact:name>MEM_DDR4_TDQSCKDM</ipxact:name> + <ipxact:displayName>tDQSCK Delta Medium</ipxact:displayName> + <ipxact:value>900</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TDQSCKDL" type="int"> + <ipxact:name>MEM_DDR4_TDQSCKDL</ipxact:name> + <ipxact:displayName>tDQSCK Delta Long</ipxact:displayName> + <ipxact:value>1200</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TRAS_CYC" type="int"> + <ipxact:name>MEM_DDR4_TRAS_CYC</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_TRAS_CYC_NAME</ipxact:displayName> + <ipxact:value>27</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TRCD_CYC" type="int"> + <ipxact:name>MEM_DDR4_TRCD_CYC</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_TRCD_CYC_NAME</ipxact:displayName> + <ipxact:value>12</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TRP_CYC" type="int"> + <ipxact:name>MEM_DDR4_TRP_CYC</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_TRP_CYC_NAME</ipxact:displayName> + <ipxact:value>12</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TRFC_CYC" type="int"> + <ipxact:name>MEM_DDR4_TRFC_CYC</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_TRFC_CYC_NAME</ipxact:displayName> + <ipxact:value>208</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TWR_CYC" type="int"> + <ipxact:name>MEM_DDR4_TWR_CYC</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_TWR_CYC_NAME</ipxact:displayName> + <ipxact:value>12</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TRTP_CYC" type="int"> + <ipxact:name>MEM_DDR4_TRTP_CYC</ipxact:name> + <ipxact:displayName>tRTP</ipxact:displayName> + <ipxact:value>6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TFAW_CYC" type="int"> + <ipxact:name>MEM_DDR4_TFAW_CYC</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_TFAW_CYC_NAME</ipxact:displayName> + <ipxact:value>20</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TREFI_CYC" type="int"> + <ipxact:name>MEM_DDR4_TREFI_CYC</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_TREFI_CYC_NAME</ipxact:displayName> + <ipxact:value>6240</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_WRITE_CMD_LATENCY" type="int"> + <ipxact:name>MEM_DDR4_WRITE_CMD_LATENCY</ipxact:name> + <ipxact:displayName>Write CMD latency for CRC/DM enable</ipxact:displayName> + <ipxact:value>5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TRFC_DLR_CYC" type="int"> + <ipxact:name>MEM_DDR4_TRFC_DLR_CYC</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_TRFC_DLR_CYC_NAME</ipxact:displayName> + <ipxact:value>72</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_CFG_GEN_SBE" type="bit"> + <ipxact:name>MEM_DDR4_CFG_GEN_SBE</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_CFG_GEN_SBE_NAME</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_CFG_GEN_DBE" type="bit"> + <ipxact:name>MEM_DDR4_CFG_GEN_DBE</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_CFG_GEN_DBE_NAME</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_LRDIMM_VREFDQ_VALUE" type="string"> + <ipxact:name>MEM_DDR4_LRDIMM_VREFDQ_VALUE</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_LRDIMM_VREFDQ_VALUE_NAME</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TWLS_PS" type="real"> + <ipxact:name>MEM_DDR4_TWLS_PS</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_TWLS_PS_NAME</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TWLH_PS" type="real"> + <ipxact:name>MEM_DDR4_TWLH_PS</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_TWLH_PS_NAME</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR2_WIDTH_EXPANDED" type="bit"> + <ipxact:name>MEM_QDR2_WIDTH_EXPANDED</ipxact:name> + <ipxact:displayName>Enable width expansion</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR2_DATA_PER_DEVICE" type="int"> + <ipxact:name>MEM_QDR2_DATA_PER_DEVICE</ipxact:name> + <ipxact:displayName>Data width per device</ipxact:displayName> + <ipxact:value>36</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR2_ADDR_WIDTH" type="int"> + <ipxact:name>MEM_QDR2_ADDR_WIDTH</ipxact:name> + <ipxact:displayName>Address width</ipxact:displayName> + <ipxact:value>19</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR2_BWS_EN" type="bit"> + <ipxact:name>MEM_QDR2_BWS_EN</ipxact:name> + <ipxact:displayName>Enable BWS# pins</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR2_BL" type="int"> + <ipxact:name>MEM_QDR2_BL</ipxact:name> + <ipxact:displayName>Burst length</ipxact:displayName> + <ipxact:value>4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR2_FORMAT_ENUM" type="string"> + <ipxact:name>MEM_QDR2_FORMAT_ENUM</ipxact:name> + <ipxact:displayName>PARAM_MEM_QDR2_FORMAT_ENUM_NAME</ipxact:displayName> + <ipxact:value>MEM_FORMAT_DISCRETE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR2_DEVICE_WIDTH" type="int"> + <ipxact:name>MEM_QDR2_DEVICE_WIDTH</ipxact:name> + <ipxact:displayName>PARAM_MEM_QDR2_DEVICE_WIDTH_NAME</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR2_DATA_WIDTH" type="int"> + <ipxact:name>MEM_QDR2_DATA_WIDTH</ipxact:name> + <ipxact:displayName>Data width</ipxact:displayName> + <ipxact:value>36</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR2_BWS_N_WIDTH" type="int"> + <ipxact:name>MEM_QDR2_BWS_N_WIDTH</ipxact:name> + <ipxact:displayName>BWS# width</ipxact:displayName> + <ipxact:value>4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR2_BWS_N_PER_DEVICE" type="int"> + <ipxact:name>MEM_QDR2_BWS_N_PER_DEVICE</ipxact:name> + <ipxact:displayName>PARAM_MEM_QDR2_BWS_N_PER_DEVICE_NAME</ipxact:displayName> + <ipxact:value>4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR2_CQ_WIDTH" type="int"> + <ipxact:name>MEM_QDR2_CQ_WIDTH</ipxact:name> + <ipxact:displayName>CQ width</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR2_K_WIDTH" type="int"> + <ipxact:name>MEM_QDR2_K_WIDTH</ipxact:name> + <ipxact:displayName>K width</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR2_TWL_CYC" type="int"> + <ipxact:name>MEM_QDR2_TWL_CYC</ipxact:name> + <ipxact:displayName>tWL</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR2_SPEEDBIN_ENUM" type="string"> + <ipxact:name>MEM_QDR2_SPEEDBIN_ENUM</ipxact:name> + <ipxact:displayName>Speed bin</ipxact:displayName> + <ipxact:value>QDR2_SPEEDBIN_633</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR2_TRL_CYC" type="real"> + <ipxact:name>MEM_QDR2_TRL_CYC</ipxact:name> + <ipxact:displayName>tRL</ipxact:displayName> + <ipxact:value>2.5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR2_TSA_NS" type="real"> + <ipxact:name>MEM_QDR2_TSA_NS</ipxact:name> + <ipxact:displayName>tSA</ipxact:displayName> + <ipxact:value>0.23</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR2_THA_NS" type="real"> + <ipxact:name>MEM_QDR2_THA_NS</ipxact:name> + <ipxact:displayName>tHA</ipxact:displayName> + <ipxact:value>0.18</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR2_TSD_NS" type="real"> + <ipxact:name>MEM_QDR2_TSD_NS</ipxact:name> + <ipxact:displayName>tSD</ipxact:displayName> + <ipxact:value>0.23</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR2_THD_NS" type="real"> + <ipxact:name>MEM_QDR2_THD_NS</ipxact:name> + <ipxact:displayName>tHD</ipxact:displayName> + <ipxact:value>0.18</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR2_TCQD_NS" type="real"> + <ipxact:name>MEM_QDR2_TCQD_NS</ipxact:name> + <ipxact:displayName>tCQD</ipxact:displayName> + <ipxact:value>0.09</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR2_TCQDOH_NS" type="real"> + <ipxact:name>MEM_QDR2_TCQDOH_NS</ipxact:name> + <ipxact:displayName>tCQDOH</ipxact:displayName> + <ipxact:value>-0.09</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR2_INTERNAL_JITTER_NS" type="real"> + <ipxact:name>MEM_QDR2_INTERNAL_JITTER_NS</ipxact:name> + <ipxact:displayName>Internal Jitter</ipxact:displayName> + <ipxact:value>0.08</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR2_TCQH_NS" type="real"> + <ipxact:name>MEM_QDR2_TCQH_NS</ipxact:name> + <ipxact:displayName>tCQH</ipxact:displayName> + <ipxact:value>0.71</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR2_TCCQO_NS" type="real"> + <ipxact:name>MEM_QDR2_TCCQO_NS</ipxact:name> + <ipxact:displayName>tCCQO</ipxact:displayName> + <ipxact:value>0.45</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR4_WIDTH_EXPANDED" type="bit"> + <ipxact:name>MEM_QDR4_WIDTH_EXPANDED</ipxact:name> + <ipxact:displayName>Enable width expansion</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR4_DQ_PER_PORT_PER_DEVICE" type="int"> + <ipxact:name>MEM_QDR4_DQ_PER_PORT_PER_DEVICE</ipxact:name> + <ipxact:displayName>DQ width per device</ipxact:displayName> + <ipxact:value>36</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR4_ADDR_WIDTH" type="int"> + <ipxact:name>MEM_QDR4_ADDR_WIDTH</ipxact:name> + <ipxact:displayName>Address width</ipxact:displayName> + <ipxact:value>21</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR4_SKIP_ODT_SWEEPING" type="bit"> + <ipxact:name>MEM_QDR4_SKIP_ODT_SWEEPING</ipxact:name> + <ipxact:displayName>Skip automatic optimization of Clock and Address/Command ODT setting during calibration</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR4_CK_ODT_MODE_ENUM" type="string"> + <ipxact:name>MEM_QDR4_CK_ODT_MODE_ENUM</ipxact:name> + <ipxact:displayName>ODT (Clock)</ipxact:displayName> + <ipxact:value>QDR4_ODT_25_PCT</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR4_AC_ODT_MODE_ENUM" type="string"> + <ipxact:name>MEM_QDR4_AC_ODT_MODE_ENUM</ipxact:name> + <ipxact:displayName>ODT (Address/Command)</ipxact:displayName> + <ipxact:value>QDR4_ODT_25_PCT</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR4_DATA_ODT_MODE_ENUM" type="string"> + <ipxact:name>MEM_QDR4_DATA_ODT_MODE_ENUM</ipxact:name> + <ipxact:displayName>ODT (Data)</ipxact:displayName> + <ipxact:value>QDR4_ODT_25_PCT</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR4_PU_OUTPUT_DRIVE_MODE_ENUM" type="string"> + <ipxact:name>MEM_QDR4_PU_OUTPUT_DRIVE_MODE_ENUM</ipxact:name> + <ipxact:displayName>Output drive (pull-up)</ipxact:displayName> + <ipxact:value>QDR4_OUTPUT_DRIVE_25_PCT</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR4_PD_OUTPUT_DRIVE_MODE_ENUM" type="string"> + <ipxact:name>MEM_QDR4_PD_OUTPUT_DRIVE_MODE_ENUM</ipxact:name> + <ipxact:displayName>Output drive (pull-down)</ipxact:displayName> + <ipxact:value>QDR4_OUTPUT_DRIVE_25_PCT</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR4_MEM_TYPE_ENUM" type="string"> + <ipxact:name>MEM_QDR4_MEM_TYPE_ENUM</ipxact:name> + <ipxact:displayName>Memory Type </ipxact:displayName> + <ipxact:value>MEM_XP</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR4_DATA_INV_ENA" type="bit"> + <ipxact:name>MEM_QDR4_DATA_INV_ENA</ipxact:name> + <ipxact:displayName>Data bus inversion</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR4_ADDR_INV_ENA" type="bit"> + <ipxact:name>MEM_QDR4_ADDR_INV_ENA</ipxact:name> + <ipxact:displayName>Address bus inversion</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR4_USE_ADDR_PARITY" type="bit"> + <ipxact:name>MEM_QDR4_USE_ADDR_PARITY</ipxact:name> + <ipxact:displayName>Use address parity bit</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR4_FORMAT_ENUM" type="string"> + <ipxact:name>MEM_QDR4_FORMAT_ENUM</ipxact:name> + <ipxact:displayName>PARAM_MEM_QDR4_FORMAT_ENUM_NAME</ipxact:displayName> + <ipxact:value>MEM_FORMAT_DISCRETE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR4_DEVICE_WIDTH" type="int"> + <ipxact:name>MEM_QDR4_DEVICE_WIDTH</ipxact:name> + <ipxact:displayName>PARAM_MEM_QDR4_DEVICE_WIDTH_NAME</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR4_DEVICE_DEPTH" type="int"> + <ipxact:name>MEM_QDR4_DEVICE_DEPTH</ipxact:name> + <ipxact:displayName>PARAM_MEM_QDR4_DEVICE_DEPTH_NAME</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR4_DQ_PER_RD_GROUP" type="int"> + <ipxact:name>MEM_QDR4_DQ_PER_RD_GROUP</ipxact:name> + <ipxact:displayName>PARAM_MEM_QDR4_DQ_PER_RD_GROUP_NAME</ipxact:displayName> + <ipxact:value>18</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR4_DQ_PER_WR_GROUP" type="int"> + <ipxact:name>MEM_QDR4_DQ_PER_WR_GROUP</ipxact:name> + <ipxact:displayName>PARAM_MEM_QDR4_DQ_PER_WR_GROUP_NAME</ipxact:displayName> + <ipxact:value>18</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR4_DQ_WIDTH" type="int"> + <ipxact:name>MEM_QDR4_DQ_WIDTH</ipxact:name> + <ipxact:displayName>PARAM_MEM_QDR4_DQ_WIDTH_NAME</ipxact:displayName> + <ipxact:value>72</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR4_QK_WIDTH" type="int"> + <ipxact:name>MEM_QDR4_QK_WIDTH</ipxact:name> + <ipxact:displayName>PARAM_MEM_QDR4_QK_WIDTH_NAME</ipxact:displayName> + <ipxact:value>4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR4_DK_WIDTH" type="int"> + <ipxact:name>MEM_QDR4_DK_WIDTH</ipxact:name> + <ipxact:displayName>PARAM_MEM_QDR4_DK_WIDTH_NAME</ipxact:displayName> + <ipxact:value>4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR4_DINV_WIDTH" type="int"> + <ipxact:name>MEM_QDR4_DINV_WIDTH</ipxact:name> + <ipxact:displayName>PARAM_MEM_QDR4_DINV_WIDTH_NAME</ipxact:displayName> + <ipxact:value>4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR4_DQ_PER_PORT_WIDTH" type="int"> + <ipxact:name>MEM_QDR4_DQ_PER_PORT_WIDTH</ipxact:name> + <ipxact:displayName>DQA / DQB width</ipxact:displayName> + <ipxact:value>36</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR4_QK_PER_PORT_WIDTH" type="int"> + <ipxact:name>MEM_QDR4_QK_PER_PORT_WIDTH</ipxact:name> + <ipxact:displayName>QKA / QKB width</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR4_DK_PER_PORT_WIDTH" type="int"> + <ipxact:name>MEM_QDR4_DK_PER_PORT_WIDTH</ipxact:name> + <ipxact:displayName>DKA / DKB width</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR4_DINV_PER_PORT_WIDTH" type="int"> + <ipxact:name>MEM_QDR4_DINV_PER_PORT_WIDTH</ipxact:name> + <ipxact:displayName>DINVA / DINVB width</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR4_BL" type="int"> + <ipxact:name>MEM_QDR4_BL</ipxact:name> + <ipxact:displayName>PARAM_MEM_QDR4_BL_NAME</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR4_TRL_CYC" type="int"> + <ipxact:name>MEM_QDR4_TRL_CYC</ipxact:name> + <ipxact:displayName>Memory Read latency </ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR4_TWL_CYC" type="int"> + <ipxact:name>MEM_QDR4_TWL_CYC</ipxact:name> + <ipxact:displayName>Memory Write latency </ipxact:displayName> + <ipxact:value>5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR4_AVL_CHNLS" type="int"> + <ipxact:name>MEM_QDR4_AVL_CHNLS</ipxact:name> + <ipxact:displayName>PARAM_MEM_QDR4_AVL_CHNLS_NAME</ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR4_CR0" type="int"> + <ipxact:name>MEM_QDR4_CR0</ipxact:name> + <ipxact:displayName>PARAM_MEM_QDR4_CR0_NAME</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR4_CR1" type="int"> + <ipxact:name>MEM_QDR4_CR1</ipxact:name> + <ipxact:displayName>PARAM_MEM_QDR4_CR1_NAME</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR4_CR2" type="int"> + <ipxact:name>MEM_QDR4_CR2</ipxact:name> + <ipxact:displayName>PARAM_MEM_QDR4_CR2_NAME</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR4_SPEEDBIN_ENUM" type="string"> + <ipxact:name>MEM_QDR4_SPEEDBIN_ENUM</ipxact:name> + <ipxact:displayName>Speed bin</ipxact:displayName> + <ipxact:value>QDR4_SPEEDBIN_2133</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR4_TISH_PS" type="int"> + <ipxact:name>MEM_QDR4_TISH_PS</ipxact:name> + <ipxact:displayName>tISH</ipxact:displayName> + <ipxact:value>150</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR4_TQKQ_MAX_PS" type="int"> + <ipxact:name>MEM_QDR4_TQKQ_MAX_PS</ipxact:name> + <ipxact:displayName>tQKQ_max</ipxact:displayName> + <ipxact:value>75</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR4_TQH_CYC" type="real"> + <ipxact:name>MEM_QDR4_TQH_CYC</ipxact:name> + <ipxact:displayName>tQH</ipxact:displayName> + <ipxact:value>0.4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR4_TCKDK_MAX_PS" type="int"> + <ipxact:name>MEM_QDR4_TCKDK_MAX_PS</ipxact:name> + <ipxact:displayName>tCKDK_max</ipxact:displayName> + <ipxact:value>150</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR4_TCKDK_MIN_PS" type="int"> + <ipxact:name>MEM_QDR4_TCKDK_MIN_PS</ipxact:name> + <ipxact:displayName>tCKDK_min</ipxact:displayName> + <ipxact:value>-150</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR4_TCKQK_MAX_PS" type="int"> + <ipxact:name>MEM_QDR4_TCKQK_MAX_PS</ipxact:name> + <ipxact:displayName>tCKQK_max</ipxact:displayName> + <ipxact:value>225</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR4_TASH_PS" type="int"> + <ipxact:name>MEM_QDR4_TASH_PS</ipxact:name> + <ipxact:displayName>tASH</ipxact:displayName> + <ipxact:value>170</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR4_TCSH_PS" type="int"> + <ipxact:name>MEM_QDR4_TCSH_PS</ipxact:name> + <ipxact:displayName>tCSH</ipxact:displayName> + <ipxact:value>170</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD2_WIDTH_EXPANDED" type="bit"> + <ipxact:name>MEM_RLD2_WIDTH_EXPANDED</ipxact:name> + <ipxact:displayName>Enable width expansion</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD2_DQ_PER_DEVICE" type="int"> + <ipxact:name>MEM_RLD2_DQ_PER_DEVICE</ipxact:name> + <ipxact:displayName>DQ width per device</ipxact:displayName> + <ipxact:value>9</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD2_ADDR_WIDTH" type="int"> + <ipxact:name>MEM_RLD2_ADDR_WIDTH</ipxact:name> + <ipxact:displayName>Address width</ipxact:displayName> + <ipxact:value>21</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD2_BANK_ADDR_WIDTH" type="int"> + <ipxact:name>MEM_RLD2_BANK_ADDR_WIDTH</ipxact:name> + <ipxact:displayName>Bank address width</ipxact:displayName> + <ipxact:value>3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD2_DM_EN" type="bit"> + <ipxact:name>MEM_RLD2_DM_EN</ipxact:name> + <ipxact:displayName>Enable DM pins</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD2_BL" type="int"> + <ipxact:name>MEM_RLD2_BL</ipxact:name> + <ipxact:displayName>Burst length</ipxact:displayName> + <ipxact:value>4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD2_CONFIG_ENUM" type="string"> + <ipxact:name>MEM_RLD2_CONFIG_ENUM</ipxact:name> + <ipxact:displayName>Configuration</ipxact:displayName> + <ipxact:value>RLD2_CONFIG_TRC_8_TRL_8_TWL_9</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD2_DRIVE_IMPEDENCE_ENUM" type="string"> + <ipxact:name>MEM_RLD2_DRIVE_IMPEDENCE_ENUM</ipxact:name> + <ipxact:displayName>Drive Impedance</ipxact:displayName> + <ipxact:value>RLD2_DRIVE_IMPEDENCE_INTERNAL_50</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD2_ODT_MODE_ENUM" type="string"> + <ipxact:name>MEM_RLD2_ODT_MODE_ENUM</ipxact:name> + <ipxact:displayName>On-Die Termination</ipxact:displayName> + <ipxact:value>RLD2_ODT_ON</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD2_FORMAT_ENUM" type="string"> + <ipxact:name>MEM_RLD2_FORMAT_ENUM</ipxact:name> + <ipxact:displayName>PARAM_MEM_RLD2_FORMAT_ENUM_NAME</ipxact:displayName> + <ipxact:value>MEM_FORMAT_DISCRETE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD2_DEVICE_WIDTH" type="int"> + <ipxact:name>MEM_RLD2_DEVICE_WIDTH</ipxact:name> + <ipxact:displayName>PARAM_MEM_RLD2_DEVICE_WIDTH_NAME</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD2_DEVICE_DEPTH" type="int"> + <ipxact:name>MEM_RLD2_DEVICE_DEPTH</ipxact:name> + <ipxact:displayName>PARAM_MEM_RLD2_DEVICE_DEPTH_NAME</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD2_DQ_WIDTH" type="int"> + <ipxact:name>MEM_RLD2_DQ_WIDTH</ipxact:name> + <ipxact:displayName>DQ width</ipxact:displayName> + <ipxact:value>9</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD2_DQ_PER_RD_GROUP" type="int"> + <ipxact:name>MEM_RLD2_DQ_PER_RD_GROUP</ipxact:name> + <ipxact:displayName>PARAM_MEM_RLD2_DQ_PER_RD_GROUP_NAME</ipxact:displayName> + <ipxact:value>9</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD2_DQ_PER_WR_GROUP" type="int"> + <ipxact:name>MEM_RLD2_DQ_PER_WR_GROUP</ipxact:name> + <ipxact:displayName>PARAM_MEM_RLD2_DQ_PER_WR_GROUP_NAME</ipxact:displayName> + <ipxact:value>9</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD2_QK_WIDTH" type="int"> + <ipxact:name>MEM_RLD2_QK_WIDTH</ipxact:name> + <ipxact:displayName>QK width</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD2_DK_WIDTH" type="int"> + <ipxact:name>MEM_RLD2_DK_WIDTH</ipxact:name> + <ipxact:displayName>DK width</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD2_DM_WIDTH" type="int"> + <ipxact:name>MEM_RLD2_DM_WIDTH</ipxact:name> + <ipxact:displayName>PARAM_MEM_RLD2_DM_WIDTH_NAME</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD2_CS_WIDTH" type="int"> + <ipxact:name>MEM_RLD2_CS_WIDTH</ipxact:name> + <ipxact:displayName>CS# width</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD2_TRC" type="int"> + <ipxact:name>MEM_RLD2_TRC</ipxact:name> + <ipxact:displayName>PARAM_MEM_RLD2_TRC_NAME</ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD2_TRL" type="int"> + <ipxact:name>MEM_RLD2_TRL</ipxact:name> + <ipxact:displayName>PARAM_MEM_RLD2_TRL_NAME</ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD2_TWL" type="int"> + <ipxact:name>MEM_RLD2_TWL</ipxact:name> + <ipxact:displayName>PARAM_MEM_RLD2_TWL_NAME</ipxact:displayName> + <ipxact:value>9</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD2_MR" type="int"> + <ipxact:name>MEM_RLD2_MR</ipxact:name> + <ipxact:displayName>PARAM_MEM_RLD2_MR_NAME</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD2_SPEEDBIN_ENUM" type="string"> + <ipxact:name>MEM_RLD2_SPEEDBIN_ENUM</ipxact:name> + <ipxact:displayName>Speed bin</ipxact:displayName> + <ipxact:value>RLD2_SPEEDBIN_18</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD2_REFRESH_INTERVAL_US" type="real"> + <ipxact:name>MEM_RLD2_REFRESH_INTERVAL_US</ipxact:name> + <ipxact:displayName>Refresh Interval</ipxact:displayName> + <ipxact:value>0.24</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD2_TCKH_CYC" type="real"> + <ipxact:name>MEM_RLD2_TCKH_CYC</ipxact:name> + <ipxact:displayName>tCKH</ipxact:displayName> + <ipxact:value>0.45</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD2_TQKH_HCYC" type="real"> + <ipxact:name>MEM_RLD2_TQKH_HCYC</ipxact:name> + <ipxact:displayName>tQKH</ipxact:displayName> + <ipxact:value>0.9</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD2_TAS_NS" type="real"> + <ipxact:name>MEM_RLD2_TAS_NS</ipxact:name> + <ipxact:displayName>tAS</ipxact:displayName> + <ipxact:value>0.3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD2_TAH_NS" type="real"> + <ipxact:name>MEM_RLD2_TAH_NS</ipxact:name> + <ipxact:displayName>tAH</ipxact:displayName> + <ipxact:value>0.3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD2_TDS_NS" type="real"> + <ipxact:name>MEM_RLD2_TDS_NS</ipxact:name> + <ipxact:displayName>tDS</ipxact:displayName> + <ipxact:value>0.17</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD2_TDH_NS" type="real"> + <ipxact:name>MEM_RLD2_TDH_NS</ipxact:name> + <ipxact:displayName>tDH</ipxact:displayName> + <ipxact:value>0.17</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD2_TQKQ_MAX_NS" type="real"> + <ipxact:name>MEM_RLD2_TQKQ_MAX_NS</ipxact:name> + <ipxact:displayName>tQKQ_max</ipxact:displayName> + <ipxact:value>0.12</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD2_TQKQ_MIN_NS" type="real"> + <ipxact:name>MEM_RLD2_TQKQ_MIN_NS</ipxact:name> + <ipxact:displayName>tQKQ_min</ipxact:displayName> + <ipxact:value>-0.12</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD2_TCKDK_MAX_NS" type="real"> + <ipxact:name>MEM_RLD2_TCKDK_MAX_NS</ipxact:name> + <ipxact:displayName>tCKDK_max</ipxact:displayName> + <ipxact:value>0.3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD2_TCKDK_MIN_NS" type="real"> + <ipxact:name>MEM_RLD2_TCKDK_MIN_NS</ipxact:name> + <ipxact:displayName>tCKDK_min</ipxact:displayName> + <ipxact:value>-0.3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD2_TCKQK_MAX_NS" type="real"> + <ipxact:name>MEM_RLD2_TCKQK_MAX_NS</ipxact:name> + <ipxact:displayName>tCKQK_max</ipxact:displayName> + <ipxact:value>0.2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD3_WIDTH_EXPANDED" type="bit"> + <ipxact:name>MEM_RLD3_WIDTH_EXPANDED</ipxact:name> + <ipxact:displayName>Enable width expansion</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD3_DEPTH_EXPANDED" type="bit"> + <ipxact:name>MEM_RLD3_DEPTH_EXPANDED</ipxact:name> + <ipxact:displayName>Enable depth expansion using twin die package</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD3_DQ_PER_DEVICE" type="int"> + <ipxact:name>MEM_RLD3_DQ_PER_DEVICE</ipxact:name> + <ipxact:displayName>DQ width per device</ipxact:displayName> + <ipxact:value>36</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD3_ADDR_WIDTH" type="int"> + <ipxact:name>MEM_RLD3_ADDR_WIDTH</ipxact:name> + <ipxact:displayName>Address width</ipxact:displayName> + <ipxact:value>20</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD3_BANK_ADDR_WIDTH" type="int"> + <ipxact:name>MEM_RLD3_BANK_ADDR_WIDTH</ipxact:name> + <ipxact:displayName>Bank address width</ipxact:displayName> + <ipxact:value>4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD3_DM_EN" type="bit"> + <ipxact:name>MEM_RLD3_DM_EN</ipxact:name> + <ipxact:displayName>Enable DM pins</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD3_BL" type="int"> + <ipxact:name>MEM_RLD3_BL</ipxact:name> + <ipxact:displayName>Burst length</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD3_DATA_LATENCY_MODE_ENUM" type="string"> + <ipxact:name>MEM_RLD3_DATA_LATENCY_MODE_ENUM</ipxact:name> + <ipxact:displayName>Data Latency</ipxact:displayName> + <ipxact:value>RLD3_DL_RL16_WL17</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD3_T_RC_MODE_ENUM" type="string"> + <ipxact:name>MEM_RLD3_T_RC_MODE_ENUM</ipxact:name> + <ipxact:displayName>tRC</ipxact:displayName> + <ipxact:value>RLD3_TRC_9</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD3_OUTPUT_DRIVE_MODE_ENUM" type="string"> + <ipxact:name>MEM_RLD3_OUTPUT_DRIVE_MODE_ENUM</ipxact:name> + <ipxact:displayName>Output drive</ipxact:displayName> + <ipxact:value>RLD3_OUTPUT_DRIVE_40</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD3_ODT_MODE_ENUM" type="string"> + <ipxact:name>MEM_RLD3_ODT_MODE_ENUM</ipxact:name> + <ipxact:displayName>ODT</ipxact:displayName> + <ipxact:value>RLD3_ODT_40</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD3_AREF_PROTOCOL_ENUM" type="string"> + <ipxact:name>MEM_RLD3_AREF_PROTOCOL_ENUM</ipxact:name> + <ipxact:displayName>AREF protocol</ipxact:displayName> + <ipxact:value>RLD3_AREF_BAC</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD3_WRITE_PROTOCOL_ENUM" type="string"> + <ipxact:name>MEM_RLD3_WRITE_PROTOCOL_ENUM</ipxact:name> + <ipxact:displayName>Write protocol</ipxact:displayName> + <ipxact:value>RLD3_WRITE_1BANK</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD3_FORMAT_ENUM" type="string"> + <ipxact:name>MEM_RLD3_FORMAT_ENUM</ipxact:name> + <ipxact:displayName>PARAM_MEM_RLD3_FORMAT_ENUM_NAME</ipxact:displayName> + <ipxact:value>MEM_FORMAT_DISCRETE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD3_DEVICE_WIDTH" type="int"> + <ipxact:name>MEM_RLD3_DEVICE_WIDTH</ipxact:name> + <ipxact:displayName>PARAM_MEM_RLD3_DEVICE_WIDTH_NAME</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD3_DEVICE_DEPTH" type="int"> + <ipxact:name>MEM_RLD3_DEVICE_DEPTH</ipxact:name> + <ipxact:displayName>PARAM_MEM_RLD3_DEVICE_DEPTH_NAME</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD3_DQ_WIDTH" type="int"> + <ipxact:name>MEM_RLD3_DQ_WIDTH</ipxact:name> + <ipxact:displayName>DQ width</ipxact:displayName> + <ipxact:value>36</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD3_DQ_PER_RD_GROUP" type="int"> + <ipxact:name>MEM_RLD3_DQ_PER_RD_GROUP</ipxact:name> + <ipxact:displayName>PARAM_MEM_RLD3_DQ_PER_RD_GROUP_NAME</ipxact:displayName> + <ipxact:value>9</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD3_DQ_PER_WR_GROUP" type="int"> + <ipxact:name>MEM_RLD3_DQ_PER_WR_GROUP</ipxact:name> + <ipxact:displayName>PARAM_MEM_RLD3_DQ_PER_WR_GROUP_NAME</ipxact:displayName> + <ipxact:value>18</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD3_QK_WIDTH" type="int"> + <ipxact:name>MEM_RLD3_QK_WIDTH</ipxact:name> + <ipxact:displayName>QK width</ipxact:displayName> + <ipxact:value>4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD3_DK_WIDTH" type="int"> + <ipxact:name>MEM_RLD3_DK_WIDTH</ipxact:name> + <ipxact:displayName>DK width</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD3_DM_WIDTH" type="int"> + <ipxact:name>MEM_RLD3_DM_WIDTH</ipxact:name> + <ipxact:displayName>PARAM_MEM_RLD3_DM_WIDTH_NAME</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD3_CS_WIDTH" type="int"> + <ipxact:name>MEM_RLD3_CS_WIDTH</ipxact:name> + <ipxact:displayName>CS# width</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD3_MR0" type="int"> + <ipxact:name>MEM_RLD3_MR0</ipxact:name> + <ipxact:displayName>PARAM_MEM_RLD3_MR0_NAME</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD3_MR1" type="int"> + <ipxact:name>MEM_RLD3_MR1</ipxact:name> + <ipxact:displayName>PARAM_MEM_RLD3_MR1_NAME</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD3_MR2" type="int"> + <ipxact:name>MEM_RLD3_MR2</ipxact:name> + <ipxact:displayName>PARAM_MEM_RLD3_MR2_NAME</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD3_SPEEDBIN_ENUM" type="string"> + <ipxact:name>MEM_RLD3_SPEEDBIN_ENUM</ipxact:name> + <ipxact:displayName>Speed bin</ipxact:displayName> + <ipxact:value>RLD3_SPEEDBIN_093E</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD3_TDS_PS" type="int"> + <ipxact:name>MEM_RLD3_TDS_PS</ipxact:name> + <ipxact:displayName>tDS (base)</ipxact:displayName> + <ipxact:value>-30</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD3_TDS_AC_MV" type="int"> + <ipxact:name>MEM_RLD3_TDS_AC_MV</ipxact:name> + <ipxact:displayName>tDS (base) AC level</ipxact:displayName> + <ipxact:value>150</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD3_TDH_PS" type="int"> + <ipxact:name>MEM_RLD3_TDH_PS</ipxact:name> + <ipxact:displayName>tDH (base)</ipxact:displayName> + <ipxact:value>5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD3_TDH_DC_MV" type="int"> + <ipxact:name>MEM_RLD3_TDH_DC_MV</ipxact:name> + <ipxact:displayName>tDH (base) DC level</ipxact:displayName> + <ipxact:value>100</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD3_TQKQ_MAX_PS" type="int"> + <ipxact:name>MEM_RLD3_TQKQ_MAX_PS</ipxact:name> + <ipxact:displayName>tQKQ_max</ipxact:displayName> + <ipxact:value>75</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD3_TQH_CYC" type="real"> + <ipxact:name>MEM_RLD3_TQH_CYC</ipxact:name> + <ipxact:displayName>tQH</ipxact:displayName> + <ipxact:value>0.38</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD3_TCKDK_MAX_CYC" type="real"> + <ipxact:name>MEM_RLD3_TCKDK_MAX_CYC</ipxact:name> + <ipxact:displayName>tCKDK_max</ipxact:displayName> + <ipxact:value>0.27</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD3_TCKDK_MIN_CYC" type="real"> + <ipxact:name>MEM_RLD3_TCKDK_MIN_CYC</ipxact:name> + <ipxact:displayName>tCKDK_min</ipxact:displayName> + <ipxact:value>-0.27</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD3_TCKQK_MAX_PS" type="int"> + <ipxact:name>MEM_RLD3_TCKQK_MAX_PS</ipxact:name> + <ipxact:displayName>tCKQK_max</ipxact:displayName> + <ipxact:value>135</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD3_TIS_PS" type="int"> + <ipxact:name>MEM_RLD3_TIS_PS</ipxact:name> + <ipxact:displayName>tIS (base)</ipxact:displayName> + <ipxact:value>85</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD3_TIS_AC_MV" type="int"> + <ipxact:name>MEM_RLD3_TIS_AC_MV</ipxact:name> + <ipxact:displayName>tIS (base) AC level</ipxact:displayName> + <ipxact:value>150</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD3_TIH_PS" type="int"> + <ipxact:name>MEM_RLD3_TIH_PS</ipxact:name> + <ipxact:displayName>tIH (base)</ipxact:displayName> + <ipxact:value>65</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD3_TIH_DC_MV" type="int"> + <ipxact:name>MEM_RLD3_TIH_DC_MV</ipxact:name> + <ipxact:displayName>tIH (base) DC level</ipxact:displayName> + <ipxact:value>100</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_DQ_WIDTH" type="int"> + <ipxact:name>MEM_LPDDR3_DQ_WIDTH</ipxact:name> + <ipxact:displayName>DQ width</ipxact:displayName> + <ipxact:value>32</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_DISCRETE_CS_WIDTH" type="int"> + <ipxact:name>MEM_LPDDR3_DISCRETE_CS_WIDTH</ipxact:name> + <ipxact:displayName>Number of chip selects</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_CK_WIDTH" type="int"> + <ipxact:name>MEM_LPDDR3_CK_WIDTH</ipxact:name> + <ipxact:displayName>Number of clocks</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_DM_EN" type="bit"> + <ipxact:name>MEM_LPDDR3_DM_EN</ipxact:name> + <ipxact:displayName>Enable DM pins</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_ROW_ADDR_WIDTH" type="int"> + <ipxact:name>MEM_LPDDR3_ROW_ADDR_WIDTH</ipxact:name> + <ipxact:displayName>Row address width</ipxact:displayName> + <ipxact:value>15</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_COL_ADDR_WIDTH" type="int"> + <ipxact:name>MEM_LPDDR3_COL_ADDR_WIDTH</ipxact:name> + <ipxact:displayName>Column address width</ipxact:displayName> + <ipxact:value>10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_BANK_ADDR_WIDTH" type="int"> + <ipxact:name>MEM_LPDDR3_BANK_ADDR_WIDTH</ipxact:name> + <ipxact:displayName>Bank address width</ipxact:displayName> + <ipxact:value>3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_DQS_WIDTH" type="int"> + <ipxact:name>MEM_LPDDR3_DQS_WIDTH</ipxact:name> + <ipxact:displayName>Number of DQS groups</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_DM_WIDTH" type="int"> + <ipxact:name>MEM_LPDDR3_DM_WIDTH</ipxact:name> + <ipxact:displayName>PARAM_MEM_LPDDR3_DM_WIDTH_NAME</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_CS_WIDTH" type="int"> + <ipxact:name>MEM_LPDDR3_CS_WIDTH</ipxact:name> + <ipxact:displayName>PARAM_MEM_LPDDR3_CS_WIDTH_NAME</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_CKE_WIDTH" type="int"> + <ipxact:name>MEM_LPDDR3_CKE_WIDTH</ipxact:name> + <ipxact:displayName>PARAM_MEM_LPDDR3_CKE_WIDTH_NAME</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_ODT_WIDTH" type="int"> + <ipxact:name>MEM_LPDDR3_ODT_WIDTH</ipxact:name> + <ipxact:displayName>PARAM_MEM_LPDDR3_ODT_WIDTH_NAME</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_ADDR_WIDTH" type="int"> + <ipxact:name>MEM_LPDDR3_ADDR_WIDTH</ipxact:name> + <ipxact:displayName>PARAM_MEM_LPDDR3_ADDR_WIDTH_NAME</ipxact:displayName> + <ipxact:value>10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_DQ_PER_DQS" type="int"> + <ipxact:name>MEM_LPDDR3_DQ_PER_DQS</ipxact:name> + <ipxact:displayName>PARAM_MEM_LPDDR3_DQ_PER_DQS_NAME</ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_FORMAT_ENUM" type="string"> + <ipxact:name>MEM_LPDDR3_FORMAT_ENUM</ipxact:name> + <ipxact:displayName>PARAM_MEM_LPDDR3_FORMAT_ENUM_NAME</ipxact:displayName> + <ipxact:value>MEM_FORMAT_DISCRETE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_MR1" type="int"> + <ipxact:name>MEM_LPDDR3_MR1</ipxact:name> + <ipxact:displayName>PARAM_MEM_LPDDR3_MR1_NAME</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_MR2" type="int"> + <ipxact:name>MEM_LPDDR3_MR2</ipxact:name> + <ipxact:displayName>PARAM_MEM_LPDDR3_MR2_NAME</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_MR3" type="int"> + <ipxact:name>MEM_LPDDR3_MR3</ipxact:name> + <ipxact:displayName>PARAM_MEM_LPDDR3_MR3_NAME</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_MR11" type="int"> + <ipxact:name>MEM_LPDDR3_MR11</ipxact:name> + <ipxact:displayName>PARAM_MEM_LPDDR3_MR11_NAME</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_BL" type="string"> + <ipxact:name>MEM_LPDDR3_BL</ipxact:name> + <ipxact:displayName>Burst length</ipxact:displayName> + <ipxact:value>LPDDR3_BL_BL8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_DATA_LATENCY" type="string"> + <ipxact:name>MEM_LPDDR3_DATA_LATENCY</ipxact:name> + <ipxact:displayName>Data latency</ipxact:displayName> + <ipxact:value>LPDDR3_DL_RL12_WL6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_DRV_STR" type="string"> + <ipxact:name>MEM_LPDDR3_DRV_STR</ipxact:name> + <ipxact:displayName>Output drive strength setting</ipxact:displayName> + <ipxact:value>LPDDR3_DRV_STR_40D_40U</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_DQODT" type="string"> + <ipxact:name>MEM_LPDDR3_DQODT</ipxact:name> + <ipxact:displayName>DQ ODT</ipxact:displayName> + <ipxact:value>LPDDR3_DQODT_DISABLE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_PDODT" type="string"> + <ipxact:name>MEM_LPDDR3_PDODT</ipxact:name> + <ipxact:displayName>Power down ODT</ipxact:displayName> + <ipxact:value>LPDDR3_PDODT_DISABLED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_WLSELECT" type="string"> + <ipxact:name>MEM_LPDDR3_WLSELECT</ipxact:name> + <ipxact:displayName>WL set</ipxact:displayName> + <ipxact:value>Set A</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_NWR" type="string"> + <ipxact:name>MEM_LPDDR3_NWR</ipxact:name> + <ipxact:displayName>nWR cycles</ipxact:displayName> + <ipxact:value>LPDDR3_NWR_NWR12</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_NUM_OF_LOGICAL_RANKS" type="int"> + <ipxact:name>MEM_LPDDR3_NUM_OF_LOGICAL_RANKS</ipxact:name> + <ipxact:displayName>PARAM_MEM_LPDDR3_NUM_OF_LOGICAL_RANKS_NAME</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_NUM_OF_PHYSICAL_RANKS" type="int"> + <ipxact:name>MEM_LPDDR3_NUM_OF_PHYSICAL_RANKS</ipxact:name> + <ipxact:displayName>PARAM_MEM_LPDDR3_NUM_OF_PHYSICAL_RANKS_NAME</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_USE_DEFAULT_ODT" type="bit"> + <ipxact:name>MEM_LPDDR3_USE_DEFAULT_ODT</ipxact:name> + <ipxact:displayName>Use Default ODT Assertion Tables</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_R_ODTN_1X1" type="string"> + <ipxact:name>MEM_LPDDR3_R_ODTN_1X1</ipxact:name> + <ipxact:displayName>Read Target</ipxact:displayName> + <ipxact:value>Rank 0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_R_ODT0_1X1" type="string"> + <ipxact:name>MEM_LPDDR3_R_ODT0_1X1</ipxact:name> + <ipxact:displayName>ODT0</ipxact:displayName> + <ipxact:value>off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_W_ODTN_1X1" type="string"> + <ipxact:name>MEM_LPDDR3_W_ODTN_1X1</ipxact:name> + <ipxact:displayName>Write Target</ipxact:displayName> + <ipxact:value>Rank 0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_W_ODT0_1X1" type="string"> + <ipxact:name>MEM_LPDDR3_W_ODT0_1X1</ipxact:name> + <ipxact:displayName>ODT0</ipxact:displayName> + <ipxact:value>on</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_R_ODTN_2X2" type="string"> + <ipxact:name>MEM_LPDDR3_R_ODTN_2X2</ipxact:name> + <ipxact:displayName>Read Target</ipxact:displayName> + <ipxact:value>Rank 0,Rank 1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_R_ODT0_2X2" type="string"> + <ipxact:name>MEM_LPDDR3_R_ODT0_2X2</ipxact:name> + <ipxact:displayName>ODT0</ipxact:displayName> + <ipxact:value>off,off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_R_ODT1_2X2" type="string"> + <ipxact:name>MEM_LPDDR3_R_ODT1_2X2</ipxact:name> + <ipxact:displayName>ODT1</ipxact:displayName> + <ipxact:value>off,off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_W_ODTN_2X2" type="string"> + <ipxact:name>MEM_LPDDR3_W_ODTN_2X2</ipxact:name> + <ipxact:displayName>Write Target</ipxact:displayName> + <ipxact:value>Rank 0,Rank 1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_W_ODT0_2X2" type="string"> + <ipxact:name>MEM_LPDDR3_W_ODT0_2X2</ipxact:name> + <ipxact:displayName>ODT0</ipxact:displayName> + <ipxact:value>on,on</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_W_ODT1_2X2" type="string"> + <ipxact:name>MEM_LPDDR3_W_ODT1_2X2</ipxact:name> + <ipxact:displayName>ODT1</ipxact:displayName> + <ipxact:value>off,off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_R_ODTN_4X4" type="string"> + <ipxact:name>MEM_LPDDR3_R_ODTN_4X4</ipxact:name> + <ipxact:displayName>Read Target</ipxact:displayName> + <ipxact:value>Rank 0,Rank 1,Rank 2,Rank 3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_R_ODT0_4X4" type="string"> + <ipxact:name>MEM_LPDDR3_R_ODT0_4X4</ipxact:name> + <ipxact:displayName>ODT0</ipxact:displayName> + <ipxact:value>off,off,off,off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_R_ODT1_4X4" type="string"> + <ipxact:name>MEM_LPDDR3_R_ODT1_4X4</ipxact:name> + <ipxact:displayName>ODT1</ipxact:displayName> + <ipxact:value>off,off,off,off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_R_ODT2_4X4" type="string"> + <ipxact:name>MEM_LPDDR3_R_ODT2_4X4</ipxact:name> + <ipxact:displayName>ODT2</ipxact:displayName> + <ipxact:value>off,off,off,off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_R_ODT3_4X4" type="string"> + <ipxact:name>MEM_LPDDR3_R_ODT3_4X4</ipxact:name> + <ipxact:displayName>ODT3</ipxact:displayName> + <ipxact:value>off,off,off,off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_W_ODTN_4X4" type="string"> + <ipxact:name>MEM_LPDDR3_W_ODTN_4X4</ipxact:name> + <ipxact:displayName>Write Target</ipxact:displayName> + <ipxact:value>Rank 0,Rank 1,Rank 2,Rank 3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_W_ODT0_4X4" type="string"> + <ipxact:name>MEM_LPDDR3_W_ODT0_4X4</ipxact:name> + <ipxact:displayName>ODT0</ipxact:displayName> + <ipxact:value>on,on,on,on</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_W_ODT1_4X4" type="string"> + <ipxact:name>MEM_LPDDR3_W_ODT1_4X4</ipxact:name> + <ipxact:displayName>ODT1</ipxact:displayName> + <ipxact:value>off,off,off,off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_W_ODT2_4X4" type="string"> + <ipxact:name>MEM_LPDDR3_W_ODT2_4X4</ipxact:name> + <ipxact:displayName>ODT2</ipxact:displayName> + <ipxact:value>off,off,off,off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_W_ODT3_4X4" type="string"> + <ipxact:name>MEM_LPDDR3_W_ODT3_4X4</ipxact:name> + <ipxact:displayName>ODT3</ipxact:displayName> + <ipxact:value>off,off,off,off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_R_DERIVED_ODTN" type="string"> + <ipxact:name>MEM_LPDDR3_R_DERIVED_ODTN</ipxact:name> + <ipxact:displayName>Read Target</ipxact:displayName> + <ipxact:value>,,</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_R_DERIVED_ODT0" type="string"> + <ipxact:name>MEM_LPDDR3_R_DERIVED_ODT0</ipxact:name> + <ipxact:displayName>ODT0 Value</ipxact:displayName> + <ipxact:value>,,</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_R_DERIVED_ODT1" type="string"> + <ipxact:name>MEM_LPDDR3_R_DERIVED_ODT1</ipxact:name> + <ipxact:displayName>ODT1 Value</ipxact:displayName> + <ipxact:value>,,</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_R_DERIVED_ODT2" type="string"> + <ipxact:name>MEM_LPDDR3_R_DERIVED_ODT2</ipxact:name> + <ipxact:displayName>ODT2 Value</ipxact:displayName> + <ipxact:value>,,</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_R_DERIVED_ODT3" type="string"> + <ipxact:name>MEM_LPDDR3_R_DERIVED_ODT3</ipxact:name> + <ipxact:displayName>ODT3 Value</ipxact:displayName> + <ipxact:value>,,</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_W_DERIVED_ODTN" type="string"> + <ipxact:name>MEM_LPDDR3_W_DERIVED_ODTN</ipxact:name> + <ipxact:displayName>Write Target</ipxact:displayName> + <ipxact:value>,,</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_W_DERIVED_ODT0" type="string"> + <ipxact:name>MEM_LPDDR3_W_DERIVED_ODT0</ipxact:name> + <ipxact:displayName>ODT0 Value</ipxact:displayName> + <ipxact:value>,,</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_W_DERIVED_ODT1" type="string"> + <ipxact:name>MEM_LPDDR3_W_DERIVED_ODT1</ipxact:name> + <ipxact:displayName>ODT1 Value</ipxact:displayName> + <ipxact:value>,,</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_W_DERIVED_ODT2" type="string"> + <ipxact:name>MEM_LPDDR3_W_DERIVED_ODT2</ipxact:name> + <ipxact:displayName>ODT2 Value</ipxact:displayName> + <ipxact:value>,,</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_W_DERIVED_ODT3" type="string"> + <ipxact:name>MEM_LPDDR3_W_DERIVED_ODT3</ipxact:name> + <ipxact:displayName>ODT3 Value</ipxact:displayName> + <ipxact:value>,,</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_SEQ_ODT_TABLE_LO" type="int"> + <ipxact:name>MEM_LPDDR3_SEQ_ODT_TABLE_LO</ipxact:name> + <ipxact:displayName>PARAM_MEM_LPDDR3_SEQ_ODT_TABLE_LO_NAME</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_SEQ_ODT_TABLE_HI" type="int"> + <ipxact:name>MEM_LPDDR3_SEQ_ODT_TABLE_HI</ipxact:name> + <ipxact:displayName>PARAM_MEM_LPDDR3_SEQ_ODT_TABLE_HI_NAME</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_CTRL_CFG_READ_ODT_CHIP" type="int"> + <ipxact:name>MEM_LPDDR3_CTRL_CFG_READ_ODT_CHIP</ipxact:name> + <ipxact:displayName>PARAM_MEM_LPDDR3_CTRL_CFG_READ_ODT_CHIP_NAME</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_CTRL_CFG_WRITE_ODT_CHIP" type="int"> + <ipxact:name>MEM_LPDDR3_CTRL_CFG_WRITE_ODT_CHIP</ipxact:name> + <ipxact:displayName>PARAM_MEM_LPDDR3_CTRL_CFG_WRITE_ODT_CHIP_NAME</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_CTRL_CFG_READ_ODT_RANK" type="int"> + <ipxact:name>MEM_LPDDR3_CTRL_CFG_READ_ODT_RANK</ipxact:name> + <ipxact:displayName>PARAM_MEM_LPDDR3_CTRL_CFG_READ_ODT_RANK_NAME</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_CTRL_CFG_WRITE_ODT_RANK" type="int"> + <ipxact:name>MEM_LPDDR3_CTRL_CFG_WRITE_ODT_RANK</ipxact:name> + <ipxact:displayName>PARAM_MEM_LPDDR3_CTRL_CFG_WRITE_ODT_RANK_NAME</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_SPEEDBIN_ENUM" type="string"> + <ipxact:name>MEM_LPDDR3_SPEEDBIN_ENUM</ipxact:name> + <ipxact:displayName>Speed bin</ipxact:displayName> + <ipxact:value>LPDDR3_SPEEDBIN_1600</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_TIS_PS" type="int"> + <ipxact:name>MEM_LPDDR3_TIS_PS</ipxact:name> + <ipxact:displayName>tISCA (base)</ipxact:displayName> + <ipxact:value>75</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_TIS_AC_MV" type="int"> + <ipxact:name>MEM_LPDDR3_TIS_AC_MV</ipxact:name> + <ipxact:displayName>tISCA (base) AC level</ipxact:displayName> + <ipxact:value>150</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_TIH_PS" type="int"> + <ipxact:name>MEM_LPDDR3_TIH_PS</ipxact:name> + <ipxact:displayName>tIHCA (base)</ipxact:displayName> + <ipxact:value>100</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_TIH_DC_MV" type="int"> + <ipxact:name>MEM_LPDDR3_TIH_DC_MV</ipxact:name> + <ipxact:displayName>tIHCA (base) DC level</ipxact:displayName> + <ipxact:value>100</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_TDS_PS" type="int"> + <ipxact:name>MEM_LPDDR3_TDS_PS</ipxact:name> + <ipxact:displayName>tDS (base)</ipxact:displayName> + <ipxact:value>75</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_TDS_AC_MV" type="int"> + <ipxact:name>MEM_LPDDR3_TDS_AC_MV</ipxact:name> + <ipxact:displayName>tDS (base) AC level</ipxact:displayName> + <ipxact:value>150</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_TDH_PS" type="int"> + <ipxact:name>MEM_LPDDR3_TDH_PS</ipxact:name> + <ipxact:displayName>tDH (base)</ipxact:displayName> + <ipxact:value>100</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_TDH_DC_MV" type="int"> + <ipxact:name>MEM_LPDDR3_TDH_DC_MV</ipxact:name> + <ipxact:displayName>tDH (base) DC level</ipxact:displayName> + <ipxact:value>100</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_TDQSQ_PS" type="int"> + <ipxact:name>MEM_LPDDR3_TDQSQ_PS</ipxact:name> + <ipxact:displayName>tDQSQ</ipxact:displayName> + <ipxact:value>135</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_TQH_CYC" type="real"> + <ipxact:name>MEM_LPDDR3_TQH_CYC</ipxact:name> + <ipxact:displayName>tQH</ipxact:displayName> + <ipxact:value>0.38</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_TDQSCKDL" type="int"> + <ipxact:name>MEM_LPDDR3_TDQSCKDL</ipxact:name> + <ipxact:displayName>tDQSCKDL</ipxact:displayName> + <ipxact:value>614</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_TDQSS_CYC" type="real"> + <ipxact:name>MEM_LPDDR3_TDQSS_CYC</ipxact:name> + <ipxact:displayName>tDQSS (max)</ipxact:displayName> + <ipxact:value>1.25</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_TQSH_CYC" type="real"> + <ipxact:name>MEM_LPDDR3_TQSH_CYC</ipxact:name> + <ipxact:displayName>tQSH</ipxact:displayName> + <ipxact:value>0.38</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_TDSH_CYC" type="real"> + <ipxact:name>MEM_LPDDR3_TDSH_CYC</ipxact:name> + <ipxact:displayName>tDSH</ipxact:displayName> + <ipxact:value>0.2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_TWLS_PS" type="real"> + <ipxact:name>MEM_LPDDR3_TWLS_PS</ipxact:name> + <ipxact:displayName>tWLS</ipxact:displayName> + <ipxact:value>175.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_TWLH_PS" type="real"> + <ipxact:name>MEM_LPDDR3_TWLH_PS</ipxact:name> + <ipxact:displayName>tWLH</ipxact:displayName> + <ipxact:value>175.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_TDSS_CYC" type="real"> + <ipxact:name>MEM_LPDDR3_TDSS_CYC</ipxact:name> + <ipxact:displayName>tDSS</ipxact:displayName> + <ipxact:value>0.2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_TINIT_US" type="int"> + <ipxact:name>MEM_LPDDR3_TINIT_US</ipxact:name> + <ipxact:displayName>tINIT</ipxact:displayName> + <ipxact:value>500</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_TMRR_CK_CYC" type="int"> + <ipxact:name>MEM_LPDDR3_TMRR_CK_CYC</ipxact:name> + <ipxact:displayName>tMRR</ipxact:displayName> + <ipxact:value>4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_TMRW_CK_CYC" type="int"> + <ipxact:name>MEM_LPDDR3_TMRW_CK_CYC</ipxact:name> + <ipxact:displayName>tMRW</ipxact:displayName> + <ipxact:value>10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_TRAS_NS" type="real"> + <ipxact:name>MEM_LPDDR3_TRAS_NS</ipxact:name> + <ipxact:displayName>tRAS</ipxact:displayName> + <ipxact:value>42.5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_TRCD_NS" type="real"> + <ipxact:name>MEM_LPDDR3_TRCD_NS</ipxact:name> + <ipxact:displayName>tRCD</ipxact:displayName> + <ipxact:value>18.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_TRP_NS" type="real"> + <ipxact:name>MEM_LPDDR3_TRP_NS</ipxact:name> + <ipxact:displayName>tRPpb</ipxact:displayName> + <ipxact:value>18.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_TREFI_US" type="real"> + <ipxact:name>MEM_LPDDR3_TREFI_US</ipxact:name> + <ipxact:displayName>tREFI</ipxact:displayName> + <ipxact:value>3.9</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_TRFC_NS" type="real"> + <ipxact:name>MEM_LPDDR3_TRFC_NS</ipxact:name> + <ipxact:displayName>tRFCab</ipxact:displayName> + <ipxact:value>210.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_TWR_NS" type="real"> + <ipxact:name>MEM_LPDDR3_TWR_NS</ipxact:name> + <ipxact:displayName>tWR</ipxact:displayName> + <ipxact:value>15.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_TWTR_CYC" type="int"> + <ipxact:name>MEM_LPDDR3_TWTR_CYC</ipxact:name> + <ipxact:displayName>tWTR</ipxact:displayName> + <ipxact:value>6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_TFAW_NS" type="real"> + <ipxact:name>MEM_LPDDR3_TFAW_NS</ipxact:name> + <ipxact:displayName>tFAW</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_TRRD_CYC" type="int"> + <ipxact:name>MEM_LPDDR3_TRRD_CYC</ipxact:name> + <ipxact:displayName>tRRD</ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_TRTP_CYC" type="int"> + <ipxact:name>MEM_LPDDR3_TRTP_CYC</ipxact:name> + <ipxact:displayName>tRTP</ipxact:displayName> + <ipxact:value>6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_TINIT_CK" type="int"> + <ipxact:name>MEM_LPDDR3_TINIT_CK</ipxact:name> + <ipxact:displayName>PARAM_MEM_LPDDR3_TINIT_CK_NAME</ipxact:displayName> + <ipxact:value>499</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_TDQSCK_DERV_PS" type="int"> + <ipxact:name>MEM_LPDDR3_TDQSCK_DERV_PS</ipxact:name> + <ipxact:displayName>PARAM_MEM_LPDDR3_TDQSCK_DERV_PS_NAME</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_TDQSCKDS" type="int"> + <ipxact:name>MEM_LPDDR3_TDQSCKDS</ipxact:name> + <ipxact:displayName>tDQSCKDS</ipxact:displayName> + <ipxact:value>220</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_TDQSCKDM" type="int"> + <ipxact:name>MEM_LPDDR3_TDQSCKDM</ipxact:name> + <ipxact:displayName>tDQSCKDM</ipxact:displayName> + <ipxact:value>511</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_TDQSCK_PS" type="int"> + <ipxact:name>MEM_LPDDR3_TDQSCK_PS</ipxact:name> + <ipxact:displayName>tDQSCK</ipxact:displayName> + <ipxact:value>5500</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_TRAS_CYC" type="int"> + <ipxact:name>MEM_LPDDR3_TRAS_CYC</ipxact:name> + <ipxact:displayName>PARAM_MEM_LPDDR3_TRAS_CYC_NAME</ipxact:displayName> + <ipxact:value>34</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_TRCD_CYC" type="int"> + <ipxact:name>MEM_LPDDR3_TRCD_CYC</ipxact:name> + <ipxact:displayName>PARAM_MEM_LPDDR3_TRCD_CYC_NAME</ipxact:displayName> + <ipxact:value>17</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_TRP_CYC" type="int"> + <ipxact:name>MEM_LPDDR3_TRP_CYC</ipxact:name> + <ipxact:displayName>PARAM_MEM_LPDDR3_TRP_CYC_NAME</ipxact:displayName> + <ipxact:value>17</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_TRFC_CYC" type="int"> + <ipxact:name>MEM_LPDDR3_TRFC_CYC</ipxact:name> + <ipxact:displayName>PARAM_MEM_LPDDR3_TRFC_CYC_NAME</ipxact:displayName> + <ipxact:value>168</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_TWR_CYC" type="int"> + <ipxact:name>MEM_LPDDR3_TWR_CYC</ipxact:name> + <ipxact:displayName>PARAM_MEM_LPDDR3_TWR_CYC_NAME</ipxact:displayName> + <ipxact:value>12</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_TFAW_CYC" type="int"> + <ipxact:name>MEM_LPDDR3_TFAW_CYC</ipxact:name> + <ipxact:displayName>PARAM_MEM_LPDDR3_TFAW_CYC_NAME</ipxact:displayName> + <ipxact:value>40</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_TREFI_CYC" type="int"> + <ipxact:name>MEM_LPDDR3_TREFI_CYC</ipxact:name> + <ipxact:displayName>PARAM_MEM_LPDDR3_TREFI_CYC_NAME</ipxact:displayName> + <ipxact:value>3120</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_TRL_CYC" type="int"> + <ipxact:name>MEM_LPDDR3_TRL_CYC</ipxact:name> + <ipxact:displayName>PARAM_MEM_LPDDR3_TRL_CYC_NAME</ipxact:displayName> + <ipxact:value>10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_TWL_CYC" type="int"> + <ipxact:name>MEM_LPDDR3_TWL_CYC</ipxact:name> + <ipxact:displayName>PARAM_MEM_LPDDR3_TWL_CYC_NAME</ipxact:displayName> + <ipxact:value>6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR3_USE_DEFAULT_SLEW_RATES" type="bit"> + <ipxact:name>BOARD_DDR3_USE_DEFAULT_SLEW_RATES</ipxact:name> + <ipxact:displayName>Use default slew rates</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR3_USE_DEFAULT_ISI_VALUES" type="bit"> + <ipxact:name>BOARD_DDR3_USE_DEFAULT_ISI_VALUES</ipxact:name> + <ipxact:displayName>Use default ISI/crosstalk values</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR3_USER_CK_SLEW_RATE" type="real"> + <ipxact:name>BOARD_DDR3_USER_CK_SLEW_RATE</ipxact:name> + <ipxact:displayName>CK/CK# slew rate (Differential)</ipxact:displayName> + <ipxact:value>4.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR3_USER_AC_SLEW_RATE" type="real"> + <ipxact:name>BOARD_DDR3_USER_AC_SLEW_RATE</ipxact:name> + <ipxact:displayName>Address and command slew rate</ipxact:displayName> + <ipxact:value>2.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR3_USER_RCLK_SLEW_RATE" type="real"> + <ipxact:name>BOARD_DDR3_USER_RCLK_SLEW_RATE</ipxact:name> + <ipxact:displayName>Read DQS/DQS# slew rate (Differential)</ipxact:displayName> + <ipxact:value>5.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR3_USER_WCLK_SLEW_RATE" type="real"> + <ipxact:name>BOARD_DDR3_USER_WCLK_SLEW_RATE</ipxact:name> + <ipxact:displayName>Write DQS/DQS# slew rate (Differential)</ipxact:displayName> + <ipxact:value>4.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR3_USER_RDATA_SLEW_RATE" type="real"> + <ipxact:name>BOARD_DDR3_USER_RDATA_SLEW_RATE</ipxact:name> + <ipxact:displayName>Read DQ slew rate</ipxact:displayName> + <ipxact:value>2.5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR3_USER_WDATA_SLEW_RATE" type="real"> + <ipxact:name>BOARD_DDR3_USER_WDATA_SLEW_RATE</ipxact:name> + <ipxact:displayName>Write DQ slew rate</ipxact:displayName> + <ipxact:value>2.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR3_USER_AC_ISI_NS" type="real"> + <ipxact:name>BOARD_DDR3_USER_AC_ISI_NS</ipxact:name> + <ipxact:displayName>Address and command ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR3_USER_RCLK_ISI_NS" type="real"> + <ipxact:name>BOARD_DDR3_USER_RCLK_ISI_NS</ipxact:name> + <ipxact:displayName>Read DQS/DQS# ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR3_USER_WCLK_ISI_NS" type="real"> + <ipxact:name>BOARD_DDR3_USER_WCLK_ISI_NS</ipxact:name> + <ipxact:displayName>Write DQS/DQS# ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR3_USER_RDATA_ISI_NS" type="real"> + <ipxact:name>BOARD_DDR3_USER_RDATA_ISI_NS</ipxact:name> + <ipxact:displayName>Read DQ ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR3_USER_WDATA_ISI_NS" type="real"> + <ipxact:name>BOARD_DDR3_USER_WDATA_ISI_NS</ipxact:name> + <ipxact:displayName>Write DQ ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR3_IS_SKEW_WITHIN_DQS_DESKEWED" type="bit"> + <ipxact:name>BOARD_DDR3_IS_SKEW_WITHIN_DQS_DESKEWED</ipxact:name> + <ipxact:displayName>Package deskewed with board layout (DQS group)</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR3_BRD_SKEW_WITHIN_DQS_NS" type="real"> + <ipxact:name>BOARD_DDR3_BRD_SKEW_WITHIN_DQS_NS</ipxact:name> + <ipxact:displayName>Maximum board skew within DQS group</ipxact:displayName> + <ipxact:value>0.02</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR3_PKG_BRD_SKEW_WITHIN_DQS_NS" type="real"> + <ipxact:name>BOARD_DDR3_PKG_BRD_SKEW_WITHIN_DQS_NS</ipxact:name> + <ipxact:displayName>Maximum system skew within DQS group</ipxact:displayName> + <ipxact:value>0.02</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR3_IS_SKEW_WITHIN_AC_DESKEWED" type="bit"> + <ipxact:name>BOARD_DDR3_IS_SKEW_WITHIN_AC_DESKEWED</ipxact:name> + <ipxact:displayName>Package deskewed with board layout (address/command bus)</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR3_BRD_SKEW_WITHIN_AC_NS" type="real"> + <ipxact:name>BOARD_DDR3_BRD_SKEW_WITHIN_AC_NS</ipxact:name> + <ipxact:displayName>Maximum board skew within address/command bus</ipxact:displayName> + <ipxact:value>0.02</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR3_PKG_BRD_SKEW_WITHIN_AC_NS" type="real"> + <ipxact:name>BOARD_DDR3_PKG_BRD_SKEW_WITHIN_AC_NS</ipxact:name> + <ipxact:displayName>Maximum system skew within address/command bus</ipxact:displayName> + <ipxact:value>0.02</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR3_DQS_TO_CK_SKEW_NS" type="real"> + <ipxact:name>BOARD_DDR3_DQS_TO_CK_SKEW_NS</ipxact:name> + <ipxact:displayName>Average delay difference between DQS and CK</ipxact:displayName> + <ipxact:value>0.02</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR3_SKEW_BETWEEN_DIMMS_NS" type="real"> + <ipxact:name>BOARD_DDR3_SKEW_BETWEEN_DIMMS_NS</ipxact:name> + <ipxact:displayName>Maximum delay difference between DIMMs/devices</ipxact:displayName> + <ipxact:value>0.05</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR3_SKEW_BETWEEN_DQS_NS" type="real"> + <ipxact:name>BOARD_DDR3_SKEW_BETWEEN_DQS_NS</ipxact:name> + <ipxact:displayName>Maximum skew between DQS groups</ipxact:displayName> + <ipxact:value>0.02</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR3_AC_TO_CK_SKEW_NS" type="real"> + <ipxact:name>BOARD_DDR3_AC_TO_CK_SKEW_NS</ipxact:name> + <ipxact:displayName>Average delay difference between address/command and CK</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR3_MAX_CK_DELAY_NS" type="real"> + <ipxact:name>BOARD_DDR3_MAX_CK_DELAY_NS</ipxact:name> + <ipxact:displayName>Maximum CK delay to DIMM/device</ipxact:displayName> + <ipxact:value>0.6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR3_MAX_DQS_DELAY_NS" type="real"> + <ipxact:name>BOARD_DDR3_MAX_DQS_DELAY_NS</ipxact:name> + <ipxact:displayName>Maximum DQS delay to DIMM/device</ipxact:displayName> + <ipxact:value>0.6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR3_TIS_DERATING_PS" type="int"> + <ipxact:name>BOARD_DDR3_TIS_DERATING_PS</ipxact:name> + <ipxact:displayName>PARAM_BOARD_DDR3_TIS_DERATING_PS_NAME</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR3_TIH_DERATING_PS" type="int"> + <ipxact:name>BOARD_DDR3_TIH_DERATING_PS</ipxact:name> + <ipxact:displayName>PARAM_BOARD_DDR3_TIH_DERATING_PS_NAME</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR3_TDS_DERATING_PS" type="int"> + <ipxact:name>BOARD_DDR3_TDS_DERATING_PS</ipxact:name> + <ipxact:displayName>PARAM_BOARD_DDR3_TDS_DERATING_PS_NAME</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR3_TDH_DERATING_PS" type="int"> + <ipxact:name>BOARD_DDR3_TDH_DERATING_PS</ipxact:name> + <ipxact:displayName>PARAM_BOARD_DDR3_TDH_DERATING_PS_NAME</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR3_CK_SLEW_RATE" type="real"> + <ipxact:name>BOARD_DDR3_CK_SLEW_RATE</ipxact:name> + <ipxact:displayName>CK/CK# slew rate (Differential)</ipxact:displayName> + <ipxact:value>4.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR3_AC_SLEW_RATE" type="real"> + <ipxact:name>BOARD_DDR3_AC_SLEW_RATE</ipxact:name> + <ipxact:displayName>Address and command slew rate</ipxact:displayName> + <ipxact:value>2.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR3_RCLK_SLEW_RATE" type="real"> + <ipxact:name>BOARD_DDR3_RCLK_SLEW_RATE</ipxact:name> + <ipxact:displayName>Read DQS/DQS# slew rate (Differential)</ipxact:displayName> + <ipxact:value>5.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR3_WCLK_SLEW_RATE" type="real"> + <ipxact:name>BOARD_DDR3_WCLK_SLEW_RATE</ipxact:name> + <ipxact:displayName>Write DQS/DQS# slew rate (Differential)</ipxact:displayName> + <ipxact:value>4.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR3_RDATA_SLEW_RATE" type="real"> + <ipxact:name>BOARD_DDR3_RDATA_SLEW_RATE</ipxact:name> + <ipxact:displayName>Read DQ slew rate</ipxact:displayName> + <ipxact:value>2.5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR3_WDATA_SLEW_RATE" type="real"> + <ipxact:name>BOARD_DDR3_WDATA_SLEW_RATE</ipxact:name> + <ipxact:displayName>Write DQ slew rate</ipxact:displayName> + <ipxact:value>2.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR3_AC_ISI_NS" type="real"> + <ipxact:name>BOARD_DDR3_AC_ISI_NS</ipxact:name> + <ipxact:displayName>Address and command ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR3_RCLK_ISI_NS" type="real"> + <ipxact:name>BOARD_DDR3_RCLK_ISI_NS</ipxact:name> + <ipxact:displayName>Read DQS/DQS# ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR3_WCLK_ISI_NS" type="real"> + <ipxact:name>BOARD_DDR3_WCLK_ISI_NS</ipxact:name> + <ipxact:displayName>Write DQS/DQS# ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR3_RDATA_ISI_NS" type="real"> + <ipxact:name>BOARD_DDR3_RDATA_ISI_NS</ipxact:name> + <ipxact:displayName>Read DQ ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR3_WDATA_ISI_NS" type="real"> + <ipxact:name>BOARD_DDR3_WDATA_ISI_NS</ipxact:name> + <ipxact:displayName>Write DQ ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR3_SKEW_WITHIN_DQS_NS" type="real"> + <ipxact:name>BOARD_DDR3_SKEW_WITHIN_DQS_NS</ipxact:name> + <ipxact:displayName>PARAM_BOARD_DDR3_SKEW_WITHIN_DQS_NS_NAME</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR3_SKEW_WITHIN_AC_NS" type="real"> + <ipxact:name>BOARD_DDR3_SKEW_WITHIN_AC_NS</ipxact:name> + <ipxact:displayName>PARAM_BOARD_DDR3_SKEW_WITHIN_AC_NS_NAME</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR4_USE_DEFAULT_SLEW_RATES" type="bit"> + <ipxact:name>BOARD_DDR4_USE_DEFAULT_SLEW_RATES</ipxact:name> + <ipxact:displayName>Use default slew rates</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR4_USE_DEFAULT_ISI_VALUES" type="bit"> + <ipxact:name>BOARD_DDR4_USE_DEFAULT_ISI_VALUES</ipxact:name> + <ipxact:displayName>Use default ISI/crosstalk values</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR4_USER_CK_SLEW_RATE" type="real"> + <ipxact:name>BOARD_DDR4_USER_CK_SLEW_RATE</ipxact:name> + <ipxact:displayName>CK/CK# slew rate (Differential)</ipxact:displayName> + <ipxact:value>4.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR4_USER_AC_SLEW_RATE" type="real"> + <ipxact:name>BOARD_DDR4_USER_AC_SLEW_RATE</ipxact:name> + <ipxact:displayName>Address and command slew rate</ipxact:displayName> + <ipxact:value>2.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR4_USER_RCLK_SLEW_RATE" type="real"> + <ipxact:name>BOARD_DDR4_USER_RCLK_SLEW_RATE</ipxact:name> + <ipxact:displayName>Read DQS/DQS# slew rate (Differential)</ipxact:displayName> + <ipxact:value>8.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR4_USER_WCLK_SLEW_RATE" type="real"> + <ipxact:name>BOARD_DDR4_USER_WCLK_SLEW_RATE</ipxact:name> + <ipxact:displayName>Write DQS/DQS# slew rate (Differential)</ipxact:displayName> + <ipxact:value>4.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR4_USER_RDATA_SLEW_RATE" type="real"> + <ipxact:name>BOARD_DDR4_USER_RDATA_SLEW_RATE</ipxact:name> + <ipxact:displayName>Read DQ slew rate</ipxact:displayName> + <ipxact:value>4.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR4_USER_WDATA_SLEW_RATE" type="real"> + <ipxact:name>BOARD_DDR4_USER_WDATA_SLEW_RATE</ipxact:name> + <ipxact:displayName>Write DQ slew rate</ipxact:displayName> + <ipxact:value>2.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR4_USER_AC_ISI_NS" type="real"> + <ipxact:name>BOARD_DDR4_USER_AC_ISI_NS</ipxact:name> + <ipxact:displayName>Address and command ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.22</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR4_USER_RCLK_ISI_NS" type="real"> + <ipxact:name>BOARD_DDR4_USER_RCLK_ISI_NS</ipxact:name> + <ipxact:displayName>Read DQS/DQS# ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.22</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR4_USER_WCLK_ISI_NS" type="real"> + <ipxact:name>BOARD_DDR4_USER_WCLK_ISI_NS</ipxact:name> + <ipxact:displayName>Write DQS/DQS# ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.078</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR4_USER_RDATA_ISI_NS" type="real"> + <ipxact:name>BOARD_DDR4_USER_RDATA_ISI_NS</ipxact:name> + <ipxact:displayName>Read DQ ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.155</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR4_USER_WDATA_ISI_NS" type="real"> + <ipxact:name>BOARD_DDR4_USER_WDATA_ISI_NS</ipxact:name> + <ipxact:displayName>Write DQ ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.16</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR4_IS_SKEW_WITHIN_DQS_DESKEWED" type="bit"> + <ipxact:name>BOARD_DDR4_IS_SKEW_WITHIN_DQS_DESKEWED</ipxact:name> + <ipxact:displayName>Package deskewed with board layout (DQS group)</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR4_BRD_SKEW_WITHIN_DQS_NS" type="real"> + <ipxact:name>BOARD_DDR4_BRD_SKEW_WITHIN_DQS_NS</ipxact:name> + <ipxact:displayName>Maximum board skew within DQS group</ipxact:displayName> + <ipxact:value>0.02</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR4_PKG_BRD_SKEW_WITHIN_DQS_NS" type="real"> + <ipxact:name>BOARD_DDR4_PKG_BRD_SKEW_WITHIN_DQS_NS</ipxact:name> + <ipxact:displayName>Maximum system skew within DQS group</ipxact:displayName> + <ipxact:value>0.02</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR4_IS_SKEW_WITHIN_AC_DESKEWED" type="bit"> + <ipxact:name>BOARD_DDR4_IS_SKEW_WITHIN_AC_DESKEWED</ipxact:name> + <ipxact:displayName>Package deskewed with board layout (address/command bus)</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR4_BRD_SKEW_WITHIN_AC_NS" type="real"> + <ipxact:name>BOARD_DDR4_BRD_SKEW_WITHIN_AC_NS</ipxact:name> + <ipxact:displayName>Maximum board skew within address/command bus</ipxact:displayName> + <ipxact:value>0.02</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR4_PKG_BRD_SKEW_WITHIN_AC_NS" type="real"> + <ipxact:name>BOARD_DDR4_PKG_BRD_SKEW_WITHIN_AC_NS</ipxact:name> + <ipxact:displayName>Maximum system skew within address/command bus</ipxact:displayName> + <ipxact:value>0.02</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR4_DQS_TO_CK_SKEW_NS" type="real"> + <ipxact:name>BOARD_DDR4_DQS_TO_CK_SKEW_NS</ipxact:name> + <ipxact:displayName>Average delay difference between DQS and CK</ipxact:displayName> + <ipxact:value>0.02</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR4_SKEW_BETWEEN_DIMMS_NS" type="real"> + <ipxact:name>BOARD_DDR4_SKEW_BETWEEN_DIMMS_NS</ipxact:name> + <ipxact:displayName>Maximum delay difference between DIMMs/devices</ipxact:displayName> + <ipxact:value>0.05</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR4_SKEW_BETWEEN_DQS_NS" type="real"> + <ipxact:name>BOARD_DDR4_SKEW_BETWEEN_DQS_NS</ipxact:name> + <ipxact:displayName>Maximum skew between DQS groups</ipxact:displayName> + <ipxact:value>0.02</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR4_AC_TO_CK_SKEW_NS" type="real"> + <ipxact:name>BOARD_DDR4_AC_TO_CK_SKEW_NS</ipxact:name> + <ipxact:displayName>Average delay difference between address/command and CK</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR4_MAX_CK_DELAY_NS" type="real"> + <ipxact:name>BOARD_DDR4_MAX_CK_DELAY_NS</ipxact:name> + <ipxact:displayName>Maximum CK delay to DIMM/device</ipxact:displayName> + <ipxact:value>0.6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR4_MAX_DQS_DELAY_NS" type="real"> + <ipxact:name>BOARD_DDR4_MAX_DQS_DELAY_NS</ipxact:name> + <ipxact:displayName>Maximum DQS delay to DIMM/device</ipxact:displayName> + <ipxact:value>0.6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR4_TIS_DERATING_PS" type="int"> + <ipxact:name>BOARD_DDR4_TIS_DERATING_PS</ipxact:name> + <ipxact:displayName>PARAM_BOARD_DDR4_TIS_DERATING_PS_NAME</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR4_TIH_DERATING_PS" type="int"> + <ipxact:name>BOARD_DDR4_TIH_DERATING_PS</ipxact:name> + <ipxact:displayName>PARAM_BOARD_DDR4_TIH_DERATING_PS_NAME</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR4_CK_SLEW_RATE" type="real"> + <ipxact:name>BOARD_DDR4_CK_SLEW_RATE</ipxact:name> + <ipxact:displayName>CK/CK# slew rate (Differential)</ipxact:displayName> + <ipxact:value>4.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR4_AC_SLEW_RATE" type="real"> + <ipxact:name>BOARD_DDR4_AC_SLEW_RATE</ipxact:name> + <ipxact:displayName>Address and command slew rate</ipxact:displayName> + <ipxact:value>2.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR4_RCLK_SLEW_RATE" type="real"> + <ipxact:name>BOARD_DDR4_RCLK_SLEW_RATE</ipxact:name> + <ipxact:displayName>Read DQS/DQS# slew rate (Differential)</ipxact:displayName> + <ipxact:value>8.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR4_WCLK_SLEW_RATE" type="real"> + <ipxact:name>BOARD_DDR4_WCLK_SLEW_RATE</ipxact:name> + <ipxact:displayName>Write DQS/DQS# slew rate (Differential)</ipxact:displayName> + <ipxact:value>4.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR4_RDATA_SLEW_RATE" type="real"> + <ipxact:name>BOARD_DDR4_RDATA_SLEW_RATE</ipxact:name> + <ipxact:displayName>Read DQ slew rate</ipxact:displayName> + <ipxact:value>4.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR4_WDATA_SLEW_RATE" type="real"> + <ipxact:name>BOARD_DDR4_WDATA_SLEW_RATE</ipxact:name> + <ipxact:displayName>Write DQ slew rate</ipxact:displayName> + <ipxact:value>2.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR4_AC_ISI_NS" type="real"> + <ipxact:name>BOARD_DDR4_AC_ISI_NS</ipxact:name> + <ipxact:displayName>Address and command ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.22</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR4_RCLK_ISI_NS" type="real"> + <ipxact:name>BOARD_DDR4_RCLK_ISI_NS</ipxact:name> + <ipxact:displayName>Read DQS/DQS# ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.22</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR4_WCLK_ISI_NS" type="real"> + <ipxact:name>BOARD_DDR4_WCLK_ISI_NS</ipxact:name> + <ipxact:displayName>Write DQS/DQS# ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.078</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR4_RDATA_ISI_NS" type="real"> + <ipxact:name>BOARD_DDR4_RDATA_ISI_NS</ipxact:name> + <ipxact:displayName>Read DQ ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.155</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR4_WDATA_ISI_NS" type="real"> + <ipxact:name>BOARD_DDR4_WDATA_ISI_NS</ipxact:name> + <ipxact:displayName>Write DQ ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.16</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR4_SKEW_WITHIN_DQS_NS" type="real"> + <ipxact:name>BOARD_DDR4_SKEW_WITHIN_DQS_NS</ipxact:name> + <ipxact:displayName>PARAM_BOARD_DDR4_SKEW_WITHIN_DQS_NS_NAME</ipxact:displayName> + <ipxact:value>0.12000000000000001</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR4_SKEW_WITHIN_AC_NS" type="real"> + <ipxact:name>BOARD_DDR4_SKEW_WITHIN_AC_NS</ipxact:name> + <ipxact:displayName>PARAM_BOARD_DDR4_SKEW_WITHIN_AC_NS_NAME</ipxact:displayName> + <ipxact:value>0.18</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR2_USE_DEFAULT_SLEW_RATES" type="bit"> + <ipxact:name>BOARD_QDR2_USE_DEFAULT_SLEW_RATES</ipxact:name> + <ipxact:displayName>Use default slew rates</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR2_USE_DEFAULT_ISI_VALUES" type="bit"> + <ipxact:name>BOARD_QDR2_USE_DEFAULT_ISI_VALUES</ipxact:name> + <ipxact:displayName>Use default ISI/crosstalk values</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR2_USER_K_SLEW_RATE" type="real"> + <ipxact:name>BOARD_QDR2_USER_K_SLEW_RATE</ipxact:name> + <ipxact:displayName>K/K# slew rate (Differential)</ipxact:displayName> + <ipxact:value>4.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR2_USER_AC_SLEW_RATE" type="real"> + <ipxact:name>BOARD_QDR2_USER_AC_SLEW_RATE</ipxact:name> + <ipxact:displayName>Address and command slew rate</ipxact:displayName> + <ipxact:value>2.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR2_USER_RCLK_SLEW_RATE" type="real"> + <ipxact:name>BOARD_QDR2_USER_RCLK_SLEW_RATE</ipxact:name> + <ipxact:displayName>CQ/CQ# slew rate (Complementary)</ipxact:displayName> + <ipxact:value>4.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR2_USER_RDATA_SLEW_RATE" type="real"> + <ipxact:name>BOARD_QDR2_USER_RDATA_SLEW_RATE</ipxact:name> + <ipxact:displayName>Read Q slew rate</ipxact:displayName> + <ipxact:value>2.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR2_USER_WDATA_SLEW_RATE" type="real"> + <ipxact:name>BOARD_QDR2_USER_WDATA_SLEW_RATE</ipxact:name> + <ipxact:displayName>Write D slew rate</ipxact:displayName> + <ipxact:value>2.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR2_USER_AC_ISI_NS" type="real"> + <ipxact:name>BOARD_QDR2_USER_AC_ISI_NS</ipxact:name> + <ipxact:displayName>Address and command ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR2_USER_RCLK_ISI_NS" type="real"> + <ipxact:name>BOARD_QDR2_USER_RCLK_ISI_NS</ipxact:name> + <ipxact:displayName>CQ/CQ# ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR2_USER_WCLK_ISI_NS" type="real"> + <ipxact:name>BOARD_QDR2_USER_WCLK_ISI_NS</ipxact:name> + <ipxact:displayName>K/K# ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR2_USER_RDATA_ISI_NS" type="real"> + <ipxact:name>BOARD_QDR2_USER_RDATA_ISI_NS</ipxact:name> + <ipxact:displayName>Read Q ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR2_USER_WDATA_ISI_NS" type="real"> + <ipxact:name>BOARD_QDR2_USER_WDATA_ISI_NS</ipxact:name> + <ipxact:displayName>Write D ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR2_IS_SKEW_WITHIN_Q_DESKEWED" type="bit"> + <ipxact:name>BOARD_QDR2_IS_SKEW_WITHIN_Q_DESKEWED</ipxact:name> + <ipxact:displayName>Package deskewed with board layout (Q group)</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR2_IS_SKEW_WITHIN_D_DESKEWED" type="bit"> + <ipxact:name>BOARD_QDR2_IS_SKEW_WITHIN_D_DESKEWED</ipxact:name> + <ipxact:displayName>Package deskewed with board layout (D group)</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR2_IS_SKEW_WITHIN_AC_DESKEWED" type="bit"> + <ipxact:name>BOARD_QDR2_IS_SKEW_WITHIN_AC_DESKEWED</ipxact:name> + <ipxact:displayName>Package deskewed with board layout (address/command bus)</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR2_BRD_SKEW_WITHIN_Q_NS" type="real"> + <ipxact:name>BOARD_QDR2_BRD_SKEW_WITHIN_Q_NS</ipxact:name> + <ipxact:displayName>Maximum board skew within Q group</ipxact:displayName> + <ipxact:value>0.02</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR2_BRD_SKEW_WITHIN_D_NS" type="real"> + <ipxact:name>BOARD_QDR2_BRD_SKEW_WITHIN_D_NS</ipxact:name> + <ipxact:displayName>Maximum board skew within D group</ipxact:displayName> + <ipxact:value>0.02</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR2_BRD_SKEW_WITHIN_AC_NS" type="real"> + <ipxact:name>BOARD_QDR2_BRD_SKEW_WITHIN_AC_NS</ipxact:name> + <ipxact:displayName>Maximum board skew within address/command bus</ipxact:displayName> + <ipxact:value>0.02</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR2_PKG_BRD_SKEW_WITHIN_Q_NS" type="real"> + <ipxact:name>BOARD_QDR2_PKG_BRD_SKEW_WITHIN_Q_NS</ipxact:name> + <ipxact:displayName>Maximum system skew within Q group</ipxact:displayName> + <ipxact:value>0.02</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR2_PKG_BRD_SKEW_WITHIN_D_NS" type="real"> + <ipxact:name>BOARD_QDR2_PKG_BRD_SKEW_WITHIN_D_NS</ipxact:name> + <ipxact:displayName>Maximum system skew within D group</ipxact:displayName> + <ipxact:value>0.02</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR2_PKG_BRD_SKEW_WITHIN_AC_NS" type="real"> + <ipxact:name>BOARD_QDR2_PKG_BRD_SKEW_WITHIN_AC_NS</ipxact:name> + <ipxact:displayName>Maximum system skew within address/command bus</ipxact:displayName> + <ipxact:value>0.02</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR2_AC_TO_K_SKEW_NS" type="real"> + <ipxact:name>BOARD_QDR2_AC_TO_K_SKEW_NS</ipxact:name> + <ipxact:displayName>Average delay difference between address/command and K</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR2_MAX_K_DELAY_NS" type="real"> + <ipxact:name>BOARD_QDR2_MAX_K_DELAY_NS</ipxact:name> + <ipxact:displayName>Maximum K delay to device</ipxact:displayName> + <ipxact:value>0.6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR2_K_SLEW_RATE" type="real"> + <ipxact:name>BOARD_QDR2_K_SLEW_RATE</ipxact:name> + <ipxact:displayName>K/K# slew rate (Differential)</ipxact:displayName> + <ipxact:value>4.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR2_AC_SLEW_RATE" type="real"> + <ipxact:name>BOARD_QDR2_AC_SLEW_RATE</ipxact:name> + <ipxact:displayName>Address and command slew rate</ipxact:displayName> + <ipxact:value>2.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR2_RCLK_SLEW_RATE" type="real"> + <ipxact:name>BOARD_QDR2_RCLK_SLEW_RATE</ipxact:name> + <ipxact:displayName>CQ/CQ# slew rate (Complementary)</ipxact:displayName> + <ipxact:value>4.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR2_WCLK_SLEW_RATE" type="real"> + <ipxact:name>BOARD_QDR2_WCLK_SLEW_RATE</ipxact:name> + <ipxact:displayName>K/K# slew rate (Differential)</ipxact:displayName> + <ipxact:value>4.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR2_RDATA_SLEW_RATE" type="real"> + <ipxact:name>BOARD_QDR2_RDATA_SLEW_RATE</ipxact:name> + <ipxact:displayName>Read Q slew rate</ipxact:displayName> + <ipxact:value>2.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR2_WDATA_SLEW_RATE" type="real"> + <ipxact:name>BOARD_QDR2_WDATA_SLEW_RATE</ipxact:name> + <ipxact:displayName>Write D slew rate</ipxact:displayName> + <ipxact:value>2.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR2_AC_ISI_NS" type="real"> + <ipxact:name>BOARD_QDR2_AC_ISI_NS</ipxact:name> + <ipxact:displayName>Address and command ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR2_RCLK_ISI_NS" type="real"> + <ipxact:name>BOARD_QDR2_RCLK_ISI_NS</ipxact:name> + <ipxact:displayName>CQ/CQ# ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR2_WCLK_ISI_NS" type="real"> + <ipxact:name>BOARD_QDR2_WCLK_ISI_NS</ipxact:name> + <ipxact:displayName>K/K# ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR2_RDATA_ISI_NS" type="real"> + <ipxact:name>BOARD_QDR2_RDATA_ISI_NS</ipxact:name> + <ipxact:displayName>Read Q ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR2_WDATA_ISI_NS" type="real"> + <ipxact:name>BOARD_QDR2_WDATA_ISI_NS</ipxact:name> + <ipxact:displayName>Write D ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR2_SKEW_WITHIN_Q_NS" type="real"> + <ipxact:name>BOARD_QDR2_SKEW_WITHIN_Q_NS</ipxact:name> + <ipxact:displayName>PARAM_BOARD_QDR2_SKEW_WITHIN_Q_NS_NAME</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR2_SKEW_WITHIN_D_NS" type="real"> + <ipxact:name>BOARD_QDR2_SKEW_WITHIN_D_NS</ipxact:name> + <ipxact:displayName>PARAM_BOARD_QDR2_SKEW_WITHIN_D_NS_NAME</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR2_SKEW_WITHIN_AC_NS" type="real"> + <ipxact:name>BOARD_QDR2_SKEW_WITHIN_AC_NS</ipxact:name> + <ipxact:displayName>PARAM_BOARD_QDR2_SKEW_WITHIN_AC_NS_NAME</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR4_USE_DEFAULT_SLEW_RATES" type="bit"> + <ipxact:name>BOARD_QDR4_USE_DEFAULT_SLEW_RATES</ipxact:name> + <ipxact:displayName>Use default slew rates</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR4_USE_DEFAULT_ISI_VALUES" type="bit"> + <ipxact:name>BOARD_QDR4_USE_DEFAULT_ISI_VALUES</ipxact:name> + <ipxact:displayName>Use default ISI/crosstalk values</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR4_USER_CK_SLEW_RATE" type="real"> + <ipxact:name>BOARD_QDR4_USER_CK_SLEW_RATE</ipxact:name> + <ipxact:displayName>CK/CK# slew rate (Differential)</ipxact:displayName> + <ipxact:value>4.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR4_USER_AC_SLEW_RATE" type="real"> + <ipxact:name>BOARD_QDR4_USER_AC_SLEW_RATE</ipxact:name> + <ipxact:displayName>Address and command slew rate</ipxact:displayName> + <ipxact:value>2.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR4_USER_RCLK_SLEW_RATE" type="real"> + <ipxact:name>BOARD_QDR4_USER_RCLK_SLEW_RATE</ipxact:name> + <ipxact:displayName>QK/QK# slew rate (Differential)</ipxact:displayName> + <ipxact:value>5.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR4_USER_WCLK_SLEW_RATE" type="real"> + <ipxact:name>BOARD_QDR4_USER_WCLK_SLEW_RATE</ipxact:name> + <ipxact:displayName>DK/DK# slew rate (Differential)</ipxact:displayName> + <ipxact:value>4.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR4_USER_RDATA_SLEW_RATE" type="real"> + <ipxact:name>BOARD_QDR4_USER_RDATA_SLEW_RATE</ipxact:name> + <ipxact:displayName>Read DQ slew rate</ipxact:displayName> + <ipxact:value>2.5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR4_USER_WDATA_SLEW_RATE" type="real"> + <ipxact:name>BOARD_QDR4_USER_WDATA_SLEW_RATE</ipxact:name> + <ipxact:displayName>Write DQ slew rate</ipxact:displayName> + <ipxact:value>2.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR4_USER_AC_ISI_NS" type="real"> + <ipxact:name>BOARD_QDR4_USER_AC_ISI_NS</ipxact:name> + <ipxact:displayName>Address and command ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR4_USER_RCLK_ISI_NS" type="real"> + <ipxact:name>BOARD_QDR4_USER_RCLK_ISI_NS</ipxact:name> + <ipxact:displayName>QK/QK# ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR4_USER_WCLK_ISI_NS" type="real"> + <ipxact:name>BOARD_QDR4_USER_WCLK_ISI_NS</ipxact:name> + <ipxact:displayName>DK/DK# ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR4_USER_RDATA_ISI_NS" type="real"> + <ipxact:name>BOARD_QDR4_USER_RDATA_ISI_NS</ipxact:name> + <ipxact:displayName>Read DQ ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR4_USER_WDATA_ISI_NS" type="real"> + <ipxact:name>BOARD_QDR4_USER_WDATA_ISI_NS</ipxact:name> + <ipxact:displayName>Write DQ ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR4_IS_SKEW_WITHIN_QK_DESKEWED" type="bit"> + <ipxact:name>BOARD_QDR4_IS_SKEW_WITHIN_QK_DESKEWED</ipxact:name> + <ipxact:displayName>Package deskewed with board layout (QK group)</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR4_BRD_SKEW_WITHIN_QK_NS" type="real"> + <ipxact:name>BOARD_QDR4_BRD_SKEW_WITHIN_QK_NS</ipxact:name> + <ipxact:displayName>Maximum board skew within QK group</ipxact:displayName> + <ipxact:value>0.02</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR4_PKG_BRD_SKEW_WITHIN_QK_NS" type="real"> + <ipxact:name>BOARD_QDR4_PKG_BRD_SKEW_WITHIN_QK_NS</ipxact:name> + <ipxact:displayName>Maximum system skew within QK group</ipxact:displayName> + <ipxact:value>0.02</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR4_IS_SKEW_WITHIN_AC_DESKEWED" type="bit"> + <ipxact:name>BOARD_QDR4_IS_SKEW_WITHIN_AC_DESKEWED</ipxact:name> + <ipxact:displayName>Package deskewed with board layout (address/command bus)</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR4_BRD_SKEW_WITHIN_AC_NS" type="real"> + <ipxact:name>BOARD_QDR4_BRD_SKEW_WITHIN_AC_NS</ipxact:name> + <ipxact:displayName>Maximum board skew within address/command bus</ipxact:displayName> + <ipxact:value>0.02</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR4_PKG_BRD_SKEW_WITHIN_AC_NS" type="real"> + <ipxact:name>BOARD_QDR4_PKG_BRD_SKEW_WITHIN_AC_NS</ipxact:name> + <ipxact:displayName>Maximum system skew within address/command bus</ipxact:displayName> + <ipxact:value>0.02</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR4_DK_TO_CK_SKEW_NS" type="real"> + <ipxact:name>BOARD_QDR4_DK_TO_CK_SKEW_NS</ipxact:name> + <ipxact:displayName>Average delay difference between DK and CK</ipxact:displayName> + <ipxact:value>-0.02</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR4_SKEW_BETWEEN_DIMMS_NS" type="real"> + <ipxact:name>BOARD_QDR4_SKEW_BETWEEN_DIMMS_NS</ipxact:name> + <ipxact:displayName>Maximum delay difference between devices</ipxact:displayName> + <ipxact:value>0.05</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR4_SKEW_BETWEEN_DK_NS" type="real"> + <ipxact:name>BOARD_QDR4_SKEW_BETWEEN_DK_NS</ipxact:name> + <ipxact:displayName>Maximum skew between DK groups</ipxact:displayName> + <ipxact:value>0.02</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR4_AC_TO_CK_SKEW_NS" type="real"> + <ipxact:name>BOARD_QDR4_AC_TO_CK_SKEW_NS</ipxact:name> + <ipxact:displayName>Average delay difference between address/command and CK</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR4_MAX_CK_DELAY_NS" type="real"> + <ipxact:name>BOARD_QDR4_MAX_CK_DELAY_NS</ipxact:name> + <ipxact:displayName>Maximum CK delay to device</ipxact:displayName> + <ipxact:value>0.6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR4_MAX_DK_DELAY_NS" type="real"> + <ipxact:name>BOARD_QDR4_MAX_DK_DELAY_NS</ipxact:name> + <ipxact:displayName>Maximum DK delay to device</ipxact:displayName> + <ipxact:value>0.6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR4_CK_SLEW_RATE" type="real"> + <ipxact:name>BOARD_QDR4_CK_SLEW_RATE</ipxact:name> + <ipxact:displayName>CK/CK# slew rate (Differential)</ipxact:displayName> + <ipxact:value>4.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR4_AC_SLEW_RATE" type="real"> + <ipxact:name>BOARD_QDR4_AC_SLEW_RATE</ipxact:name> + <ipxact:displayName>Address and command slew rate</ipxact:displayName> + <ipxact:value>2.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR4_RCLK_SLEW_RATE" type="real"> + <ipxact:name>BOARD_QDR4_RCLK_SLEW_RATE</ipxact:name> + <ipxact:displayName>QK/QK# slew rate (Differential)</ipxact:displayName> + <ipxact:value>5.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR4_WCLK_SLEW_RATE" type="real"> + <ipxact:name>BOARD_QDR4_WCLK_SLEW_RATE</ipxact:name> + <ipxact:displayName>DK/DK# slew rate (Differential)</ipxact:displayName> + <ipxact:value>4.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR4_RDATA_SLEW_RATE" type="real"> + <ipxact:name>BOARD_QDR4_RDATA_SLEW_RATE</ipxact:name> + <ipxact:displayName>Read DQ slew rate</ipxact:displayName> + <ipxact:value>2.5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR4_WDATA_SLEW_RATE" type="real"> + <ipxact:name>BOARD_QDR4_WDATA_SLEW_RATE</ipxact:name> + <ipxact:displayName>Write DQ slew rate</ipxact:displayName> + <ipxact:value>2.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR4_AC_ISI_NS" type="real"> + <ipxact:name>BOARD_QDR4_AC_ISI_NS</ipxact:name> + <ipxact:displayName>Address and command ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR4_RCLK_ISI_NS" type="real"> + <ipxact:name>BOARD_QDR4_RCLK_ISI_NS</ipxact:name> + <ipxact:displayName>QK/QK# ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR4_WCLK_ISI_NS" type="real"> + <ipxact:name>BOARD_QDR4_WCLK_ISI_NS</ipxact:name> + <ipxact:displayName>DK/DK# ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR4_RDATA_ISI_NS" type="real"> + <ipxact:name>BOARD_QDR4_RDATA_ISI_NS</ipxact:name> + <ipxact:displayName>Read DQ ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR4_WDATA_ISI_NS" type="real"> + <ipxact:name>BOARD_QDR4_WDATA_ISI_NS</ipxact:name> + <ipxact:displayName>Write DQ ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR4_SKEW_WITHIN_QK_NS" type="real"> + <ipxact:name>BOARD_QDR4_SKEW_WITHIN_QK_NS</ipxact:name> + <ipxact:displayName>PARAM_BOARD_QDR4_SKEW_WITHIN_QK_NS_NAME</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR4_SKEW_WITHIN_AC_NS" type="real"> + <ipxact:name>BOARD_QDR4_SKEW_WITHIN_AC_NS</ipxact:name> + <ipxact:displayName>PARAM_BOARD_QDR4_SKEW_WITHIN_AC_NS_NAME</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_RLD3_USE_DEFAULT_SLEW_RATES" type="bit"> + <ipxact:name>BOARD_RLD3_USE_DEFAULT_SLEW_RATES</ipxact:name> + <ipxact:displayName>Use default slew rates</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_RLD3_USE_DEFAULT_ISI_VALUES" type="bit"> + <ipxact:name>BOARD_RLD3_USE_DEFAULT_ISI_VALUES</ipxact:name> + <ipxact:displayName>Use default ISI/crosstalk values</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_RLD3_USER_CK_SLEW_RATE" type="real"> + <ipxact:name>BOARD_RLD3_USER_CK_SLEW_RATE</ipxact:name> + <ipxact:displayName>CK/CK# slew rate (Differential)</ipxact:displayName> + <ipxact:value>4.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_RLD3_USER_AC_SLEW_RATE" type="real"> + <ipxact:name>BOARD_RLD3_USER_AC_SLEW_RATE</ipxact:name> + <ipxact:displayName>Address and command slew rate</ipxact:displayName> + <ipxact:value>2.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_RLD3_USER_RCLK_SLEW_RATE" type="real"> + <ipxact:name>BOARD_RLD3_USER_RCLK_SLEW_RATE</ipxact:name> + <ipxact:displayName>QK/QK# slew rate (Differential)</ipxact:displayName> + <ipxact:value>7.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_RLD3_USER_WCLK_SLEW_RATE" type="real"> + <ipxact:name>BOARD_RLD3_USER_WCLK_SLEW_RATE</ipxact:name> + <ipxact:displayName>DK/DK# slew rate (Differential)</ipxact:displayName> + <ipxact:value>4.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_RLD3_USER_RDATA_SLEW_RATE" type="real"> + <ipxact:name>BOARD_RLD3_USER_RDATA_SLEW_RATE</ipxact:name> + <ipxact:displayName>Read DQ slew rate</ipxact:displayName> + <ipxact:value>3.5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_RLD3_USER_WDATA_SLEW_RATE" type="real"> + <ipxact:name>BOARD_RLD3_USER_WDATA_SLEW_RATE</ipxact:name> + <ipxact:displayName>Write DQ slew rate</ipxact:displayName> + <ipxact:value>2.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_RLD3_USER_AC_ISI_NS" type="real"> + <ipxact:name>BOARD_RLD3_USER_AC_ISI_NS</ipxact:name> + <ipxact:displayName>Address and command ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_RLD3_USER_RCLK_ISI_NS" type="real"> + <ipxact:name>BOARD_RLD3_USER_RCLK_ISI_NS</ipxact:name> + <ipxact:displayName>QK/QK# ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_RLD3_USER_WCLK_ISI_NS" type="real"> + <ipxact:name>BOARD_RLD3_USER_WCLK_ISI_NS</ipxact:name> + <ipxact:displayName>DK/DK# ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_RLD3_USER_RDATA_ISI_NS" type="real"> + <ipxact:name>BOARD_RLD3_USER_RDATA_ISI_NS</ipxact:name> + <ipxact:displayName>Read DQ ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_RLD3_USER_WDATA_ISI_NS" type="real"> + <ipxact:name>BOARD_RLD3_USER_WDATA_ISI_NS</ipxact:name> + <ipxact:displayName>Write DQ ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_RLD3_IS_SKEW_WITHIN_QK_DESKEWED" type="bit"> + <ipxact:name>BOARD_RLD3_IS_SKEW_WITHIN_QK_DESKEWED</ipxact:name> + <ipxact:displayName>Package deskewed with board layout (QK group)</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_RLD3_BRD_SKEW_WITHIN_QK_NS" type="real"> + <ipxact:name>BOARD_RLD3_BRD_SKEW_WITHIN_QK_NS</ipxact:name> + <ipxact:displayName>Maximum board skew within QK group</ipxact:displayName> + <ipxact:value>0.02</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_RLD3_PKG_BRD_SKEW_WITHIN_QK_NS" type="real"> + <ipxact:name>BOARD_RLD3_PKG_BRD_SKEW_WITHIN_QK_NS</ipxact:name> + <ipxact:displayName>Maximum system skew within QK group</ipxact:displayName> + <ipxact:value>0.02</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_RLD3_IS_SKEW_WITHIN_AC_DESKEWED" type="bit"> + <ipxact:name>BOARD_RLD3_IS_SKEW_WITHIN_AC_DESKEWED</ipxact:name> + <ipxact:displayName>Package deskewed with board layout (address/command bus)</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_RLD3_BRD_SKEW_WITHIN_AC_NS" type="real"> + <ipxact:name>BOARD_RLD3_BRD_SKEW_WITHIN_AC_NS</ipxact:name> + <ipxact:displayName>Maximum board skew within address/command bus</ipxact:displayName> + <ipxact:value>0.02</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_RLD3_PKG_BRD_SKEW_WITHIN_AC_NS" type="real"> + <ipxact:name>BOARD_RLD3_PKG_BRD_SKEW_WITHIN_AC_NS</ipxact:name> + <ipxact:displayName>Maximum system skew within address/command bus</ipxact:displayName> + <ipxact:value>0.02</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_RLD3_DK_TO_CK_SKEW_NS" type="real"> + <ipxact:name>BOARD_RLD3_DK_TO_CK_SKEW_NS</ipxact:name> + <ipxact:displayName>Average delay difference between DK and CK</ipxact:displayName> + <ipxact:value>-0.02</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_RLD3_SKEW_BETWEEN_DIMMS_NS" type="real"> + <ipxact:name>BOARD_RLD3_SKEW_BETWEEN_DIMMS_NS</ipxact:name> + <ipxact:displayName>Maximum delay difference between devices</ipxact:displayName> + <ipxact:value>0.05</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_RLD3_SKEW_BETWEEN_DK_NS" type="real"> + <ipxact:name>BOARD_RLD3_SKEW_BETWEEN_DK_NS</ipxact:name> + <ipxact:displayName>Maximum skew between DK groups</ipxact:displayName> + <ipxact:value>0.02</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_RLD3_AC_TO_CK_SKEW_NS" type="real"> + <ipxact:name>BOARD_RLD3_AC_TO_CK_SKEW_NS</ipxact:name> + <ipxact:displayName>Average delay difference between address/command and CK</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_RLD3_MAX_CK_DELAY_NS" type="real"> + <ipxact:name>BOARD_RLD3_MAX_CK_DELAY_NS</ipxact:name> + <ipxact:displayName>Maximum CK delay to device</ipxact:displayName> + <ipxact:value>0.6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_RLD3_MAX_DK_DELAY_NS" type="real"> + <ipxact:name>BOARD_RLD3_MAX_DK_DELAY_NS</ipxact:name> + <ipxact:displayName>Maximum DK delay to device</ipxact:displayName> + <ipxact:value>0.6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_RLD3_TIS_DERATING_PS" type="int"> + <ipxact:name>BOARD_RLD3_TIS_DERATING_PS</ipxact:name> + <ipxact:displayName>PARAM_BOARD_RLD3_TIS_DERATING_PS_NAME</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_RLD3_TIH_DERATING_PS" type="int"> + <ipxact:name>BOARD_RLD3_TIH_DERATING_PS</ipxact:name> + <ipxact:displayName>PARAM_BOARD_RLD3_TIH_DERATING_PS_NAME</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_RLD3_TDS_DERATING_PS" type="int"> + <ipxact:name>BOARD_RLD3_TDS_DERATING_PS</ipxact:name> + <ipxact:displayName>PARAM_BOARD_RLD3_TDS_DERATING_PS_NAME</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_RLD3_TDH_DERATING_PS" type="int"> + <ipxact:name>BOARD_RLD3_TDH_DERATING_PS</ipxact:name> + <ipxact:displayName>PARAM_BOARD_RLD3_TDH_DERATING_PS_NAME</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_RLD3_CK_SLEW_RATE" type="real"> + <ipxact:name>BOARD_RLD3_CK_SLEW_RATE</ipxact:name> + <ipxact:displayName>CK/CK# slew rate (Differential)</ipxact:displayName> + <ipxact:value>4.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_RLD3_AC_SLEW_RATE" type="real"> + <ipxact:name>BOARD_RLD3_AC_SLEW_RATE</ipxact:name> + <ipxact:displayName>Address and command slew rate</ipxact:displayName> + <ipxact:value>2.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_RLD3_RCLK_SLEW_RATE" type="real"> + <ipxact:name>BOARD_RLD3_RCLK_SLEW_RATE</ipxact:name> + <ipxact:displayName>QK/QK# slew rate (Differential)</ipxact:displayName> + <ipxact:value>7.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_RLD3_WCLK_SLEW_RATE" type="real"> + <ipxact:name>BOARD_RLD3_WCLK_SLEW_RATE</ipxact:name> + <ipxact:displayName>DK/DK# slew rate (Differential)</ipxact:displayName> + <ipxact:value>4.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_RLD3_RDATA_SLEW_RATE" type="real"> + <ipxact:name>BOARD_RLD3_RDATA_SLEW_RATE</ipxact:name> + <ipxact:displayName>Read DQ slew rate</ipxact:displayName> + <ipxact:value>3.5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_RLD3_WDATA_SLEW_RATE" type="real"> + <ipxact:name>BOARD_RLD3_WDATA_SLEW_RATE</ipxact:name> + <ipxact:displayName>Write DQ slew rate</ipxact:displayName> + <ipxact:value>2.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_RLD3_AC_ISI_NS" type="real"> + <ipxact:name>BOARD_RLD3_AC_ISI_NS</ipxact:name> + <ipxact:displayName>Address and command ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_RLD3_RCLK_ISI_NS" type="real"> + <ipxact:name>BOARD_RLD3_RCLK_ISI_NS</ipxact:name> + <ipxact:displayName>QK/QK# ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_RLD3_WCLK_ISI_NS" type="real"> + <ipxact:name>BOARD_RLD3_WCLK_ISI_NS</ipxact:name> + <ipxact:displayName>DK/DK# ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_RLD3_RDATA_ISI_NS" type="real"> + <ipxact:name>BOARD_RLD3_RDATA_ISI_NS</ipxact:name> + <ipxact:displayName>Read DQ ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_RLD3_WDATA_ISI_NS" type="real"> + <ipxact:name>BOARD_RLD3_WDATA_ISI_NS</ipxact:name> + <ipxact:displayName>Write DQ ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_RLD3_SKEW_WITHIN_QK_NS" type="real"> + <ipxact:name>BOARD_RLD3_SKEW_WITHIN_QK_NS</ipxact:name> + <ipxact:displayName>PARAM_BOARD_RLD3_SKEW_WITHIN_QK_NS_NAME</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_RLD3_SKEW_WITHIN_AC_NS" type="real"> + <ipxact:name>BOARD_RLD3_SKEW_WITHIN_AC_NS</ipxact:name> + <ipxact:displayName>PARAM_BOARD_RLD3_SKEW_WITHIN_AC_NS_NAME</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_LPDDR3_USE_DEFAULT_SLEW_RATES" type="bit"> + <ipxact:name>BOARD_LPDDR3_USE_DEFAULT_SLEW_RATES</ipxact:name> + <ipxact:displayName>PARAM_BOARD_LPDDR3_USE_DEFAULT_SLEW_RATES_NAME</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_LPDDR3_USE_DEFAULT_ISI_VALUES" type="bit"> + <ipxact:name>BOARD_LPDDR3_USE_DEFAULT_ISI_VALUES</ipxact:name> + <ipxact:displayName>Use default ISI/crosstalk values</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_LPDDR3_USER_CK_SLEW_RATE" type="real"> + <ipxact:name>BOARD_LPDDR3_USER_CK_SLEW_RATE</ipxact:name> + <ipxact:displayName>PARAM_BOARD_LPDDR3_USER_CK_SLEW_RATE_NAME</ipxact:displayName> + <ipxact:value>4.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_LPDDR3_USER_AC_SLEW_RATE" type="real"> + <ipxact:name>BOARD_LPDDR3_USER_AC_SLEW_RATE</ipxact:name> + <ipxact:displayName>PARAM_BOARD_LPDDR3_USER_AC_SLEW_RATE_NAME</ipxact:displayName> + <ipxact:value>2.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_LPDDR3_USER_RCLK_SLEW_RATE" type="real"> + <ipxact:name>BOARD_LPDDR3_USER_RCLK_SLEW_RATE</ipxact:name> + <ipxact:displayName>PARAM_BOARD_LPDDR3_USER_RCLK_SLEW_RATE_NAME</ipxact:displayName> + <ipxact:value>4.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_LPDDR3_USER_WCLK_SLEW_RATE" type="real"> + <ipxact:name>BOARD_LPDDR3_USER_WCLK_SLEW_RATE</ipxact:name> + <ipxact:displayName>PARAM_BOARD_LPDDR3_USER_WCLK_SLEW_RATE_NAME</ipxact:displayName> + <ipxact:value>4.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_LPDDR3_USER_RDATA_SLEW_RATE" type="real"> + <ipxact:name>BOARD_LPDDR3_USER_RDATA_SLEW_RATE</ipxact:name> + <ipxact:displayName>PARAM_BOARD_LPDDR3_USER_RDATA_SLEW_RATE_NAME</ipxact:displayName> + <ipxact:value>2.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_LPDDR3_USER_WDATA_SLEW_RATE" type="real"> + <ipxact:name>BOARD_LPDDR3_USER_WDATA_SLEW_RATE</ipxact:name> + <ipxact:displayName>PARAM_BOARD_LPDDR3_USER_WDATA_SLEW_RATE_NAME</ipxact:displayName> + <ipxact:value>2.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_LPDDR3_USER_AC_ISI_NS" type="real"> + <ipxact:name>BOARD_LPDDR3_USER_AC_ISI_NS</ipxact:name> + <ipxact:displayName>Address and command ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_LPDDR3_USER_RCLK_ISI_NS" type="real"> + <ipxact:name>BOARD_LPDDR3_USER_RCLK_ISI_NS</ipxact:name> + <ipxact:displayName>Read DQS/DQS# ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_LPDDR3_USER_WCLK_ISI_NS" type="real"> + <ipxact:name>BOARD_LPDDR3_USER_WCLK_ISI_NS</ipxact:name> + <ipxact:displayName>Write DQS/DQS# ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_LPDDR3_USER_RDATA_ISI_NS" type="real"> + <ipxact:name>BOARD_LPDDR3_USER_RDATA_ISI_NS</ipxact:name> + <ipxact:displayName>Read DQ ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_LPDDR3_USER_WDATA_ISI_NS" type="real"> + <ipxact:name>BOARD_LPDDR3_USER_WDATA_ISI_NS</ipxact:name> + <ipxact:displayName>Write DQ ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_LPDDR3_IS_SKEW_WITHIN_DQS_DESKEWED" type="bit"> + <ipxact:name>BOARD_LPDDR3_IS_SKEW_WITHIN_DQS_DESKEWED</ipxact:name> + <ipxact:displayName>Package deskewed with board layout (DQS group)</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_LPDDR3_BRD_SKEW_WITHIN_DQS_NS" type="real"> + <ipxact:name>BOARD_LPDDR3_BRD_SKEW_WITHIN_DQS_NS</ipxact:name> + <ipxact:displayName>Maximum board skew within DQS group</ipxact:displayName> + <ipxact:value>0.02</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_LPDDR3_PKG_BRD_SKEW_WITHIN_DQS_NS" type="real"> + <ipxact:name>BOARD_LPDDR3_PKG_BRD_SKEW_WITHIN_DQS_NS</ipxact:name> + <ipxact:displayName>Maximum system skew within DQS group</ipxact:displayName> + <ipxact:value>0.02</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_LPDDR3_IS_SKEW_WITHIN_AC_DESKEWED" type="bit"> + <ipxact:name>BOARD_LPDDR3_IS_SKEW_WITHIN_AC_DESKEWED</ipxact:name> + <ipxact:displayName>Package deskewed with board layout (address/command bus)</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_LPDDR3_BRD_SKEW_WITHIN_AC_NS" type="real"> + <ipxact:name>BOARD_LPDDR3_BRD_SKEW_WITHIN_AC_NS</ipxact:name> + <ipxact:displayName>Maximum board skew within address/command bus</ipxact:displayName> + <ipxact:value>0.02</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_LPDDR3_PKG_BRD_SKEW_WITHIN_AC_NS" type="real"> + <ipxact:name>BOARD_LPDDR3_PKG_BRD_SKEW_WITHIN_AC_NS</ipxact:name> + <ipxact:displayName>Maximum system skew within address/command bus</ipxact:displayName> + <ipxact:value>0.02</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_LPDDR3_DQS_TO_CK_SKEW_NS" type="real"> + <ipxact:name>BOARD_LPDDR3_DQS_TO_CK_SKEW_NS</ipxact:name> + <ipxact:displayName>Average delay difference between DQS and CK</ipxact:displayName> + <ipxact:value>0.02</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_LPDDR3_SKEW_BETWEEN_DIMMS_NS" type="real"> + <ipxact:name>BOARD_LPDDR3_SKEW_BETWEEN_DIMMS_NS</ipxact:name> + <ipxact:displayName>Maximum delay difference between devices</ipxact:displayName> + <ipxact:value>0.05</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_LPDDR3_SKEW_BETWEEN_DQS_NS" type="real"> + <ipxact:name>BOARD_LPDDR3_SKEW_BETWEEN_DQS_NS</ipxact:name> + <ipxact:displayName>Maximum skew between DQS groups</ipxact:displayName> + <ipxact:value>0.02</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_LPDDR3_AC_TO_CK_SKEW_NS" type="real"> + <ipxact:name>BOARD_LPDDR3_AC_TO_CK_SKEW_NS</ipxact:name> + <ipxact:displayName>Average delay difference between address/command and CK</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_LPDDR3_MAX_CK_DELAY_NS" type="real"> + <ipxact:name>BOARD_LPDDR3_MAX_CK_DELAY_NS</ipxact:name> + <ipxact:displayName>Maximum CK delay to device</ipxact:displayName> + <ipxact:value>0.6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_LPDDR3_MAX_DQS_DELAY_NS" type="real"> + <ipxact:name>BOARD_LPDDR3_MAX_DQS_DELAY_NS</ipxact:name> + <ipxact:displayName>Maximum DQS delay to device</ipxact:displayName> + <ipxact:value>0.6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_LPDDR3_TIS_DERATING_PS" type="int"> + <ipxact:name>BOARD_LPDDR3_TIS_DERATING_PS</ipxact:name> + <ipxact:displayName>PARAM_BOARD_LPDDR3_TIS_DERATING_PS_NAME</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_LPDDR3_TIH_DERATING_PS" type="int"> + <ipxact:name>BOARD_LPDDR3_TIH_DERATING_PS</ipxact:name> + <ipxact:displayName>PARAM_BOARD_LPDDR3_TIH_DERATING_PS_NAME</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_LPDDR3_TDS_DERATING_PS" type="int"> + <ipxact:name>BOARD_LPDDR3_TDS_DERATING_PS</ipxact:name> + <ipxact:displayName>PARAM_BOARD_LPDDR3_TDS_DERATING_PS_NAME</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_LPDDR3_TDH_DERATING_PS" type="int"> + <ipxact:name>BOARD_LPDDR3_TDH_DERATING_PS</ipxact:name> + <ipxact:displayName>PARAM_BOARD_LPDDR3_TDH_DERATING_PS_NAME</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_LPDDR3_CK_SLEW_RATE" type="real"> + <ipxact:name>BOARD_LPDDR3_CK_SLEW_RATE</ipxact:name> + <ipxact:displayName>PARAM_BOARD_LPDDR3_USER_CK_SLEW_RATE_NAME</ipxact:displayName> + <ipxact:value>4.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_LPDDR3_AC_SLEW_RATE" type="real"> + <ipxact:name>BOARD_LPDDR3_AC_SLEW_RATE</ipxact:name> + <ipxact:displayName>PARAM_BOARD_LPDDR3_USER_AC_SLEW_RATE_NAME</ipxact:displayName> + <ipxact:value>2.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_LPDDR3_RCLK_SLEW_RATE" type="real"> + <ipxact:name>BOARD_LPDDR3_RCLK_SLEW_RATE</ipxact:name> + <ipxact:displayName>PARAM_BOARD_LPDDR3_USER_RCLK_SLEW_RATE_NAME</ipxact:displayName> + <ipxact:value>4.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_LPDDR3_WCLK_SLEW_RATE" type="real"> + <ipxact:name>BOARD_LPDDR3_WCLK_SLEW_RATE</ipxact:name> + <ipxact:displayName>PARAM_BOARD_LPDDR3_USER_WCLK_SLEW_RATE_NAME</ipxact:displayName> + <ipxact:value>4.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_LPDDR3_RDATA_SLEW_RATE" type="real"> + <ipxact:name>BOARD_LPDDR3_RDATA_SLEW_RATE</ipxact:name> + <ipxact:displayName>PARAM_BOARD_LPDDR3_USER_RDATA_SLEW_RATE_NAME</ipxact:displayName> + <ipxact:value>2.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_LPDDR3_WDATA_SLEW_RATE" type="real"> + <ipxact:name>BOARD_LPDDR3_WDATA_SLEW_RATE</ipxact:name> + <ipxact:displayName>PARAM_BOARD_LPDDR3_USER_WDATA_SLEW_RATE_NAME</ipxact:displayName> + <ipxact:value>2.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_LPDDR3_AC_ISI_NS" type="real"> + <ipxact:name>BOARD_LPDDR3_AC_ISI_NS</ipxact:name> + <ipxact:displayName>Address and command ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_LPDDR3_RCLK_ISI_NS" type="real"> + <ipxact:name>BOARD_LPDDR3_RCLK_ISI_NS</ipxact:name> + <ipxact:displayName>Read DQS/DQS# ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_LPDDR3_WCLK_ISI_NS" type="real"> + <ipxact:name>BOARD_LPDDR3_WCLK_ISI_NS</ipxact:name> + <ipxact:displayName>Write DQS/DQS# ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_LPDDR3_RDATA_ISI_NS" type="real"> + <ipxact:name>BOARD_LPDDR3_RDATA_ISI_NS</ipxact:name> + <ipxact:displayName>Read DQ ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_LPDDR3_WDATA_ISI_NS" type="real"> + <ipxact:name>BOARD_LPDDR3_WDATA_ISI_NS</ipxact:name> + <ipxact:displayName>Write DQ ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_LPDDR3_SKEW_WITHIN_DQS_NS" type="real"> + <ipxact:name>BOARD_LPDDR3_SKEW_WITHIN_DQS_NS</ipxact:name> + <ipxact:displayName>PARAM_BOARD_LPDDR3_SKEW_WITHIN_DQS_NS_NAME</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_LPDDR3_SKEW_WITHIN_AC_NS" type="real"> + <ipxact:name>BOARD_LPDDR3_SKEW_WITHIN_AC_NS</ipxact:name> + <ipxact:displayName>PARAM_BOARD_LPDDR3_SKEW_WITHIN_AC_NS_NAME</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_ECC_EN" type="bit"> + <ipxact:name>CTRL_ECC_EN</ipxact:name> + <ipxact:displayName>PARAM_CTRL_ECC_EN_NAME</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_MMR_EN" type="bit"> + <ipxact:name>CTRL_MMR_EN</ipxact:name> + <ipxact:displayName>PARAM_CTRL_MMR_EN_NAME</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_AUTO_PRECHARGE_EN" type="bit"> + <ipxact:name>CTRL_AUTO_PRECHARGE_EN</ipxact:name> + <ipxact:displayName>PARAM_CTRL_AUTO_PRECHARGE_EN_NAME</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_USER_PRIORITY_EN" type="bit"> + <ipxact:name>CTRL_USER_PRIORITY_EN</ipxact:name> + <ipxact:displayName>PARAM_CTRL_USER_PRIORITY_EN_NAME</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_REORDER_EN" type="bit"> + <ipxact:name>CTRL_REORDER_EN</ipxact:name> + <ipxact:displayName>PARAM_CTRL_REORDER_EN_NAME</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_ECC_READDATAERROR_EN" type="bit"> + <ipxact:name>CTRL_ECC_READDATAERROR_EN</ipxact:name> + <ipxact:displayName>PARAM_CTRL_ECC_READDATAERROR_EN_NAME</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_DDR3_AVL_PROTOCOL_ENUM" type="string"> + <ipxact:name>CTRL_DDR3_AVL_PROTOCOL_ENUM</ipxact:name> + <ipxact:displayName>Avalon Interface</ipxact:displayName> + <ipxact:value>CTRL_AVL_PROTOCOL_MM</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_DDR3_SELF_REFRESH_EN" type="bit"> + <ipxact:name>CTRL_DDR3_SELF_REFRESH_EN</ipxact:name> + <ipxact:displayName>Enable Self-Refresh Control</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_DDR3_AUTO_POWER_DOWN_EN" type="bit"> + <ipxact:name>CTRL_DDR3_AUTO_POWER_DOWN_EN</ipxact:name> + <ipxact:displayName>Enable Auto Power-Down</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_DDR3_AUTO_POWER_DOWN_CYCS" type="int"> + <ipxact:name>CTRL_DDR3_AUTO_POWER_DOWN_CYCS</ipxact:name> + <ipxact:displayName>Auto Power-Down Cycles</ipxact:displayName> + <ipxact:value>32</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_DDR3_USER_REFRESH_EN" type="bit"> + <ipxact:name>CTRL_DDR3_USER_REFRESH_EN</ipxact:name> + <ipxact:displayName>Enable User Refresh Control</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_DDR3_USER_PRIORITY_EN" type="bit"> + <ipxact:name>CTRL_DDR3_USER_PRIORITY_EN</ipxact:name> + <ipxact:displayName>Enable Command Priority Control</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_DDR3_AUTO_PRECHARGE_EN" type="bit"> + <ipxact:name>CTRL_DDR3_AUTO_PRECHARGE_EN</ipxact:name> + <ipxact:displayName>Enable Auto-Precharge Control</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_DDR3_ADDR_ORDER_ENUM" type="string"> + <ipxact:name>CTRL_DDR3_ADDR_ORDER_ENUM</ipxact:name> + <ipxact:displayName>Address Ordering</ipxact:displayName> + <ipxact:value>DDR3_CTRL_ADDR_ORDER_CS_R_B_C</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_DDR3_ECC_EN" type="bit"> + <ipxact:name>CTRL_DDR3_ECC_EN</ipxact:name> + <ipxact:displayName>Enable Error Detection and Correction Logic with ECC</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_DDR3_ECC_AUTO_CORRECTION_EN" type="bit"> + <ipxact:name>CTRL_DDR3_ECC_AUTO_CORRECTION_EN</ipxact:name> + <ipxact:displayName>Enable Auto Error Correction to External Memory</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_DDR3_ECC_READDATAERROR_EN" type="bit"> + <ipxact:name>CTRL_DDR3_ECC_READDATAERROR_EN</ipxact:name> + <ipxact:displayName>Enable ctrl_ecc_readdataerror signal to indicate uncorrectable data errors</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_DDR3_REORDER_EN" type="bit"> + <ipxact:name>CTRL_DDR3_REORDER_EN</ipxact:name> + <ipxact:displayName>Enable Reordering</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_DDR3_STARVE_LIMIT" type="int"> + <ipxact:name>CTRL_DDR3_STARVE_LIMIT</ipxact:name> + <ipxact:displayName>Starvation limit for each command</ipxact:displayName> + <ipxact:value>10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_DDR3_MMR_EN" type="bit"> + <ipxact:name>CTRL_DDR3_MMR_EN</ipxact:name> + <ipxact:displayName>Enable Memory-Mapped Configuration and Status Register (MMR) Interface</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_DDR3_RD_TO_WR_SAME_CHIP_DELTA_CYCS" type="int"> + <ipxact:name>CTRL_DDR3_RD_TO_WR_SAME_CHIP_DELTA_CYCS</ipxact:name> + <ipxact:displayName>Additional read-to-write turnaround time (same rank)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_DDR3_WR_TO_RD_SAME_CHIP_DELTA_CYCS" type="int"> + <ipxact:name>CTRL_DDR3_WR_TO_RD_SAME_CHIP_DELTA_CYCS</ipxact:name> + <ipxact:displayName>Additional write-to-read turnaround time (same rank)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_DDR3_RD_TO_RD_DIFF_CHIP_DELTA_CYCS" type="int"> + <ipxact:name>CTRL_DDR3_RD_TO_RD_DIFF_CHIP_DELTA_CYCS</ipxact:name> + <ipxact:displayName>Additional read-to-read turnaround time (different ranks)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_DDR3_RD_TO_WR_DIFF_CHIP_DELTA_CYCS" type="int"> + <ipxact:name>CTRL_DDR3_RD_TO_WR_DIFF_CHIP_DELTA_CYCS</ipxact:name> + <ipxact:displayName>Additional read-to-write turnaround time (different ranks)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_DDR3_WR_TO_WR_DIFF_CHIP_DELTA_CYCS" type="int"> + <ipxact:name>CTRL_DDR3_WR_TO_WR_DIFF_CHIP_DELTA_CYCS</ipxact:name> + <ipxact:displayName>Additional write-to-write turnaround time (different ranks)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_DDR3_WR_TO_RD_DIFF_CHIP_DELTA_CYCS" type="int"> + <ipxact:name>CTRL_DDR3_WR_TO_RD_DIFF_CHIP_DELTA_CYCS</ipxact:name> + <ipxact:displayName>Additional write-to-read turnaround time (different ranks)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_DDR4_AVL_PROTOCOL_ENUM" type="string"> + <ipxact:name>CTRL_DDR4_AVL_PROTOCOL_ENUM</ipxact:name> + <ipxact:displayName>Avalon Interface</ipxact:displayName> + <ipxact:value>CTRL_AVL_PROTOCOL_MM</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_DDR4_SELF_REFRESH_EN" type="bit"> + <ipxact:name>CTRL_DDR4_SELF_REFRESH_EN</ipxact:name> + <ipxact:displayName>Enable Self-Refresh Control</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_DDR4_AUTO_POWER_DOWN_EN" type="bit"> + <ipxact:name>CTRL_DDR4_AUTO_POWER_DOWN_EN</ipxact:name> + <ipxact:displayName>Enable Auto Power-Down</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_DDR4_AUTO_POWER_DOWN_CYCS" type="int"> + <ipxact:name>CTRL_DDR4_AUTO_POWER_DOWN_CYCS</ipxact:name> + <ipxact:displayName>Auto Power-Down Cycles</ipxact:displayName> + <ipxact:value>32</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_DDR4_USER_REFRESH_EN" type="bit"> + <ipxact:name>CTRL_DDR4_USER_REFRESH_EN</ipxact:name> + <ipxact:displayName>Enable User Refresh Control</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_DDR4_USER_PRIORITY_EN" type="bit"> + <ipxact:name>CTRL_DDR4_USER_PRIORITY_EN</ipxact:name> + <ipxact:displayName>Enable Command Priority Control</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_DDR4_AUTO_PRECHARGE_EN" type="bit"> + <ipxact:name>CTRL_DDR4_AUTO_PRECHARGE_EN</ipxact:name> + <ipxact:displayName>Enable Auto-Precharge Control</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_DDR4_ADDR_ORDER_ENUM" type="string"> + <ipxact:name>CTRL_DDR4_ADDR_ORDER_ENUM</ipxact:name> + <ipxact:displayName>Address Ordering</ipxact:displayName> + <ipxact:value>DDR4_CTRL_ADDR_ORDER_CS_R_B_C_BG</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_DDR4_ECC_EN" type="bit"> + <ipxact:name>CTRL_DDR4_ECC_EN</ipxact:name> + <ipxact:displayName>Enable Error Detection and Correction Logic with ECC</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_DDR4_ECC_AUTO_CORRECTION_EN" type="bit"> + <ipxact:name>CTRL_DDR4_ECC_AUTO_CORRECTION_EN</ipxact:name> + <ipxact:displayName>Enable Auto Error Correction to External Memory</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_DDR4_ECC_READDATAERROR_EN" type="bit"> + <ipxact:name>CTRL_DDR4_ECC_READDATAERROR_EN</ipxact:name> + <ipxact:displayName>Enable ctrl_ecc_readdataerror signal to indicate uncorrectable data errors</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_DDR4_REORDER_EN" type="bit"> + <ipxact:name>CTRL_DDR4_REORDER_EN</ipxact:name> + <ipxact:displayName>Enable Reordering</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_DDR4_STARVE_LIMIT" type="int"> + <ipxact:name>CTRL_DDR4_STARVE_LIMIT</ipxact:name> + <ipxact:displayName>Starvation limit for each command</ipxact:displayName> + <ipxact:value>10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_DDR4_MMR_EN" type="bit"> + <ipxact:name>CTRL_DDR4_MMR_EN</ipxact:name> + <ipxact:displayName>Enable Memory-Mapped Configuration and Status Register (MMR) Interface</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_DDR4_RD_TO_WR_SAME_CHIP_DELTA_CYCS" type="int"> + <ipxact:name>CTRL_DDR4_RD_TO_WR_SAME_CHIP_DELTA_CYCS</ipxact:name> + <ipxact:displayName>Additional read-to-write turnaround time (same rank)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_DDR4_WR_TO_RD_SAME_CHIP_DELTA_CYCS" type="int"> + <ipxact:name>CTRL_DDR4_WR_TO_RD_SAME_CHIP_DELTA_CYCS</ipxact:name> + <ipxact:displayName>Additional write-to-read turnaround time (same rank)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_DDR4_RD_TO_RD_DIFF_CHIP_DELTA_CYCS" type="int"> + <ipxact:name>CTRL_DDR4_RD_TO_RD_DIFF_CHIP_DELTA_CYCS</ipxact:name> + <ipxact:displayName>Additional read-to-read turnaround time (different ranks)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_DDR4_RD_TO_WR_DIFF_CHIP_DELTA_CYCS" type="int"> + <ipxact:name>CTRL_DDR4_RD_TO_WR_DIFF_CHIP_DELTA_CYCS</ipxact:name> + <ipxact:displayName>Additional read-to-write turnaround time (different ranks)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_DDR4_WR_TO_WR_DIFF_CHIP_DELTA_CYCS" type="int"> + <ipxact:name>CTRL_DDR4_WR_TO_WR_DIFF_CHIP_DELTA_CYCS</ipxact:name> + <ipxact:displayName>Additional write-to-write turnaround time (different ranks)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_DDR4_WR_TO_RD_DIFF_CHIP_DELTA_CYCS" type="int"> + <ipxact:name>CTRL_DDR4_WR_TO_RD_DIFF_CHIP_DELTA_CYCS</ipxact:name> + <ipxact:displayName>Additional write-to-read turnaround time (different ranks)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_QDR2_AVL_PROTOCOL_ENUM" type="string"> + <ipxact:name>CTRL_QDR2_AVL_PROTOCOL_ENUM</ipxact:name> + <ipxact:displayName>Avalon Interface</ipxact:displayName> + <ipxact:value>CTRL_AVL_PROTOCOL_MM</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_QDR2_AVL_MAX_BURST_COUNT" type="int"> + <ipxact:name>CTRL_QDR2_AVL_MAX_BURST_COUNT</ipxact:name> + <ipxact:displayName>Maximum Avalon-MM burst length</ipxact:displayName> + <ipxact:value>4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_QDR2_AVL_ENABLE_POWER_OF_TWO_BUS" type="bit"> + <ipxact:name>CTRL_QDR2_AVL_ENABLE_POWER_OF_TWO_BUS</ipxact:name> + <ipxact:displayName>Generate power-of-2 data bus widths for Qsys</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_QDR2_AVL_SYMBOL_WIDTH" type="int"> + <ipxact:name>CTRL_QDR2_AVL_SYMBOL_WIDTH</ipxact:name> + <ipxact:displayName>PARAM_CTRL_QDR2_AVL_SYMBOL_WIDTH_NAME</ipxact:displayName> + <ipxact:value>9</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_QDR4_AVL_PROTOCOL_ENUM" type="string"> + <ipxact:name>CTRL_QDR4_AVL_PROTOCOL_ENUM</ipxact:name> + <ipxact:displayName>Avalon Interface</ipxact:displayName> + <ipxact:value>CTRL_AVL_PROTOCOL_MM</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_QDR4_AVL_MAX_BURST_COUNT" type="int"> + <ipxact:name>CTRL_QDR4_AVL_MAX_BURST_COUNT</ipxact:name> + <ipxact:displayName>Maximum Avalon-MM burst length</ipxact:displayName> + <ipxact:value>4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_QDR4_AVL_ENABLE_POWER_OF_TWO_BUS" type="bit"> + <ipxact:name>CTRL_QDR4_AVL_ENABLE_POWER_OF_TWO_BUS</ipxact:name> + <ipxact:displayName>Generate power-of-2 data bus widths for Qsys</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_QDR4_ADD_RAW_TURNAROUND_DELAY_CYC" type="int"> + <ipxact:name>CTRL_QDR4_ADD_RAW_TURNAROUND_DELAY_CYC</ipxact:name> + <ipxact:displayName>Additional read-after-write turnaround time</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_QDR4_ADD_WAR_TURNAROUND_DELAY_CYC" type="int"> + <ipxact:name>CTRL_QDR4_ADD_WAR_TURNAROUND_DELAY_CYC</ipxact:name> + <ipxact:displayName>Additional write-after-read turnaround time</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_QDR4_DEF_RAW_TURNAROUND_DELAY_CYC" type="int"> + <ipxact:name>CTRL_QDR4_DEF_RAW_TURNAROUND_DELAY_CYC</ipxact:name> + <ipxact:displayName>PARAM_CTRL_QDR4_DEF_RAW_TURNAROUND_DELAY_CYC_NAME</ipxact:displayName> + <ipxact:value>4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_QDR4_AVL_SYMBOL_WIDTH" type="int"> + <ipxact:name>CTRL_QDR4_AVL_SYMBOL_WIDTH</ipxact:name> + <ipxact:displayName>PARAM_CTRL_QDR4_AVL_SYMBOL_WIDTH_NAME</ipxact:displayName> + <ipxact:value>9</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_QDR4_RAW_TURNAROUND_DELAY_CYC" type="int"> + <ipxact:name>CTRL_QDR4_RAW_TURNAROUND_DELAY_CYC</ipxact:name> + <ipxact:displayName>PARAM_CTRL_QDR4_RAW_TURNAROUND_DELAY_CYC_NAME</ipxact:displayName> + <ipxact:value>4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_QDR4_WAR_TURNAROUND_DELAY_CYC" type="int"> + <ipxact:name>CTRL_QDR4_WAR_TURNAROUND_DELAY_CYC</ipxact:name> + <ipxact:displayName>PARAM_CTRL_QDR4_WAR_TURNAROUND_DELAY_CYC_NAME</ipxact:displayName> + <ipxact:value>11</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_RLD2_AVL_PROTOCOL_ENUM" type="string"> + <ipxact:name>CTRL_RLD2_AVL_PROTOCOL_ENUM</ipxact:name> + <ipxact:displayName>Avalon Interface</ipxact:displayName> + <ipxact:value>CTRL_AVL_PROTOCOL_MM</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_RLD3_AVL_PROTOCOL_ENUM" type="string"> + <ipxact:name>CTRL_RLD3_AVL_PROTOCOL_ENUM</ipxact:name> + <ipxact:displayName>Avalon Interface</ipxact:displayName> + <ipxact:value>CTRL_AVL_PROTOCOL_MM</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_RLD3_ADDR_ORDER_ENUM" type="string"> + <ipxact:name>CTRL_RLD3_ADDR_ORDER_ENUM</ipxact:name> + <ipxact:displayName>Address Ordering</ipxact:displayName> + <ipxact:value>RLD3_CTRL_ADDR_ORDER_CS_R_B_C</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_LPDDR3_AVL_PROTOCOL_ENUM" type="string"> + <ipxact:name>CTRL_LPDDR3_AVL_PROTOCOL_ENUM</ipxact:name> + <ipxact:displayName>Avalon Interface</ipxact:displayName> + <ipxact:value>CTRL_AVL_PROTOCOL_MM</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_LPDDR3_SELF_REFRESH_EN" type="bit"> + <ipxact:name>CTRL_LPDDR3_SELF_REFRESH_EN</ipxact:name> + <ipxact:displayName>Enable Self-Refresh Control</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_LPDDR3_AUTO_POWER_DOWN_EN" type="bit"> + <ipxact:name>CTRL_LPDDR3_AUTO_POWER_DOWN_EN</ipxact:name> + <ipxact:displayName>Enable Auto Power-Down</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_LPDDR3_AUTO_POWER_DOWN_CYCS" type="int"> + <ipxact:name>CTRL_LPDDR3_AUTO_POWER_DOWN_CYCS</ipxact:name> + <ipxact:displayName>Auto Power-Down Cycles</ipxact:displayName> + <ipxact:value>32</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_LPDDR3_USER_REFRESH_EN" type="bit"> + <ipxact:name>CTRL_LPDDR3_USER_REFRESH_EN</ipxact:name> + <ipxact:displayName>Enable User Refresh Control</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_LPDDR3_USER_PRIORITY_EN" type="bit"> + <ipxact:name>CTRL_LPDDR3_USER_PRIORITY_EN</ipxact:name> + <ipxact:displayName>Enable Command Priority Control</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_LPDDR3_AUTO_PRECHARGE_EN" type="bit"> + <ipxact:name>CTRL_LPDDR3_AUTO_PRECHARGE_EN</ipxact:name> + <ipxact:displayName>Enable Auto-Precharge Control</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_LPDDR3_ADDR_ORDER_ENUM" type="string"> + <ipxact:name>CTRL_LPDDR3_ADDR_ORDER_ENUM</ipxact:name> + <ipxact:displayName>Address Ordering</ipxact:displayName> + <ipxact:value>LPDDR3_CTRL_ADDR_ORDER_CS_R_B_C</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_LPDDR3_REORDER_EN" type="bit"> + <ipxact:name>CTRL_LPDDR3_REORDER_EN</ipxact:name> + <ipxact:displayName>Enable Reordering</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_LPDDR3_STARVE_LIMIT" type="int"> + <ipxact:name>CTRL_LPDDR3_STARVE_LIMIT</ipxact:name> + <ipxact:displayName>Starvation limit for each command</ipxact:displayName> + <ipxact:value>10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_LPDDR3_MMR_EN" type="bit"> + <ipxact:name>CTRL_LPDDR3_MMR_EN</ipxact:name> + <ipxact:displayName>Enable Memory-Mapped Configuration and Status Register (MMR) Interface</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_LPDDR3_RD_TO_WR_SAME_CHIP_DELTA_CYCS" type="int"> + <ipxact:name>CTRL_LPDDR3_RD_TO_WR_SAME_CHIP_DELTA_CYCS</ipxact:name> + <ipxact:displayName>Additional read-to-write turnaround time (same rank)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_LPDDR3_WR_TO_RD_SAME_CHIP_DELTA_CYCS" type="int"> + <ipxact:name>CTRL_LPDDR3_WR_TO_RD_SAME_CHIP_DELTA_CYCS</ipxact:name> + <ipxact:displayName>Additional write-to-read turnaround time (same rank)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_LPDDR3_RD_TO_RD_DIFF_CHIP_DELTA_CYCS" type="int"> + <ipxact:name>CTRL_LPDDR3_RD_TO_RD_DIFF_CHIP_DELTA_CYCS</ipxact:name> + <ipxact:displayName>Additional read-to-read turnaround time (different ranks)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_LPDDR3_RD_TO_WR_DIFF_CHIP_DELTA_CYCS" type="int"> + <ipxact:name>CTRL_LPDDR3_RD_TO_WR_DIFF_CHIP_DELTA_CYCS</ipxact:name> + <ipxact:displayName>Additional read-to-write turnaround time (different ranks)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_LPDDR3_WR_TO_WR_DIFF_CHIP_DELTA_CYCS" type="int"> + <ipxact:name>CTRL_LPDDR3_WR_TO_WR_DIFF_CHIP_DELTA_CYCS</ipxact:name> + <ipxact:displayName>Additional write-to-write turnaround time (different ranks)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_LPDDR3_WR_TO_RD_DIFF_CHIP_DELTA_CYCS" type="int"> + <ipxact:name>CTRL_LPDDR3_WR_TO_RD_DIFF_CHIP_DELTA_CYCS</ipxact:name> + <ipxact:displayName>Additional write-to-read turnaround time (different ranks)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_SIM_REGTEST_MODE" type="bit"> + <ipxact:name>DIAG_SIM_REGTEST_MODE</ipxact:name> + <ipxact:displayName>Simulation regtest mode</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_TIMING_REGTEST_MODE" type="bit"> + <ipxact:name>DIAG_TIMING_REGTEST_MODE</ipxact:name> + <ipxact:displayName>Timing regtest mode</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_SYNTH_FOR_SIM" type="bit"> + <ipxact:name>DIAG_SYNTH_FOR_SIM</ipxact:name> + <ipxact:displayName>Synthesize for simulation</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_FAST_SIM_OVERRIDE" type="string"> + <ipxact:name>DIAG_FAST_SIM_OVERRIDE</ipxact:name> + <ipxact:displayName>Fast simulation override</ipxact:displayName> + <ipxact:value>FAST_SIM_OVERRIDE_DEFAULT</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_SEQ_RESET_AUTO_RELEASE" type="string"> + <ipxact:name>DIAG_SEQ_RESET_AUTO_RELEASE</ipxact:name> + <ipxact:displayName>PARAM_DIAG_SEQ_RESET_AUTO_RELEASE_NAME</ipxact:displayName> + <ipxact:value>avl</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DB_RESET_AUTO_RELEASE" type="string"> + <ipxact:name>DIAG_DB_RESET_AUTO_RELEASE</ipxact:name> + <ipxact:displayName>PARAM_DIAG_DB_RESET_AUTO_RELEASE_NAME</ipxact:displayName> + <ipxact:value>avl_release</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_VERBOSE_IOAUX" type="bit"> + <ipxact:name>DIAG_VERBOSE_IOAUX</ipxact:name> + <ipxact:displayName>Show verbose IOAUX debug messages</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_ECLIPSE_DEBUG" type="bit"> + <ipxact:name>DIAG_ECLIPSE_DEBUG</ipxact:name> + <ipxact:displayName>Enable Eclipse debugging</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_EXPORT_VJI" type="bit"> + <ipxact:name>DIAG_EXPORT_VJI</ipxact:name> + <ipxact:displayName>Export Virtual JTAG Interface (VJI)</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_ENABLE_JTAG_UART" type="bit"> + <ipxact:name>DIAG_ENABLE_JTAG_UART</ipxact:name> + <ipxact:displayName>Enable JTAG UART</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_ENABLE_JTAG_UART_HEX" type="bit"> + <ipxact:name>DIAG_ENABLE_JTAG_UART_HEX</ipxact:name> + <ipxact:displayName>Enable JTAG UART hexfiles</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_ENABLE_HPS_EMIF_DEBUG" type="bit"> + <ipxact:name>DIAG_ENABLE_HPS_EMIF_DEBUG</ipxact:name> + <ipxact:displayName>Enable UART for HPS EMIF Debug</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_SOFT_NIOS_MODE" type="string"> + <ipxact:name>DIAG_SOFT_NIOS_MODE</ipxact:name> + <ipxact:displayName>Use Soft NIOS Processor for On-Chip Debug</ipxact:displayName> + <ipxact:value>SOFT_NIOS_MODE_DISABLED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_SOFT_NIOS_CLOCK_FREQUENCY" type="int"> + <ipxact:name>DIAG_SOFT_NIOS_CLOCK_FREQUENCY</ipxact:name> + <ipxact:displayName>Calibration Processor External Clock Frequency</ipxact:displayName> + <ipxact:value>100</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_USE_RS232_UART" type="bit"> + <ipxact:name>DIAG_USE_RS232_UART</ipxact:name> + <ipxact:displayName>Use an RS232 UART for Soft NIOS Calibration Processor debug output (requires code change)</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_RS232_UART_BAUDRATE" type="int"> + <ipxact:name>DIAG_RS232_UART_BAUDRATE</ipxact:name> + <ipxact:displayName>RS232 UART Speed</ipxact:displayName> + <ipxact:value>57600</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_EX_DESIGN_ADD_TEST_EMIFS" type="string"> + <ipxact:name>DIAG_EX_DESIGN_ADD_TEST_EMIFS</ipxact:name> + <ipxact:displayName>Add extra EMIFs to example design</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_EX_DESIGN_SEPARATE_RESETS" type="bit"> + <ipxact:name>DIAG_EX_DESIGN_SEPARATE_RESETS</ipxact:name> + <ipxact:displayName>Use a separate global reset signal for every interface</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_EXPOSE_DFT_SIGNALS" type="bit"> + <ipxact:name>DIAG_EXPOSE_DFT_SIGNALS</ipxact:name> + <ipxact:displayName>Expose test and debug signals</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_EXTRA_CONFIGS" type="string"> + <ipxact:name>DIAG_EXTRA_CONFIGS</ipxact:name> + <ipxact:displayName>Extra configuration</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_USE_BOARD_DELAY_MODEL" type="bit"> + <ipxact:name>DIAG_USE_BOARD_DELAY_MODEL</ipxact:name> + <ipxact:displayName>Use board delay model during simulation</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_BOARD_DELAY_CONFIG_STR" type="string"> + <ipxact:name>DIAG_BOARD_DELAY_CONFIG_STR</ipxact:name> + <ipxact:displayName>Board delay model configuration</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_TG_AVL_2_NUM_CFG_INTERFACES" type="int"> + <ipxact:name>DIAG_TG_AVL_2_NUM_CFG_INTERFACES</ipxact:name> + <ipxact:displayName>Number of Traffic Generator 2.0 configuration interfaces</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_EXPORT_PLL_REF_CLK_OUT" type="bit"> + <ipxact:name>DIAG_EXPORT_PLL_REF_CLK_OUT</ipxact:name> + <ipxact:displayName>PARAM_DIAG_EXPORT_PLL_REF_CLK_OUT_NAME</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_EXPORT_PLL_LOCKED" type="bit"> + <ipxact:name>DIAG_EXPORT_PLL_LOCKED</ipxact:name> + <ipxact:displayName>Export PLL lock signal</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_HMC_HRC" type="string"> + <ipxact:name>DIAG_HMC_HRC</ipxact:name> + <ipxact:displayName>PARAM_DIAG_HMC_HRC_NAME</ipxact:displayName> + <ipxact:value>auto</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="SHORT_QSYS_INTERFACE_NAMES" type="bit"> + <ipxact:name>SHORT_QSYS_INTERFACE_NAMES</ipxact:name> + <ipxact:displayName>Use short Qsys interface names</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_EXT_DOCS" type="bit"> + <ipxact:name>DIAG_EXT_DOCS</ipxact:name> + <ipxact:displayName>PARAM_DIAG_EXT_DOCS_NAME</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_SIM_CAL_MODE_ENUM" type="string"> + <ipxact:name>DIAG_SIM_CAL_MODE_ENUM</ipxact:name> + <ipxact:displayName>Calibration mode</ipxact:displayName> + <ipxact:value>SIM_CAL_MODE_SKIP</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_EXPORT_SEQ_AVALON_SLAVE" type="string"> + <ipxact:name>DIAG_EXPORT_SEQ_AVALON_SLAVE</ipxact:name> + <ipxact:displayName>Quartus Prime EMIF Debug Toolkit/On-Chip Debug Port</ipxact:displayName> + <ipxact:value>CAL_DEBUG_EXPORT_MODE_JTAG</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_EXPORT_SEQ_AVALON_MASTER" type="bit"> + <ipxact:name>DIAG_EXPORT_SEQ_AVALON_MASTER</ipxact:name> + <ipxact:displayName>Enable Daisy-Chaining for Quartus Prime EMIF Debug Toolkit/On-Chip Debug Port</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_EXPORT_SEQ_AVALON_HEAD_OF_CHAIN" type="bit"> + <ipxact:name>DIAG_EXPORT_SEQ_AVALON_HEAD_OF_CHAIN</ipxact:name> + <ipxact:displayName>First EMIF Instance in the Avalon Chain</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_EX_DESIGN_NUM_OF_SLAVES" type="int"> + <ipxact:name>DIAG_EX_DESIGN_NUM_OF_SLAVES</ipxact:name> + <ipxact:displayName>Number of core clocks sharing slaves to instantiate in the example design</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_EX_DESIGN_ISSP_EN" type="bit"> + <ipxact:name>DIAG_EX_DESIGN_ISSP_EN</ipxact:name> + <ipxact:displayName>Enable In-System-Sources-and-Probes</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_INTERFACE_ID" type="int"> + <ipxact:name>DIAG_INTERFACE_ID</ipxact:name> + <ipxact:displayName>Interface ID</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_EFFICIENCY_MONITOR" type="string"> + <ipxact:name>DIAG_EFFICIENCY_MONITOR</ipxact:name> + <ipxact:displayName>Enable Efficiency Monitor</ipxact:displayName> + <ipxact:value>EFFMON_MODE_DISABLED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_USE_ABSTRACT_PHY" type="bit"> + <ipxact:name>DIAG_USE_ABSTRACT_PHY</ipxact:name> + <ipxact:displayName>PARAM_DIAG_USE_ABSTRACT_PHY_NAME</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_SIM_MEMORY_PRELOAD" type="bit"> + <ipxact:name>DIAG_SIM_MEMORY_PRELOAD</ipxact:name> + <ipxact:displayName>Preload memory</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_SIM_MEMORY_PRELOAD_PRI_EMIF_FILE" type="string"> + <ipxact:name>DIAG_SIM_MEMORY_PRELOAD_PRI_EMIF_FILE</ipxact:name> + <ipxact:displayName>Memory preload-data filename for primary interface</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_SIM_MEMORY_PRELOAD_PRI_ECC_FILE" type="string"> + <ipxact:name>DIAG_SIM_MEMORY_PRELOAD_PRI_ECC_FILE</ipxact:name> + <ipxact:displayName>PARAM_DIAG_SIM_MEMORY_PRELOAD_PRI_ECC_FILE_NAME</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_SIM_MEMORY_PRELOAD_PRI_MEM_FILE" type="string"> + <ipxact:name>DIAG_SIM_MEMORY_PRELOAD_PRI_MEM_FILE</ipxact:name> + <ipxact:displayName>PARAM_DIAG_SIM_MEMORY_PRELOAD_PRI_MEM_FILE_NAME</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_SIM_MEMORY_PRELOAD_PRI_ABPHY_FILE" type="string"> + <ipxact:name>DIAG_SIM_MEMORY_PRELOAD_PRI_ABPHY_FILE</ipxact:name> + <ipxact:displayName>PARAM_DIAG_SIM_MEMORY_PRELOAD_PRI_ABPHY_FILE_NAME</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_SIM_MEMORY_PRELOAD_SEC_EMIF_FILE" type="string"> + <ipxact:name>DIAG_SIM_MEMORY_PRELOAD_SEC_EMIF_FILE</ipxact:name> + <ipxact:displayName>Memory preload-data filename for secondary interface</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_SIM_MEMORY_PRELOAD_SEC_ECC_FILE" type="string"> + <ipxact:name>DIAG_SIM_MEMORY_PRELOAD_SEC_ECC_FILE</ipxact:name> + <ipxact:displayName>PARAM_DIAG_SIM_MEMORY_PRELOAD_SEC_ECC_FILE_NAME</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_SIM_MEMORY_PRELOAD_SEC_MEM_FILE" type="string"> + <ipxact:name>DIAG_SIM_MEMORY_PRELOAD_SEC_MEM_FILE</ipxact:name> + <ipxact:displayName>PARAM_DIAG_SIM_MEMORY_PRELOAD_SEC_MEM_FILE_NAME</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_SIM_MEMORY_PRELOAD_SEC_ABPHY_FILE" type="string"> + <ipxact:name>DIAG_SIM_MEMORY_PRELOAD_SEC_ABPHY_FILE</ipxact:name> + <ipxact:displayName>PARAM_DIAG_SIM_MEMORY_PRELOAD_SEC_ABPHY_FILE_NAME</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_USE_SIM_MEMORY_VALIDATION_TG" type="bit"> + <ipxact:name>DIAG_USE_SIM_MEMORY_VALIDATION_TG</ipxact:name> + <ipxact:displayName>Use traffic generator to validate memory contents in Example Design simulation</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_SIM_VERBOSE_LEVEL" type="int"> + <ipxact:name>DIAG_SIM_VERBOSE_LEVEL</ipxact:name> + <ipxact:displayName>PARAM_DIAG_SIM_VERBOSE_LEVEL_NAME</ipxact:displayName> + <ipxact:value>5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_FAST_SIM" type="bit"> + <ipxact:name>DIAG_FAST_SIM</ipxact:name> + <ipxact:displayName>PARAM_DIAG_FAST_SIM_NAME</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_USE_TG_AVL_2" type="bit"> + <ipxact:name>DIAG_USE_TG_AVL_2</ipxact:name> + <ipxact:displayName>Use configurable Avalon traffic generator 2.0</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_EXPORT_TG_CFG_AVALON_SLAVE" type="string"> + <ipxact:name>DIAG_EXPORT_TG_CFG_AVALON_SLAVE</ipxact:name> + <ipxact:displayName>TG2 Configuration Interface Mode</ipxact:displayName> + <ipxact:value>TG_CFG_AMM_EXPORT_MODE_EXPORT</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_INFI_TG2_ERR_TEST" type="bit"> + <ipxact:name>DIAG_INFI_TG2_ERR_TEST</ipxact:name> + <ipxact:displayName>Run diagnostic on infinite test duration</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_BYPASS_DEFAULT_PATTERN" type="bit"> + <ipxact:name>DIAG_BYPASS_DEFAULT_PATTERN</ipxact:name> + <ipxact:displayName>Bypass the default traffic pattern</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_BYPASS_USER_STAGE" type="bit"> + <ipxact:name>DIAG_BYPASS_USER_STAGE</ipxact:name> + <ipxact:displayName>Bypass the user-configured traffic stage</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_ENABLE_SOFT_M20K" type="bit"> + <ipxact:name>DIAG_ENABLE_SOFT_M20K</ipxact:name> + <ipxact:displayName>PARAM_DIAG_ENABLE_SOFT_M20K_NAME</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_SIM_CHECKER_SKIP_TG" type="bit"> + <ipxact:name>DIAG_SIM_CHECKER_SKIP_TG</ipxact:name> + <ipxact:displayName>PARAM_DIAG_SIM_CHECKER_SKIP_TG_NAME</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DISABLE_AFI_P2C_REGISTERS" type="bit"> + <ipxact:name>DIAG_DISABLE_AFI_P2C_REGISTERS</ipxact:name> + <ipxact:displayName>Disable P2C Register Stage</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_EX_DESIGN_SEPARATE_RZQS" type="bit"> + <ipxact:name>DIAG_EX_DESIGN_SEPARATE_RZQS</ipxact:name> + <ipxact:displayName>PARAM_DIAG_EX_DESIGN_SEPARATE_RZQS_NAME</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR3_SIM_CAL_MODE_ENUM" type="string"> + <ipxact:name>DIAG_DDR3_SIM_CAL_MODE_ENUM</ipxact:name> + <ipxact:displayName>Calibration mode</ipxact:displayName> + <ipxact:value>SIM_CAL_MODE_SKIP</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR3_EXPORT_SEQ_AVALON_SLAVE" type="string"> + <ipxact:name>DIAG_DDR3_EXPORT_SEQ_AVALON_SLAVE</ipxact:name> + <ipxact:displayName>Quartus Prime EMIF Debug Toolkit/On-Chip Debug Port</ipxact:displayName> + <ipxact:value>CAL_DEBUG_EXPORT_MODE_DISABLED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR3_EXPORT_SEQ_AVALON_MASTER" type="bit"> + <ipxact:name>DIAG_DDR3_EXPORT_SEQ_AVALON_MASTER</ipxact:name> + <ipxact:displayName>Enable Daisy-Chaining for Quartus Prime EMIF Debug Toolkit/On-Chip Debug Port</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR3_EXPORT_SEQ_AVALON_HEAD_OF_CHAIN" type="bit"> + <ipxact:name>DIAG_DDR3_EXPORT_SEQ_AVALON_HEAD_OF_CHAIN</ipxact:name> + <ipxact:displayName>First EMIF Instance in the Avalon Chain</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR3_EX_DESIGN_NUM_OF_SLAVES" type="int"> + <ipxact:name>DIAG_DDR3_EX_DESIGN_NUM_OF_SLAVES</ipxact:name> + <ipxact:displayName>Number of core clocks sharing slaves to instantiate in the example design</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR3_EX_DESIGN_ISSP_EN" type="bit"> + <ipxact:name>DIAG_DDR3_EX_DESIGN_ISSP_EN</ipxact:name> + <ipxact:displayName>Enable In-System-Sources-and-Probes</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR3_INTERFACE_ID" type="int"> + <ipxact:name>DIAG_DDR3_INTERFACE_ID</ipxact:name> + <ipxact:displayName>Interface ID</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR3_EFFICIENCY_MONITOR" type="string"> + <ipxact:name>DIAG_DDR3_EFFICIENCY_MONITOR</ipxact:name> + <ipxact:displayName>Enable Efficiency Monitor</ipxact:displayName> + <ipxact:value>EFFMON_MODE_DISABLED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR3_SIM_VERBOSE" type="bit"> + <ipxact:name>DIAG_DDR3_SIM_VERBOSE</ipxact:name> + <ipxact:displayName>Show verbose simulation debug messages</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR3_USER_SIM_MEMORY_PRELOAD" type="bit"> + <ipxact:name>DIAG_DDR3_USER_SIM_MEMORY_PRELOAD</ipxact:name> + <ipxact:displayName>Preload memory</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR3_USER_SIM_MEMORY_PRELOAD_PRI_EMIF_FILE" type="string"> + <ipxact:name>DIAG_DDR3_USER_SIM_MEMORY_PRELOAD_PRI_EMIF_FILE</ipxact:name> + <ipxact:displayName>Memory preload-data filename for primary interface</ipxact:displayName> + <ipxact:value>EMIF_PRI_PRELOAD.txt</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR3_USER_SIM_MEMORY_PRELOAD_SEC_EMIF_FILE" type="string"> + <ipxact:name>DIAG_DDR3_USER_SIM_MEMORY_PRELOAD_SEC_EMIF_FILE</ipxact:name> + <ipxact:displayName>Memory preload-data filename for secondary interface</ipxact:displayName> + <ipxact:value>EMIF_SEC_PRELOAD.txt</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR3_USER_USE_SIM_MEMORY_VALIDATION_TG" type="bit"> + <ipxact:name>DIAG_DDR3_USER_USE_SIM_MEMORY_VALIDATION_TG</ipxact:name> + <ipxact:displayName>Use traffic generator to validate memory contents in Example Design simulation</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR3_USE_TG_AVL_2" type="bit"> + <ipxact:name>DIAG_DDR3_USE_TG_AVL_2</ipxact:name> + <ipxact:displayName>Use configurable Avalon traffic generator 2.0</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR3_ABSTRACT_PHY" type="bit"> + <ipxact:name>DIAG_DDR3_ABSTRACT_PHY</ipxact:name> + <ipxact:displayName>Abstract phy for fast simulation </ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR3_BYPASS_DEFAULT_PATTERN" type="bit"> + <ipxact:name>DIAG_DDR3_BYPASS_DEFAULT_PATTERN</ipxact:name> + <ipxact:displayName>Bypass the default traffic pattern</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR3_BYPASS_USER_STAGE" type="bit"> + <ipxact:name>DIAG_DDR3_BYPASS_USER_STAGE</ipxact:name> + <ipxact:displayName>Bypass the user-configured traffic stage</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR3_EXPORT_TG_CFG_AVALON_SLAVE" type="string"> + <ipxact:name>DIAG_DDR3_EXPORT_TG_CFG_AVALON_SLAVE</ipxact:name> + <ipxact:displayName>TG2 Configuration Interface Mode</ipxact:displayName> + <ipxact:value>TG_CFG_AMM_EXPORT_MODE_EXPORT</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR3_INFI_TG2_ERR_TEST" type="bit"> + <ipxact:name>DIAG_DDR3_INFI_TG2_ERR_TEST</ipxact:name> + <ipxact:displayName>Run diagnostic on infinite test duration</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR3_SEPARATE_READ_WRITE_ITFS" type="bit"> + <ipxact:name>DIAG_DDR3_SEPARATE_READ_WRITE_ITFS</ipxact:name> + <ipxact:displayName>PARAM_DIAG_SEPARATE_READ_WRITE_ITFS_NAME</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR3_DISABLE_AFI_P2C_REGISTERS" type="bit"> + <ipxact:name>DIAG_DDR3_DISABLE_AFI_P2C_REGISTERS</ipxact:name> + <ipxact:displayName>Disable P2C Register Stage</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR3_SIM_MEMORY_PRELOAD" type="bit"> + <ipxact:name>DIAG_DDR3_SIM_MEMORY_PRELOAD</ipxact:name> + <ipxact:displayName>Preload memory</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR3_SIM_MEMORY_PRELOAD_PRI_EMIF_FILE" type="string"> + <ipxact:name>DIAG_DDR3_SIM_MEMORY_PRELOAD_PRI_EMIF_FILE</ipxact:name> + <ipxact:displayName>Memory preload-data filename for primary interface</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR3_SIM_MEMORY_PRELOAD_SEC_EMIF_FILE" type="string"> + <ipxact:name>DIAG_DDR3_SIM_MEMORY_PRELOAD_SEC_EMIF_FILE</ipxact:name> + <ipxact:displayName>Memory preload-data filename for secondary interface</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR3_USE_SIM_MEMORY_VALIDATION_TG" type="bit"> + <ipxact:name>DIAG_DDR3_USE_SIM_MEMORY_VALIDATION_TG</ipxact:name> + <ipxact:displayName>Use traffic generator to validate memory contents in Example Design simulation</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR3_EX_DESIGN_SEPARATE_RZQS" type="bit"> + <ipxact:name>DIAG_DDR3_EX_DESIGN_SEPARATE_RZQS</ipxact:name> + <ipxact:displayName>PARAM_DIAG_DDR3_EX_DESIGN_SEPARATE_RZQS_NAME</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR3_CA_LEVEL_EN" type="bit"> + <ipxact:name>DIAG_DDR3_CA_LEVEL_EN</ipxact:name> + <ipxact:displayName>Enable address/command leveling calibration</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR3_CA_DESKEW_EN" type="bit"> + <ipxact:name>DIAG_DDR3_CA_DESKEW_EN</ipxact:name> + <ipxact:displayName>Enable address/command deskew calibration</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR3_CAL_ADDR0" type="int"> + <ipxact:name>DIAG_DDR3_CAL_ADDR0</ipxact:name> + <ipxact:displayName>Calibration address 0</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR3_CAL_ADDR1" type="int"> + <ipxact:name>DIAG_DDR3_CAL_ADDR1</ipxact:name> + <ipxact:displayName>Calibration address 1</ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR3_CAL_ENABLE_NON_DES" type="bit"> + <ipxact:name>DIAG_DDR3_CAL_ENABLE_NON_DES</ipxact:name> + <ipxact:displayName>Enable refreshes during calibration</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR3_CAL_FULL_CAL_ON_RESET" type="bit"> + <ipxact:name>DIAG_DDR3_CAL_FULL_CAL_ON_RESET</ipxact:name> + <ipxact:displayName>Enable automatic calibration after reset</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR3_CAL_ENABLE_MICRON_AP" type="bit"> + <ipxact:name>DIAG_DDR3_CAL_ENABLE_MICRON_AP</ipxact:name> + <ipxact:displayName>Enable Micron Automata Calibration</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR4_SIM_CAL_MODE_ENUM" type="string"> + <ipxact:name>DIAG_DDR4_SIM_CAL_MODE_ENUM</ipxact:name> + <ipxact:displayName>Calibration mode</ipxact:displayName> + <ipxact:value>SIM_CAL_MODE_SKIP</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR4_EXPORT_SEQ_AVALON_SLAVE" type="string"> + <ipxact:name>DIAG_DDR4_EXPORT_SEQ_AVALON_SLAVE</ipxact:name> + <ipxact:displayName>Quartus Prime EMIF Debug Toolkit/On-Chip Debug Port</ipxact:displayName> + <ipxact:value>CAL_DEBUG_EXPORT_MODE_JTAG</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR4_EXPORT_SEQ_AVALON_MASTER" type="bit"> + <ipxact:name>DIAG_DDR4_EXPORT_SEQ_AVALON_MASTER</ipxact:name> + <ipxact:displayName>Enable Daisy-Chaining for Quartus Prime EMIF Debug Toolkit/On-Chip Debug Port</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR4_EXPORT_SEQ_AVALON_HEAD_OF_CHAIN" type="bit"> + <ipxact:name>DIAG_DDR4_EXPORT_SEQ_AVALON_HEAD_OF_CHAIN</ipxact:name> + <ipxact:displayName>First EMIF Instance in the Avalon Chain</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR4_EX_DESIGN_NUM_OF_SLAVES" type="int"> + <ipxact:name>DIAG_DDR4_EX_DESIGN_NUM_OF_SLAVES</ipxact:name> + <ipxact:displayName>Number of core clocks sharing slaves to instantiate in the example design</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR4_EX_DESIGN_ISSP_EN" type="bit"> + <ipxact:name>DIAG_DDR4_EX_DESIGN_ISSP_EN</ipxact:name> + <ipxact:displayName>Enable In-System-Sources-and-Probes</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR4_INTERFACE_ID" type="int"> + <ipxact:name>DIAG_DDR4_INTERFACE_ID</ipxact:name> + <ipxact:displayName>Interface ID</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR4_EFFICIENCY_MONITOR" type="string"> + <ipxact:name>DIAG_DDR4_EFFICIENCY_MONITOR</ipxact:name> + <ipxact:displayName>Enable Efficiency Monitor</ipxact:displayName> + <ipxact:value>EFFMON_MODE_DISABLED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR4_SIM_VERBOSE" type="bit"> + <ipxact:name>DIAG_DDR4_SIM_VERBOSE</ipxact:name> + <ipxact:displayName>Show verbose simulation debug messages</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR4_USER_SIM_MEMORY_PRELOAD" type="bit"> + <ipxact:name>DIAG_DDR4_USER_SIM_MEMORY_PRELOAD</ipxact:name> + <ipxact:displayName>Preload memory</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR4_USER_SIM_MEMORY_PRELOAD_PRI_EMIF_FILE" type="string"> + <ipxact:name>DIAG_DDR4_USER_SIM_MEMORY_PRELOAD_PRI_EMIF_FILE</ipxact:name> + <ipxact:displayName>Memory preload-data filename for primary interface</ipxact:displayName> + <ipxact:value>EMIF_PRI_PRELOAD.txt</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR4_USER_SIM_MEMORY_PRELOAD_SEC_EMIF_FILE" type="string"> + <ipxact:name>DIAG_DDR4_USER_SIM_MEMORY_PRELOAD_SEC_EMIF_FILE</ipxact:name> + <ipxact:displayName>Memory preload-data filename for secondary interface</ipxact:displayName> + <ipxact:value>EMIF_SEC_PRELOAD.txt</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR4_USER_USE_SIM_MEMORY_VALIDATION_TG" type="bit"> + <ipxact:name>DIAG_DDR4_USER_USE_SIM_MEMORY_VALIDATION_TG</ipxact:name> + <ipxact:displayName>Use traffic generator to validate memory contents in Example Design simulation</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR4_USE_TG_AVL_2" type="bit"> + <ipxact:name>DIAG_DDR4_USE_TG_AVL_2</ipxact:name> + <ipxact:displayName>Use configurable Avalon traffic generator 2.0</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR4_ABSTRACT_PHY" type="bit"> + <ipxact:name>DIAG_DDR4_ABSTRACT_PHY</ipxact:name> + <ipxact:displayName>Abstract phy for fast simulation </ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR4_BYPASS_DEFAULT_PATTERN" type="bit"> + <ipxact:name>DIAG_DDR4_BYPASS_DEFAULT_PATTERN</ipxact:name> + <ipxact:displayName>Bypass the default traffic pattern</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR4_BYPASS_USER_STAGE" type="bit"> + <ipxact:name>DIAG_DDR4_BYPASS_USER_STAGE</ipxact:name> + <ipxact:displayName>Bypass the user-configured traffic stage</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR4_EXPORT_TG_CFG_AVALON_SLAVE" type="string"> + <ipxact:name>DIAG_DDR4_EXPORT_TG_CFG_AVALON_SLAVE</ipxact:name> + <ipxact:displayName>TG2 Configuration Interface Mode</ipxact:displayName> + <ipxact:value>TG_CFG_AMM_EXPORT_MODE_EXPORT</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR4_INFI_TG2_ERR_TEST" type="bit"> + <ipxact:name>DIAG_DDR4_INFI_TG2_ERR_TEST</ipxact:name> + <ipxact:displayName>Run diagnostic on infinite test duration</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR4_SEPARATE_READ_WRITE_ITFS" type="bit"> + <ipxact:name>DIAG_DDR4_SEPARATE_READ_WRITE_ITFS</ipxact:name> + <ipxact:displayName>PARAM_DIAG_SEPARATE_READ_WRITE_ITFS_NAME</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR4_DISABLE_AFI_P2C_REGISTERS" type="bit"> + <ipxact:name>DIAG_DDR4_DISABLE_AFI_P2C_REGISTERS</ipxact:name> + <ipxact:displayName>Disable P2C Register Stage</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR4_SIM_MEMORY_PRELOAD" type="bit"> + <ipxact:name>DIAG_DDR4_SIM_MEMORY_PRELOAD</ipxact:name> + <ipxact:displayName>Preload memory</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR4_SIM_MEMORY_PRELOAD_PRI_EMIF_FILE" type="string"> + <ipxact:name>DIAG_DDR4_SIM_MEMORY_PRELOAD_PRI_EMIF_FILE</ipxact:name> + <ipxact:displayName>Memory preload-data filename for primary interface</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR4_SIM_MEMORY_PRELOAD_SEC_EMIF_FILE" type="string"> + <ipxact:name>DIAG_DDR4_SIM_MEMORY_PRELOAD_SEC_EMIF_FILE</ipxact:name> + <ipxact:displayName>Memory preload-data filename for secondary interface</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR4_USE_SIM_MEMORY_VALIDATION_TG" type="bit"> + <ipxact:name>DIAG_DDR4_USE_SIM_MEMORY_VALIDATION_TG</ipxact:name> + <ipxact:displayName>Use traffic generator to validate memory contents in Example Design simulation</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR4_EX_DESIGN_SEPARATE_RZQS" type="bit"> + <ipxact:name>DIAG_DDR4_EX_DESIGN_SEPARATE_RZQS</ipxact:name> + <ipxact:displayName>PARAM_DIAG_DDR4_EX_DESIGN_SEPARATE_RZQS_NAME</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR4_SKIP_CA_LEVEL" type="bit"> + <ipxact:name>DIAG_DDR4_SKIP_CA_LEVEL</ipxact:name> + <ipxact:displayName>Skip address/command leveling calibration</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR4_SKIP_CA_DESKEW" type="bit"> + <ipxact:name>DIAG_DDR4_SKIP_CA_DESKEW</ipxact:name> + <ipxact:displayName>Skip address/command deskew calibration</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR4_SKIP_VREF_CAL" type="bit"> + <ipxact:name>DIAG_DDR4_SKIP_VREF_CAL</ipxact:name> + <ipxact:displayName>Skip VREF calibration</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR4_CAL_ADDR0" type="int"> + <ipxact:name>DIAG_DDR4_CAL_ADDR0</ipxact:name> + <ipxact:displayName>Calibration address 0</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR4_CAL_ADDR1" type="int"> + <ipxact:name>DIAG_DDR4_CAL_ADDR1</ipxact:name> + <ipxact:displayName>Calibration address 1</ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR4_CAL_ENABLE_NON_DES" type="bit"> + <ipxact:name>DIAG_DDR4_CAL_ENABLE_NON_DES</ipxact:name> + <ipxact:displayName>Enable refreshes during calibration</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR4_CAL_FULL_CAL_ON_RESET" type="bit"> + <ipxact:name>DIAG_DDR4_CAL_FULL_CAL_ON_RESET</ipxact:name> + <ipxact:displayName>Enable automatic calibration after reset</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_QDR2_SIM_CAL_MODE_ENUM" type="string"> + <ipxact:name>DIAG_QDR2_SIM_CAL_MODE_ENUM</ipxact:name> + <ipxact:displayName>Calibration mode</ipxact:displayName> + <ipxact:value>SIM_CAL_MODE_SKIP</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_QDR2_EXPORT_SEQ_AVALON_SLAVE" type="string"> + <ipxact:name>DIAG_QDR2_EXPORT_SEQ_AVALON_SLAVE</ipxact:name> + <ipxact:displayName>Quartus Prime EMIF Debug Toolkit/On-Chip Debug Port</ipxact:displayName> + <ipxact:value>CAL_DEBUG_EXPORT_MODE_DISABLED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_QDR2_EXPORT_SEQ_AVALON_MASTER" type="bit"> + <ipxact:name>DIAG_QDR2_EXPORT_SEQ_AVALON_MASTER</ipxact:name> + <ipxact:displayName>Enable Daisy-Chaining for Quartus Prime EMIF Debug Toolkit/On-Chip Debug Port</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_QDR2_EXPORT_SEQ_AVALON_HEAD_OF_CHAIN" type="bit"> + <ipxact:name>DIAG_QDR2_EXPORT_SEQ_AVALON_HEAD_OF_CHAIN</ipxact:name> + <ipxact:displayName>First EMIF Instance in the Avalon Chain</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_QDR2_EX_DESIGN_NUM_OF_SLAVES" type="int"> + <ipxact:name>DIAG_QDR2_EX_DESIGN_NUM_OF_SLAVES</ipxact:name> + <ipxact:displayName>Number of core clocks sharing slaves to instantiate in the example design</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_QDR2_EX_DESIGN_ISSP_EN" type="bit"> + <ipxact:name>DIAG_QDR2_EX_DESIGN_ISSP_EN</ipxact:name> + <ipxact:displayName>Enable In-System-Sources-and-Probes</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_QDR2_INTERFACE_ID" type="int"> + <ipxact:name>DIAG_QDR2_INTERFACE_ID</ipxact:name> + <ipxact:displayName>Interface ID</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_QDR2_EFFICIENCY_MONITOR" type="string"> + <ipxact:name>DIAG_QDR2_EFFICIENCY_MONITOR</ipxact:name> + <ipxact:displayName>Enable Efficiency Monitor</ipxact:displayName> + <ipxact:value>EFFMON_MODE_DISABLED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_QDR2_SIM_VERBOSE" type="bit"> + <ipxact:name>DIAG_QDR2_SIM_VERBOSE</ipxact:name> + <ipxact:displayName>Show verbose simulation debug messages</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_QDR2_USER_SIM_MEMORY_PRELOAD" type="bit"> + <ipxact:name>DIAG_QDR2_USER_SIM_MEMORY_PRELOAD</ipxact:name> + <ipxact:displayName>Preload memory</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_QDR2_USER_SIM_MEMORY_PRELOAD_PRI_EMIF_FILE" type="string"> + <ipxact:name>DIAG_QDR2_USER_SIM_MEMORY_PRELOAD_PRI_EMIF_FILE</ipxact:name> + <ipxact:displayName>Memory preload-data filename for primary interface</ipxact:displayName> + <ipxact:value>EMIF_PRI_PRELOAD.txt</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_QDR2_USER_SIM_MEMORY_PRELOAD_SEC_EMIF_FILE" type="string"> + <ipxact:name>DIAG_QDR2_USER_SIM_MEMORY_PRELOAD_SEC_EMIF_FILE</ipxact:name> + <ipxact:displayName>Memory preload-data filename for secondary interface</ipxact:displayName> + <ipxact:value>EMIF_SEC_PRELOAD.txt</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_QDR2_USER_USE_SIM_MEMORY_VALIDATION_TG" type="bit"> + <ipxact:name>DIAG_QDR2_USER_USE_SIM_MEMORY_VALIDATION_TG</ipxact:name> + <ipxact:displayName>Use traffic generator to validate memory contents in Example Design simulation</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_QDR2_USE_TG_AVL_2" type="bit"> + <ipxact:name>DIAG_QDR2_USE_TG_AVL_2</ipxact:name> + <ipxact:displayName>Use configurable Avalon traffic generator 2.0</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_QDR2_ABSTRACT_PHY" type="bit"> + <ipxact:name>DIAG_QDR2_ABSTRACT_PHY</ipxact:name> + <ipxact:displayName>Abstract phy for fast simulation </ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_QDR2_BYPASS_DEFAULT_PATTERN" type="bit"> + <ipxact:name>DIAG_QDR2_BYPASS_DEFAULT_PATTERN</ipxact:name> + <ipxact:displayName>Bypass the default traffic pattern</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_QDR2_BYPASS_USER_STAGE" type="bit"> + <ipxact:name>DIAG_QDR2_BYPASS_USER_STAGE</ipxact:name> + <ipxact:displayName>Bypass the user-configured traffic stage</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_QDR2_EXPORT_TG_CFG_AVALON_SLAVE" type="string"> + <ipxact:name>DIAG_QDR2_EXPORT_TG_CFG_AVALON_SLAVE</ipxact:name> + <ipxact:displayName>TG2 Configuration Interface Mode</ipxact:displayName> + <ipxact:value>TG_CFG_AMM_EXPORT_MODE_EXPORT</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_QDR2_INFI_TG2_ERR_TEST" type="bit"> + <ipxact:name>DIAG_QDR2_INFI_TG2_ERR_TEST</ipxact:name> + <ipxact:displayName>Run diagnostic on infinite test duration</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_QDR2_SEPARATE_READ_WRITE_ITFS" type="bit"> + <ipxact:name>DIAG_QDR2_SEPARATE_READ_WRITE_ITFS</ipxact:name> + <ipxact:displayName>PARAM_DIAG_SEPARATE_READ_WRITE_ITFS_NAME</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_QDR2_DISABLE_AFI_P2C_REGISTERS" type="bit"> + <ipxact:name>DIAG_QDR2_DISABLE_AFI_P2C_REGISTERS</ipxact:name> + <ipxact:displayName>Disable P2C Register Stage</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_QDR2_SIM_MEMORY_PRELOAD" type="bit"> + <ipxact:name>DIAG_QDR2_SIM_MEMORY_PRELOAD</ipxact:name> + <ipxact:displayName>Preload memory</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_QDR2_SIM_MEMORY_PRELOAD_PRI_EMIF_FILE" type="string"> + <ipxact:name>DIAG_QDR2_SIM_MEMORY_PRELOAD_PRI_EMIF_FILE</ipxact:name> + <ipxact:displayName>Memory preload-data filename for primary interface</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_QDR2_SIM_MEMORY_PRELOAD_SEC_EMIF_FILE" type="string"> + <ipxact:name>DIAG_QDR2_SIM_MEMORY_PRELOAD_SEC_EMIF_FILE</ipxact:name> + <ipxact:displayName>Memory preload-data filename for secondary interface</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_QDR2_USE_SIM_MEMORY_VALIDATION_TG" type="bit"> + <ipxact:name>DIAG_QDR2_USE_SIM_MEMORY_VALIDATION_TG</ipxact:name> + <ipxact:displayName>Use traffic generator to validate memory contents in Example Design simulation</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_QDR2_EX_DESIGN_SEPARATE_RZQS" type="bit"> + <ipxact:name>DIAG_QDR2_EX_DESIGN_SEPARATE_RZQS</ipxact:name> + <ipxact:displayName>PARAM_DIAG_QDR2_EX_DESIGN_SEPARATE_RZQS_NAME</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_QDR4_SIM_CAL_MODE_ENUM" type="string"> + <ipxact:name>DIAG_QDR4_SIM_CAL_MODE_ENUM</ipxact:name> + <ipxact:displayName>Calibration mode</ipxact:displayName> + <ipxact:value>SIM_CAL_MODE_SKIP</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_QDR4_EXPORT_SEQ_AVALON_SLAVE" type="string"> + <ipxact:name>DIAG_QDR4_EXPORT_SEQ_AVALON_SLAVE</ipxact:name> + <ipxact:displayName>Quartus Prime EMIF Debug Toolkit/On-Chip Debug Port</ipxact:displayName> + <ipxact:value>CAL_DEBUG_EXPORT_MODE_DISABLED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_QDR4_EXPORT_SEQ_AVALON_MASTER" type="bit"> + <ipxact:name>DIAG_QDR4_EXPORT_SEQ_AVALON_MASTER</ipxact:name> + <ipxact:displayName>Enable Daisy-Chaining for Quartus Prime EMIF Debug Toolkit/On-Chip Debug Port</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_QDR4_EXPORT_SEQ_AVALON_HEAD_OF_CHAIN" type="bit"> + <ipxact:name>DIAG_QDR4_EXPORT_SEQ_AVALON_HEAD_OF_CHAIN</ipxact:name> + <ipxact:displayName>First EMIF Instance in the Avalon Chain</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_QDR4_EX_DESIGN_NUM_OF_SLAVES" type="int"> + <ipxact:name>DIAG_QDR4_EX_DESIGN_NUM_OF_SLAVES</ipxact:name> + <ipxact:displayName>Number of core clocks sharing slaves to instantiate in the example design</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_QDR4_EX_DESIGN_ISSP_EN" type="bit"> + <ipxact:name>DIAG_QDR4_EX_DESIGN_ISSP_EN</ipxact:name> + <ipxact:displayName>Enable In-System-Sources-and-Probes</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_QDR4_INTERFACE_ID" type="int"> + <ipxact:name>DIAG_QDR4_INTERFACE_ID</ipxact:name> + <ipxact:displayName>Interface ID</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_QDR4_EFFICIENCY_MONITOR" type="string"> + <ipxact:name>DIAG_QDR4_EFFICIENCY_MONITOR</ipxact:name> + <ipxact:displayName>Enable Efficiency Monitor</ipxact:displayName> + <ipxact:value>EFFMON_MODE_DISABLED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_QDR4_SIM_VERBOSE" type="bit"> + <ipxact:name>DIAG_QDR4_SIM_VERBOSE</ipxact:name> + <ipxact:displayName>Show verbose simulation debug messages</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_QDR4_USER_SIM_MEMORY_PRELOAD" type="bit"> + <ipxact:name>DIAG_QDR4_USER_SIM_MEMORY_PRELOAD</ipxact:name> + <ipxact:displayName>Preload memory</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_QDR4_USER_SIM_MEMORY_PRELOAD_PRI_EMIF_FILE" type="string"> + <ipxact:name>DIAG_QDR4_USER_SIM_MEMORY_PRELOAD_PRI_EMIF_FILE</ipxact:name> + <ipxact:displayName>Memory preload-data filename for primary interface</ipxact:displayName> + <ipxact:value>EMIF_PRI_PRELOAD.txt</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_QDR4_USER_SIM_MEMORY_PRELOAD_SEC_EMIF_FILE" type="string"> + <ipxact:name>DIAG_QDR4_USER_SIM_MEMORY_PRELOAD_SEC_EMIF_FILE</ipxact:name> + <ipxact:displayName>Memory preload-data filename for secondary interface</ipxact:displayName> + <ipxact:value>EMIF_SEC_PRELOAD.txt</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_QDR4_USER_USE_SIM_MEMORY_VALIDATION_TG" type="bit"> + <ipxact:name>DIAG_QDR4_USER_USE_SIM_MEMORY_VALIDATION_TG</ipxact:name> + <ipxact:displayName>Use traffic generator to validate memory contents in Example Design simulation</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_QDR4_USE_TG_AVL_2" type="bit"> + <ipxact:name>DIAG_QDR4_USE_TG_AVL_2</ipxact:name> + <ipxact:displayName>Use configurable Avalon traffic generator 2.0</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_QDR4_ABSTRACT_PHY" type="bit"> + <ipxact:name>DIAG_QDR4_ABSTRACT_PHY</ipxact:name> + <ipxact:displayName>Abstract phy for fast simulation </ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_QDR4_BYPASS_DEFAULT_PATTERN" type="bit"> + <ipxact:name>DIAG_QDR4_BYPASS_DEFAULT_PATTERN</ipxact:name> + <ipxact:displayName>Bypass the default traffic pattern</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_QDR4_BYPASS_USER_STAGE" type="bit"> + <ipxact:name>DIAG_QDR4_BYPASS_USER_STAGE</ipxact:name> + <ipxact:displayName>Bypass the user-configured traffic stage</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_QDR4_EXPORT_TG_CFG_AVALON_SLAVE" type="string"> + <ipxact:name>DIAG_QDR4_EXPORT_TG_CFG_AVALON_SLAVE</ipxact:name> + <ipxact:displayName>TG2 Configuration Interface Mode</ipxact:displayName> + <ipxact:value>TG_CFG_AMM_EXPORT_MODE_EXPORT</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_QDR4_INFI_TG2_ERR_TEST" type="bit"> + <ipxact:name>DIAG_QDR4_INFI_TG2_ERR_TEST</ipxact:name> + <ipxact:displayName>Run diagnostic on infinite test duration</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_QDR4_SEPARATE_READ_WRITE_ITFS" type="bit"> + <ipxact:name>DIAG_QDR4_SEPARATE_READ_WRITE_ITFS</ipxact:name> + <ipxact:displayName>PARAM_DIAG_SEPARATE_READ_WRITE_ITFS_NAME</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_QDR4_DISABLE_AFI_P2C_REGISTERS" type="bit"> + <ipxact:name>DIAG_QDR4_DISABLE_AFI_P2C_REGISTERS</ipxact:name> + <ipxact:displayName>Disable P2C Register Stage</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_QDR4_SIM_MEMORY_PRELOAD" type="bit"> + <ipxact:name>DIAG_QDR4_SIM_MEMORY_PRELOAD</ipxact:name> + <ipxact:displayName>Preload memory</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_QDR4_SIM_MEMORY_PRELOAD_PRI_EMIF_FILE" type="string"> + <ipxact:name>DIAG_QDR4_SIM_MEMORY_PRELOAD_PRI_EMIF_FILE</ipxact:name> + <ipxact:displayName>Memory preload-data filename for primary interface</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_QDR4_SIM_MEMORY_PRELOAD_SEC_EMIF_FILE" type="string"> + <ipxact:name>DIAG_QDR4_SIM_MEMORY_PRELOAD_SEC_EMIF_FILE</ipxact:name> + <ipxact:displayName>Memory preload-data filename for secondary interface</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_QDR4_USE_SIM_MEMORY_VALIDATION_TG" type="bit"> + <ipxact:name>DIAG_QDR4_USE_SIM_MEMORY_VALIDATION_TG</ipxact:name> + <ipxact:displayName>Use traffic generator to validate memory contents in Example Design simulation</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_QDR4_EX_DESIGN_SEPARATE_RZQS" type="bit"> + <ipxact:name>DIAG_QDR4_EX_DESIGN_SEPARATE_RZQS</ipxact:name> + <ipxact:displayName>PARAM_DIAG_QDR4_EX_DESIGN_SEPARATE_RZQS_NAME</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_QDR4_SKIP_VREF_CAL" type="bit"> + <ipxact:name>DIAG_QDR4_SKIP_VREF_CAL</ipxact:name> + <ipxact:displayName>Skip VREF_in calibration</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_RLD2_SIM_CAL_MODE_ENUM" type="string"> + <ipxact:name>DIAG_RLD2_SIM_CAL_MODE_ENUM</ipxact:name> + <ipxact:displayName>Calibration mode</ipxact:displayName> + <ipxact:value>SIM_CAL_MODE_SKIP</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_RLD2_EXPORT_SEQ_AVALON_SLAVE" type="string"> + <ipxact:name>DIAG_RLD2_EXPORT_SEQ_AVALON_SLAVE</ipxact:name> + <ipxact:displayName>Quartus Prime EMIF Debug Toolkit/On-Chip Debug Port</ipxact:displayName> + <ipxact:value>CAL_DEBUG_EXPORT_MODE_DISABLED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_RLD2_EXPORT_SEQ_AVALON_MASTER" type="bit"> + <ipxact:name>DIAG_RLD2_EXPORT_SEQ_AVALON_MASTER</ipxact:name> + <ipxact:displayName>Enable Daisy-Chaining for Quartus Prime EMIF Debug Toolkit/On-Chip Debug Port</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_RLD2_EXPORT_SEQ_AVALON_HEAD_OF_CHAIN" type="bit"> + <ipxact:name>DIAG_RLD2_EXPORT_SEQ_AVALON_HEAD_OF_CHAIN</ipxact:name> + <ipxact:displayName>First EMIF Instance in the Avalon Chain</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_RLD2_EX_DESIGN_NUM_OF_SLAVES" type="int"> + <ipxact:name>DIAG_RLD2_EX_DESIGN_NUM_OF_SLAVES</ipxact:name> + <ipxact:displayName>Number of core clocks sharing slaves to instantiate in the example design</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_RLD2_EX_DESIGN_ISSP_EN" type="bit"> + <ipxact:name>DIAG_RLD2_EX_DESIGN_ISSP_EN</ipxact:name> + <ipxact:displayName>Enable In-System-Sources-and-Probes</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_RLD2_INTERFACE_ID" type="int"> + <ipxact:name>DIAG_RLD2_INTERFACE_ID</ipxact:name> + <ipxact:displayName>Interface ID</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_RLD2_EFFICIENCY_MONITOR" type="string"> + <ipxact:name>DIAG_RLD2_EFFICIENCY_MONITOR</ipxact:name> + <ipxact:displayName>Enable Efficiency Monitor</ipxact:displayName> + <ipxact:value>EFFMON_MODE_DISABLED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_RLD2_SIM_VERBOSE" type="bit"> + <ipxact:name>DIAG_RLD2_SIM_VERBOSE</ipxact:name> + <ipxact:displayName>Show verbose simulation debug messages</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_RLD2_USER_SIM_MEMORY_PRELOAD" type="bit"> + <ipxact:name>DIAG_RLD2_USER_SIM_MEMORY_PRELOAD</ipxact:name> + <ipxact:displayName>Preload memory</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_RLD2_USER_SIM_MEMORY_PRELOAD_PRI_EMIF_FILE" type="string"> + <ipxact:name>DIAG_RLD2_USER_SIM_MEMORY_PRELOAD_PRI_EMIF_FILE</ipxact:name> + <ipxact:displayName>Memory preload-data filename for primary interface</ipxact:displayName> + <ipxact:value>EMIF_PRI_PRELOAD.txt</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_RLD2_USER_SIM_MEMORY_PRELOAD_SEC_EMIF_FILE" type="string"> + <ipxact:name>DIAG_RLD2_USER_SIM_MEMORY_PRELOAD_SEC_EMIF_FILE</ipxact:name> + <ipxact:displayName>Memory preload-data filename for secondary interface</ipxact:displayName> + <ipxact:value>EMIF_SEC_PRELOAD.txt</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_RLD2_USER_USE_SIM_MEMORY_VALIDATION_TG" type="bit"> + <ipxact:name>DIAG_RLD2_USER_USE_SIM_MEMORY_VALIDATION_TG</ipxact:name> + <ipxact:displayName>Use traffic generator to validate memory contents in Example Design simulation</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_RLD2_USE_TG_AVL_2" type="bit"> + <ipxact:name>DIAG_RLD2_USE_TG_AVL_2</ipxact:name> + <ipxact:displayName>Use configurable Avalon traffic generator 2.0</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_RLD2_ABSTRACT_PHY" type="bit"> + <ipxact:name>DIAG_RLD2_ABSTRACT_PHY</ipxact:name> + <ipxact:displayName>Abstract phy for fast simulation </ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_RLD2_BYPASS_DEFAULT_PATTERN" type="bit"> + <ipxact:name>DIAG_RLD2_BYPASS_DEFAULT_PATTERN</ipxact:name> + <ipxact:displayName>Bypass the default traffic pattern</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_RLD2_BYPASS_USER_STAGE" type="bit"> + <ipxact:name>DIAG_RLD2_BYPASS_USER_STAGE</ipxact:name> + <ipxact:displayName>Bypass the user-configured traffic stage</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_RLD2_EXPORT_TG_CFG_AVALON_SLAVE" type="string"> + <ipxact:name>DIAG_RLD2_EXPORT_TG_CFG_AVALON_SLAVE</ipxact:name> + <ipxact:displayName>TG2 Configuration Interface Mode</ipxact:displayName> + <ipxact:value>TG_CFG_AMM_EXPORT_MODE_EXPORT</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_RLD2_INFI_TG2_ERR_TEST" type="bit"> + <ipxact:name>DIAG_RLD2_INFI_TG2_ERR_TEST</ipxact:name> + <ipxact:displayName>Run diagnostic on infinite test duration</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_RLD2_SEPARATE_READ_WRITE_ITFS" type="bit"> + <ipxact:name>DIAG_RLD2_SEPARATE_READ_WRITE_ITFS</ipxact:name> + <ipxact:displayName>PARAM_DIAG_SEPARATE_READ_WRITE_ITFS_NAME</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_RLD2_DISABLE_AFI_P2C_REGISTERS" type="bit"> + <ipxact:name>DIAG_RLD2_DISABLE_AFI_P2C_REGISTERS</ipxact:name> + <ipxact:displayName>Disable P2C Register Stage</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_RLD2_SIM_MEMORY_PRELOAD" type="bit"> + <ipxact:name>DIAG_RLD2_SIM_MEMORY_PRELOAD</ipxact:name> + <ipxact:displayName>Preload memory</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_RLD2_SIM_MEMORY_PRELOAD_PRI_EMIF_FILE" type="string"> + <ipxact:name>DIAG_RLD2_SIM_MEMORY_PRELOAD_PRI_EMIF_FILE</ipxact:name> + <ipxact:displayName>Memory preload-data filename for primary interface</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_RLD2_SIM_MEMORY_PRELOAD_SEC_EMIF_FILE" type="string"> + <ipxact:name>DIAG_RLD2_SIM_MEMORY_PRELOAD_SEC_EMIF_FILE</ipxact:name> + <ipxact:displayName>Memory preload-data filename for secondary interface</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_RLD2_USE_SIM_MEMORY_VALIDATION_TG" type="bit"> + <ipxact:name>DIAG_RLD2_USE_SIM_MEMORY_VALIDATION_TG</ipxact:name> + <ipxact:displayName>Use traffic generator to validate memory contents in Example Design simulation</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_RLD2_EX_DESIGN_SEPARATE_RZQS" type="bit"> + <ipxact:name>DIAG_RLD2_EX_DESIGN_SEPARATE_RZQS</ipxact:name> + <ipxact:displayName>PARAM_DIAG_RLD2_EX_DESIGN_SEPARATE_RZQS_NAME</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_RLD3_SIM_CAL_MODE_ENUM" type="string"> + <ipxact:name>DIAG_RLD3_SIM_CAL_MODE_ENUM</ipxact:name> + <ipxact:displayName>Calibration mode</ipxact:displayName> + <ipxact:value>SIM_CAL_MODE_SKIP</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_RLD3_EXPORT_SEQ_AVALON_SLAVE" type="string"> + <ipxact:name>DIAG_RLD3_EXPORT_SEQ_AVALON_SLAVE</ipxact:name> + <ipxact:displayName>Quartus Prime EMIF Debug Toolkit/On-Chip Debug Port</ipxact:displayName> + <ipxact:value>CAL_DEBUG_EXPORT_MODE_DISABLED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_RLD3_EXPORT_SEQ_AVALON_MASTER" type="bit"> + <ipxact:name>DIAG_RLD3_EXPORT_SEQ_AVALON_MASTER</ipxact:name> + <ipxact:displayName>Enable Daisy-Chaining for Quartus Prime EMIF Debug Toolkit/On-Chip Debug Port</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_RLD3_EXPORT_SEQ_AVALON_HEAD_OF_CHAIN" type="bit"> + <ipxact:name>DIAG_RLD3_EXPORT_SEQ_AVALON_HEAD_OF_CHAIN</ipxact:name> + <ipxact:displayName>First EMIF Instance in the Avalon Chain</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_RLD3_EX_DESIGN_NUM_OF_SLAVES" type="int"> + <ipxact:name>DIAG_RLD3_EX_DESIGN_NUM_OF_SLAVES</ipxact:name> + <ipxact:displayName>Number of core clocks sharing slaves to instantiate in the example design</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_RLD3_EX_DESIGN_ISSP_EN" type="bit"> + <ipxact:name>DIAG_RLD3_EX_DESIGN_ISSP_EN</ipxact:name> + <ipxact:displayName>Enable In-System-Sources-and-Probes</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_RLD3_INTERFACE_ID" type="int"> + <ipxact:name>DIAG_RLD3_INTERFACE_ID</ipxact:name> + <ipxact:displayName>Interface ID</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_RLD3_EFFICIENCY_MONITOR" type="string"> + <ipxact:name>DIAG_RLD3_EFFICIENCY_MONITOR</ipxact:name> + <ipxact:displayName>Enable Efficiency Monitor</ipxact:displayName> + <ipxact:value>EFFMON_MODE_DISABLED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_RLD3_SIM_VERBOSE" type="bit"> + <ipxact:name>DIAG_RLD3_SIM_VERBOSE</ipxact:name> + <ipxact:displayName>Show verbose simulation debug messages</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_RLD3_USER_SIM_MEMORY_PRELOAD" type="bit"> + <ipxact:name>DIAG_RLD3_USER_SIM_MEMORY_PRELOAD</ipxact:name> + <ipxact:displayName>Preload memory</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_RLD3_USER_SIM_MEMORY_PRELOAD_PRI_EMIF_FILE" type="string"> + <ipxact:name>DIAG_RLD3_USER_SIM_MEMORY_PRELOAD_PRI_EMIF_FILE</ipxact:name> + <ipxact:displayName>Memory preload-data filename for primary interface</ipxact:displayName> + <ipxact:value>EMIF_PRI_PRELOAD.txt</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_RLD3_USER_SIM_MEMORY_PRELOAD_SEC_EMIF_FILE" type="string"> + <ipxact:name>DIAG_RLD3_USER_SIM_MEMORY_PRELOAD_SEC_EMIF_FILE</ipxact:name> + <ipxact:displayName>Memory preload-data filename for secondary interface</ipxact:displayName> + <ipxact:value>EMIF_SEC_PRELOAD.txt</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_RLD3_USER_USE_SIM_MEMORY_VALIDATION_TG" type="bit"> + <ipxact:name>DIAG_RLD3_USER_USE_SIM_MEMORY_VALIDATION_TG</ipxact:name> + <ipxact:displayName>Use traffic generator to validate memory contents in Example Design simulation</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_RLD3_USE_TG_AVL_2" type="bit"> + <ipxact:name>DIAG_RLD3_USE_TG_AVL_2</ipxact:name> + <ipxact:displayName>Use configurable Avalon traffic generator 2.0</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_RLD3_ABSTRACT_PHY" type="bit"> + <ipxact:name>DIAG_RLD3_ABSTRACT_PHY</ipxact:name> + <ipxact:displayName>Abstract phy for fast simulation </ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_RLD3_BYPASS_DEFAULT_PATTERN" type="bit"> + <ipxact:name>DIAG_RLD3_BYPASS_DEFAULT_PATTERN</ipxact:name> + <ipxact:displayName>Bypass the default traffic pattern</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_RLD3_BYPASS_USER_STAGE" type="bit"> + <ipxact:name>DIAG_RLD3_BYPASS_USER_STAGE</ipxact:name> + <ipxact:displayName>Bypass the user-configured traffic stage</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_RLD3_EXPORT_TG_CFG_AVALON_SLAVE" type="string"> + <ipxact:name>DIAG_RLD3_EXPORT_TG_CFG_AVALON_SLAVE</ipxact:name> + <ipxact:displayName>TG2 Configuration Interface Mode</ipxact:displayName> + <ipxact:value>TG_CFG_AMM_EXPORT_MODE_EXPORT</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_RLD3_INFI_TG2_ERR_TEST" type="bit"> + <ipxact:name>DIAG_RLD3_INFI_TG2_ERR_TEST</ipxact:name> + <ipxact:displayName>Run diagnostic on infinite test duration</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_RLD3_SEPARATE_READ_WRITE_ITFS" type="bit"> + <ipxact:name>DIAG_RLD3_SEPARATE_READ_WRITE_ITFS</ipxact:name> + <ipxact:displayName>PARAM_DIAG_SEPARATE_READ_WRITE_ITFS_NAME</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_RLD3_DISABLE_AFI_P2C_REGISTERS" type="bit"> + <ipxact:name>DIAG_RLD3_DISABLE_AFI_P2C_REGISTERS</ipxact:name> + <ipxact:displayName>Disable P2C Register Stage</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_RLD3_SIM_MEMORY_PRELOAD" type="bit"> + <ipxact:name>DIAG_RLD3_SIM_MEMORY_PRELOAD</ipxact:name> + <ipxact:displayName>Preload memory</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_RLD3_SIM_MEMORY_PRELOAD_PRI_EMIF_FILE" type="string"> + <ipxact:name>DIAG_RLD3_SIM_MEMORY_PRELOAD_PRI_EMIF_FILE</ipxact:name> + <ipxact:displayName>Memory preload-data filename for primary interface</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_RLD3_SIM_MEMORY_PRELOAD_SEC_EMIF_FILE" type="string"> + <ipxact:name>DIAG_RLD3_SIM_MEMORY_PRELOAD_SEC_EMIF_FILE</ipxact:name> + <ipxact:displayName>Memory preload-data filename for secondary interface</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_RLD3_USE_SIM_MEMORY_VALIDATION_TG" type="bit"> + <ipxact:name>DIAG_RLD3_USE_SIM_MEMORY_VALIDATION_TG</ipxact:name> + <ipxact:displayName>Use traffic generator to validate memory contents in Example Design simulation</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_RLD3_EX_DESIGN_SEPARATE_RZQS" type="bit"> + <ipxact:name>DIAG_RLD3_EX_DESIGN_SEPARATE_RZQS</ipxact:name> + <ipxact:displayName>PARAM_DIAG_RLD3_EX_DESIGN_SEPARATE_RZQS_NAME</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_RLD3_CA_LEVEL_EN" type="bit"> + <ipxact:name>DIAG_RLD3_CA_LEVEL_EN</ipxact:name> + <ipxact:displayName>Enable address/command leveling calibration</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_RLD3_CA_DESKEW_EN" type="bit"> + <ipxact:name>DIAG_RLD3_CA_DESKEW_EN</ipxact:name> + <ipxact:displayName>Enable address/command deskew calibration</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_LPDDR3_SIM_CAL_MODE_ENUM" type="string"> + <ipxact:name>DIAG_LPDDR3_SIM_CAL_MODE_ENUM</ipxact:name> + <ipxact:displayName>Calibration mode</ipxact:displayName> + <ipxact:value>SIM_CAL_MODE_SKIP</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_LPDDR3_EXPORT_SEQ_AVALON_SLAVE" type="string"> + <ipxact:name>DIAG_LPDDR3_EXPORT_SEQ_AVALON_SLAVE</ipxact:name> + <ipxact:displayName>Quartus Prime EMIF Debug Toolkit/On-Chip Debug Port</ipxact:displayName> + <ipxact:value>CAL_DEBUG_EXPORT_MODE_DISABLED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_LPDDR3_EXPORT_SEQ_AVALON_MASTER" type="bit"> + <ipxact:name>DIAG_LPDDR3_EXPORT_SEQ_AVALON_MASTER</ipxact:name> + <ipxact:displayName>Enable Daisy-Chaining for Quartus Prime EMIF Debug Toolkit/On-Chip Debug Port</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_LPDDR3_EXPORT_SEQ_AVALON_HEAD_OF_CHAIN" type="bit"> + <ipxact:name>DIAG_LPDDR3_EXPORT_SEQ_AVALON_HEAD_OF_CHAIN</ipxact:name> + <ipxact:displayName>First EMIF Instance in the Avalon Chain</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_LPDDR3_EX_DESIGN_NUM_OF_SLAVES" type="int"> + <ipxact:name>DIAG_LPDDR3_EX_DESIGN_NUM_OF_SLAVES</ipxact:name> + <ipxact:displayName>Number of core clocks sharing slaves to instantiate in the example design</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_LPDDR3_EX_DESIGN_ISSP_EN" type="bit"> + <ipxact:name>DIAG_LPDDR3_EX_DESIGN_ISSP_EN</ipxact:name> + <ipxact:displayName>Enable In-System-Sources-and-Probes</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_LPDDR3_INTERFACE_ID" type="int"> + <ipxact:name>DIAG_LPDDR3_INTERFACE_ID</ipxact:name> + <ipxact:displayName>Interface ID</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_LPDDR3_EFFICIENCY_MONITOR" type="string"> + <ipxact:name>DIAG_LPDDR3_EFFICIENCY_MONITOR</ipxact:name> + <ipxact:displayName>Enable Efficiency Monitor</ipxact:displayName> + <ipxact:value>EFFMON_MODE_DISABLED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_LPDDR3_SIM_VERBOSE" type="bit"> + <ipxact:name>DIAG_LPDDR3_SIM_VERBOSE</ipxact:name> + <ipxact:displayName>Show verbose simulation debug messages</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_LPDDR3_USER_SIM_MEMORY_PRELOAD" type="bit"> + <ipxact:name>DIAG_LPDDR3_USER_SIM_MEMORY_PRELOAD</ipxact:name> + <ipxact:displayName>Preload memory</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_LPDDR3_USER_SIM_MEMORY_PRELOAD_PRI_EMIF_FILE" type="string"> + <ipxact:name>DIAG_LPDDR3_USER_SIM_MEMORY_PRELOAD_PRI_EMIF_FILE</ipxact:name> + <ipxact:displayName>Memory preload-data filename for primary interface</ipxact:displayName> + <ipxact:value>EMIF_PRI_PRELOAD.txt</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_LPDDR3_USER_SIM_MEMORY_PRELOAD_SEC_EMIF_FILE" type="string"> + <ipxact:name>DIAG_LPDDR3_USER_SIM_MEMORY_PRELOAD_SEC_EMIF_FILE</ipxact:name> + <ipxact:displayName>Memory preload-data filename for secondary interface</ipxact:displayName> + <ipxact:value>EMIF_SEC_PRELOAD.txt</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_LPDDR3_USER_USE_SIM_MEMORY_VALIDATION_TG" type="bit"> + <ipxact:name>DIAG_LPDDR3_USER_USE_SIM_MEMORY_VALIDATION_TG</ipxact:name> + <ipxact:displayName>Use traffic generator to validate memory contents in Example Design simulation</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_LPDDR3_USE_TG_AVL_2" type="bit"> + <ipxact:name>DIAG_LPDDR3_USE_TG_AVL_2</ipxact:name> + <ipxact:displayName>Use configurable Avalon traffic generator 2.0</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_LPDDR3_ABSTRACT_PHY" type="bit"> + <ipxact:name>DIAG_LPDDR3_ABSTRACT_PHY</ipxact:name> + <ipxact:displayName>Abstract phy for fast simulation </ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_LPDDR3_BYPASS_DEFAULT_PATTERN" type="bit"> + <ipxact:name>DIAG_LPDDR3_BYPASS_DEFAULT_PATTERN</ipxact:name> + <ipxact:displayName>Bypass the default traffic pattern</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_LPDDR3_BYPASS_USER_STAGE" type="bit"> + <ipxact:name>DIAG_LPDDR3_BYPASS_USER_STAGE</ipxact:name> + <ipxact:displayName>Bypass the user-configured traffic stage</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_LPDDR3_EXPORT_TG_CFG_AVALON_SLAVE" type="string"> + <ipxact:name>DIAG_LPDDR3_EXPORT_TG_CFG_AVALON_SLAVE</ipxact:name> + <ipxact:displayName>TG2 Configuration Interface Mode</ipxact:displayName> + <ipxact:value>TG_CFG_AMM_EXPORT_MODE_EXPORT</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_LPDDR3_INFI_TG2_ERR_TEST" type="bit"> + <ipxact:name>DIAG_LPDDR3_INFI_TG2_ERR_TEST</ipxact:name> + <ipxact:displayName>Run diagnostic on infinite test duration</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_LPDDR3_SEPARATE_READ_WRITE_ITFS" type="bit"> + <ipxact:name>DIAG_LPDDR3_SEPARATE_READ_WRITE_ITFS</ipxact:name> + <ipxact:displayName>PARAM_DIAG_SEPARATE_READ_WRITE_ITFS_NAME</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_LPDDR3_DISABLE_AFI_P2C_REGISTERS" type="bit"> + <ipxact:name>DIAG_LPDDR3_DISABLE_AFI_P2C_REGISTERS</ipxact:name> + <ipxact:displayName>Disable P2C Register Stage</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_LPDDR3_SIM_MEMORY_PRELOAD" type="bit"> + <ipxact:name>DIAG_LPDDR3_SIM_MEMORY_PRELOAD</ipxact:name> + <ipxact:displayName>Preload memory</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_LPDDR3_SIM_MEMORY_PRELOAD_PRI_EMIF_FILE" type="string"> + <ipxact:name>DIAG_LPDDR3_SIM_MEMORY_PRELOAD_PRI_EMIF_FILE</ipxact:name> + <ipxact:displayName>Memory preload-data filename for primary interface</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_LPDDR3_SIM_MEMORY_PRELOAD_SEC_EMIF_FILE" type="string"> + <ipxact:name>DIAG_LPDDR3_SIM_MEMORY_PRELOAD_SEC_EMIF_FILE</ipxact:name> + <ipxact:displayName>Memory preload-data filename for secondary interface</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_LPDDR3_USE_SIM_MEMORY_VALIDATION_TG" type="bit"> + <ipxact:name>DIAG_LPDDR3_USE_SIM_MEMORY_VALIDATION_TG</ipxact:name> + <ipxact:displayName>Use traffic generator to validate memory contents in Example Design simulation</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_LPDDR3_EX_DESIGN_SEPARATE_RZQS" type="bit"> + <ipxact:name>DIAG_LPDDR3_EX_DESIGN_SEPARATE_RZQS</ipxact:name> + <ipxact:displayName>PARAM_DIAG_LPDDR3_EX_DESIGN_SEPARATE_RZQS_NAME</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_LPDDR3_SKIP_CA_LEVEL" type="bit"> + <ipxact:name>DIAG_LPDDR3_SKIP_CA_LEVEL</ipxact:name> + <ipxact:displayName>Skip address/command leveling calibration</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_LPDDR3_SKIP_CA_DESKEW" type="bit"> + <ipxact:name>DIAG_LPDDR3_SKIP_CA_DESKEW</ipxact:name> + <ipxact:displayName>Skip address/command deskew calibration</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="EX_DESIGN_GUI_GEN_SIM" type="bit"> + <ipxact:name>EX_DESIGN_GUI_GEN_SIM</ipxact:name> + <ipxact:displayName>PARAM_EX_DESIGN_GUI_GEN_SIM_NAME</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="EX_DESIGN_GUI_GEN_SYNTH" type="bit"> + <ipxact:name>EX_DESIGN_GUI_GEN_SYNTH</ipxact:name> + <ipxact:displayName>PARAM_EX_DESIGN_GUI_GEN_SYNTH_NAME</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="EX_DESIGN_GUI_TARGET_DEV_KIT" type="string"> + <ipxact:name>EX_DESIGN_GUI_TARGET_DEV_KIT</ipxact:name> + <ipxact:displayName>PARAM_EX_DESIGN_GUI_TARGET_DEV_KIT_NAME</ipxact:displayName> + <ipxact:value>TARGET_DEV_KIT_NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="EX_DESIGN_GUI_PREV_PRESET" type="string"> + <ipxact:name>EX_DESIGN_GUI_PREV_PRESET</ipxact:name> + <ipxact:displayName>PARAM_EX_DESIGN_GUI_PREV_PRESET_NAME</ipxact:displayName> + <ipxact:value>TARGET_DEV_KIT_NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="EX_DESIGN_GUI_DDR3_SEL_DESIGN" type="string"> + <ipxact:name>EX_DESIGN_GUI_DDR3_SEL_DESIGN</ipxact:name> + <ipxact:displayName>Select design</ipxact:displayName> + <ipxact:value>AVAIL_EX_DESIGNS_GEN_DESIGN</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="EX_DESIGN_GUI_DDR3_GEN_SIM" type="bit"> + <ipxact:name>EX_DESIGN_GUI_DDR3_GEN_SIM</ipxact:name> + <ipxact:displayName>Simulation</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="EX_DESIGN_GUI_DDR3_GEN_SYNTH" type="bit"> + <ipxact:name>EX_DESIGN_GUI_DDR3_GEN_SYNTH</ipxact:name> + <ipxact:displayName>Synthesis</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="EX_DESIGN_GUI_DDR3_HDL_FORMAT" type="string"> + <ipxact:name>EX_DESIGN_GUI_DDR3_HDL_FORMAT</ipxact:name> + <ipxact:displayName>Simulation HDL format</ipxact:displayName> + <ipxact:value>HDL_FORMAT_VERILOG</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="EX_DESIGN_GUI_DDR3_TARGET_DEV_KIT" type="string"> + <ipxact:name>EX_DESIGN_GUI_DDR3_TARGET_DEV_KIT</ipxact:name> + <ipxact:displayName>Select board</ipxact:displayName> + <ipxact:value>TARGET_DEV_KIT_NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="EX_DESIGN_GUI_DDR3_PREV_PRESET" type="string"> + <ipxact:name>EX_DESIGN_GUI_DDR3_PREV_PRESET</ipxact:name> + <ipxact:displayName>PARAM_EX_DESIGN_PREV_PRESET_NAME</ipxact:displayName> + <ipxact:value>TARGET_DEV_KIT_NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="EX_DESIGN_GUI_DDR4_SEL_DESIGN" type="string"> + <ipxact:name>EX_DESIGN_GUI_DDR4_SEL_DESIGN</ipxact:name> + <ipxact:displayName>Select design</ipxact:displayName> + <ipxact:value>AVAIL_EX_DESIGNS_GEN_DESIGN</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="EX_DESIGN_GUI_DDR4_GEN_SIM" type="bit"> + <ipxact:name>EX_DESIGN_GUI_DDR4_GEN_SIM</ipxact:name> + <ipxact:displayName>Simulation</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="EX_DESIGN_GUI_DDR4_GEN_SYNTH" type="bit"> + <ipxact:name>EX_DESIGN_GUI_DDR4_GEN_SYNTH</ipxact:name> + <ipxact:displayName>Synthesis</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="EX_DESIGN_GUI_DDR4_HDL_FORMAT" type="string"> + <ipxact:name>EX_DESIGN_GUI_DDR4_HDL_FORMAT</ipxact:name> + <ipxact:displayName>Simulation HDL format</ipxact:displayName> + <ipxact:value>HDL_FORMAT_VERILOG</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="EX_DESIGN_GUI_DDR4_TARGET_DEV_KIT" type="string"> + <ipxact:name>EX_DESIGN_GUI_DDR4_TARGET_DEV_KIT</ipxact:name> + <ipxact:displayName>Select board</ipxact:displayName> + <ipxact:value>TARGET_DEV_KIT_NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="EX_DESIGN_GUI_DDR4_PREV_PRESET" type="string"> + <ipxact:name>EX_DESIGN_GUI_DDR4_PREV_PRESET</ipxact:name> + <ipxact:displayName>PARAM_EX_DESIGN_PREV_PRESET_NAME</ipxact:displayName> + <ipxact:value>TARGET_DEV_KIT_NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="EX_DESIGN_GUI_QDR2_SEL_DESIGN" type="string"> + <ipxact:name>EX_DESIGN_GUI_QDR2_SEL_DESIGN</ipxact:name> + <ipxact:displayName>Select design</ipxact:displayName> + <ipxact:value>AVAIL_EX_DESIGNS_GEN_DESIGN</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="EX_DESIGN_GUI_QDR2_GEN_SIM" type="bit"> + <ipxact:name>EX_DESIGN_GUI_QDR2_GEN_SIM</ipxact:name> + <ipxact:displayName>Simulation</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="EX_DESIGN_GUI_QDR2_GEN_SYNTH" type="bit"> + <ipxact:name>EX_DESIGN_GUI_QDR2_GEN_SYNTH</ipxact:name> + <ipxact:displayName>Synthesis</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="EX_DESIGN_GUI_QDR2_HDL_FORMAT" type="string"> + <ipxact:name>EX_DESIGN_GUI_QDR2_HDL_FORMAT</ipxact:name> + <ipxact:displayName>Simulation HDL format</ipxact:displayName> + <ipxact:value>HDL_FORMAT_VERILOG</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="EX_DESIGN_GUI_QDR2_TARGET_DEV_KIT" type="string"> + <ipxact:name>EX_DESIGN_GUI_QDR2_TARGET_DEV_KIT</ipxact:name> + <ipxact:displayName>Select board</ipxact:displayName> + <ipxact:value>TARGET_DEV_KIT_NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="EX_DESIGN_GUI_QDR2_PREV_PRESET" type="string"> + <ipxact:name>EX_DESIGN_GUI_QDR2_PREV_PRESET</ipxact:name> + <ipxact:displayName>PARAM_EX_DESIGN_PREV_PRESET_NAME</ipxact:displayName> + <ipxact:value>TARGET_DEV_KIT_NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="EX_DESIGN_GUI_QDR4_SEL_DESIGN" type="string"> + <ipxact:name>EX_DESIGN_GUI_QDR4_SEL_DESIGN</ipxact:name> + <ipxact:displayName>Select design</ipxact:displayName> + <ipxact:value>AVAIL_EX_DESIGNS_GEN_DESIGN</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="EX_DESIGN_GUI_QDR4_GEN_SIM" type="bit"> + <ipxact:name>EX_DESIGN_GUI_QDR4_GEN_SIM</ipxact:name> + <ipxact:displayName>Simulation</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="EX_DESIGN_GUI_QDR4_GEN_SYNTH" type="bit"> + <ipxact:name>EX_DESIGN_GUI_QDR4_GEN_SYNTH</ipxact:name> + <ipxact:displayName>Synthesis</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="EX_DESIGN_GUI_QDR4_HDL_FORMAT" type="string"> + <ipxact:name>EX_DESIGN_GUI_QDR4_HDL_FORMAT</ipxact:name> + <ipxact:displayName>Simulation HDL format</ipxact:displayName> + <ipxact:value>HDL_FORMAT_VERILOG</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="EX_DESIGN_GUI_QDR4_TARGET_DEV_KIT" type="string"> + <ipxact:name>EX_DESIGN_GUI_QDR4_TARGET_DEV_KIT</ipxact:name> + <ipxact:displayName>Select board</ipxact:displayName> + <ipxact:value>TARGET_DEV_KIT_NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="EX_DESIGN_GUI_QDR4_PREV_PRESET" type="string"> + <ipxact:name>EX_DESIGN_GUI_QDR4_PREV_PRESET</ipxact:name> + <ipxact:displayName>PARAM_EX_DESIGN_PREV_PRESET_NAME</ipxact:displayName> + <ipxact:value>TARGET_DEV_KIT_NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="EX_DESIGN_GUI_RLD2_SEL_DESIGN" type="string"> + <ipxact:name>EX_DESIGN_GUI_RLD2_SEL_DESIGN</ipxact:name> + <ipxact:displayName>Select design</ipxact:displayName> + <ipxact:value>AVAIL_EX_DESIGNS_GEN_DESIGN</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="EX_DESIGN_GUI_RLD2_GEN_SIM" type="bit"> + <ipxact:name>EX_DESIGN_GUI_RLD2_GEN_SIM</ipxact:name> + <ipxact:displayName>Simulation</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="EX_DESIGN_GUI_RLD2_GEN_SYNTH" type="bit"> + <ipxact:name>EX_DESIGN_GUI_RLD2_GEN_SYNTH</ipxact:name> + <ipxact:displayName>Synthesis</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="EX_DESIGN_GUI_RLD2_HDL_FORMAT" type="string"> + <ipxact:name>EX_DESIGN_GUI_RLD2_HDL_FORMAT</ipxact:name> + <ipxact:displayName>Simulation HDL format</ipxact:displayName> + <ipxact:value>HDL_FORMAT_VERILOG</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="EX_DESIGN_GUI_RLD2_TARGET_DEV_KIT" type="string"> + <ipxact:name>EX_DESIGN_GUI_RLD2_TARGET_DEV_KIT</ipxact:name> + <ipxact:displayName>Select board</ipxact:displayName> + <ipxact:value>TARGET_DEV_KIT_NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="EX_DESIGN_GUI_RLD2_PREV_PRESET" type="string"> + <ipxact:name>EX_DESIGN_GUI_RLD2_PREV_PRESET</ipxact:name> + <ipxact:displayName>PARAM_EX_DESIGN_PREV_PRESET_NAME</ipxact:displayName> + <ipxact:value>TARGET_DEV_KIT_NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="EX_DESIGN_GUI_RLD3_SEL_DESIGN" type="string"> + <ipxact:name>EX_DESIGN_GUI_RLD3_SEL_DESIGN</ipxact:name> + <ipxact:displayName>Select design</ipxact:displayName> + <ipxact:value>AVAIL_EX_DESIGNS_GEN_DESIGN</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="EX_DESIGN_GUI_RLD3_GEN_SIM" type="bit"> + <ipxact:name>EX_DESIGN_GUI_RLD3_GEN_SIM</ipxact:name> + <ipxact:displayName>Simulation</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="EX_DESIGN_GUI_RLD3_GEN_SYNTH" type="bit"> + <ipxact:name>EX_DESIGN_GUI_RLD3_GEN_SYNTH</ipxact:name> + <ipxact:displayName>Synthesis</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="EX_DESIGN_GUI_RLD3_HDL_FORMAT" type="string"> + <ipxact:name>EX_DESIGN_GUI_RLD3_HDL_FORMAT</ipxact:name> + <ipxact:displayName>Simulation HDL format</ipxact:displayName> + <ipxact:value>HDL_FORMAT_VERILOG</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="EX_DESIGN_GUI_RLD3_TARGET_DEV_KIT" type="string"> + <ipxact:name>EX_DESIGN_GUI_RLD3_TARGET_DEV_KIT</ipxact:name> + <ipxact:displayName>Select board</ipxact:displayName> + <ipxact:value>TARGET_DEV_KIT_NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="EX_DESIGN_GUI_RLD3_PREV_PRESET" type="string"> + <ipxact:name>EX_DESIGN_GUI_RLD3_PREV_PRESET</ipxact:name> + <ipxact:displayName>PARAM_EX_DESIGN_PREV_PRESET_NAME</ipxact:displayName> + <ipxact:value>TARGET_DEV_KIT_NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="EX_DESIGN_GUI_LPDDR3_SEL_DESIGN" type="string"> + <ipxact:name>EX_DESIGN_GUI_LPDDR3_SEL_DESIGN</ipxact:name> + <ipxact:displayName>Select design</ipxact:displayName> + <ipxact:value>AVAIL_EX_DESIGNS_GEN_DESIGN</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="EX_DESIGN_GUI_LPDDR3_GEN_SIM" type="bit"> + <ipxact:name>EX_DESIGN_GUI_LPDDR3_GEN_SIM</ipxact:name> + <ipxact:displayName>Simulation</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="EX_DESIGN_GUI_LPDDR3_GEN_SYNTH" type="bit"> + <ipxact:name>EX_DESIGN_GUI_LPDDR3_GEN_SYNTH</ipxact:name> + <ipxact:displayName>Synthesis</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="EX_DESIGN_GUI_LPDDR3_HDL_FORMAT" type="string"> + <ipxact:name>EX_DESIGN_GUI_LPDDR3_HDL_FORMAT</ipxact:name> + <ipxact:displayName>Simulation HDL format</ipxact:displayName> + <ipxact:value>HDL_FORMAT_VERILOG</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="EX_DESIGN_GUI_LPDDR3_TARGET_DEV_KIT" type="string"> + <ipxact:name>EX_DESIGN_GUI_LPDDR3_TARGET_DEV_KIT</ipxact:name> + <ipxact:displayName>Select board</ipxact:displayName> + <ipxact:value>TARGET_DEV_KIT_NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="EX_DESIGN_GUI_LPDDR3_PREV_PRESET" type="string"> + <ipxact:name>EX_DESIGN_GUI_LPDDR3_PREV_PRESET</ipxact:name> + <ipxact:displayName>PARAM_EX_DESIGN_PREV_PRESET_NAME</ipxact:displayName> + <ipxact:value>TARGET_DEV_KIT_NONE</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_module_parameters> + <altera:altera_system_parameters> + <ipxact:parameters> + <ipxact:parameter parameterId="device" type="string"> + <ipxact:name>device</ipxact:name> + <ipxact:displayName>Device</ipxact:displayName> + <ipxact:value>10AX115U3F45E2SG</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="deviceFamily" type="string"> + <ipxact:name>deviceFamily</ipxact:name> + <ipxact:displayName>Device family</ipxact:displayName> + <ipxact:value>Arria 10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="deviceSpeedGrade" type="string"> + <ipxact:name>deviceSpeedGrade</ipxact:name> + <ipxact:displayName>Device Speed Grade</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="generationId" type="int"> + <ipxact:name>generationId</ipxact:name> + <ipxact:displayName>Generation Id</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="bonusData" type="string"> + <ipxact:name>bonusData</ipxact:name> + <ipxact:displayName>bonusData</ipxact:displayName> + <ipxact:value>bonusData +{ + element emif_0 + { + datum _sortIndex + { + value = "0"; + type = "int"; + } + } +} +</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hideFromIPCatalog" type="bit"> + <ipxact:name>hideFromIPCatalog</ipxact:name> + <ipxact:displayName>Hide from IP Catalog</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="lockedInterfaceDefinition" type="string"> + <ipxact:name>lockedInterfaceDefinition</ipxact:name> + <ipxact:displayName>lockedInterfaceDefinition</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="systemInfos" type="string"> + <ipxact:name>systemInfos</ipxact:name> + <ipxact:displayName>systemInfos</ipxact:displayName> + <ipxact:value><systemInfosDefinition> + <connPtSystemInfos> + <entry> + <key>ctrl_amm_0</key> + <value> + <connectionPointName>ctrl_amm_0</connectionPointName> + <suppliedSystemInfos/> + <consumedSystemInfos> + <entry> + <key>ADDRESS_MAP</key> + <value>&lt;address-map&gt;&lt;slave name='ctrl_amm_0' start='0x0' end='0x240000000' datawidth='576' /&gt;&lt;/address-map&gt;</value> + </entry> + <entry> + <key>ADDRESS_WIDTH</key> + <value>34</value> + </entry> + <entry> + <key>MAX_SLAVE_DATA_WIDTH</key> + <value>576</value> + </entry> + </consumedSystemInfos> + </value> + </entry> + <entry> + <key>ctrl_amm_avalon_slave_0</key> + <value> + <connectionPointName>ctrl_amm_avalon_slave_0</connectionPointName> + <suppliedSystemInfos/> + <consumedSystemInfos> + <entry> + <key>ADDRESS_MAP</key> + <value>&lt;address-map&gt;&lt;slave name='ctrl_amm_avalon_slave_0' start='0x0' end='0x240000000' datawidth='576' /&gt;&lt;/address-map&gt;</value> + </entry> + <entry> + <key>ADDRESS_WIDTH</key> + <value>34</value> + </entry> + <entry> + <key>MAX_SLAVE_DATA_WIDTH</key> + <value>576</value> + </entry> + </consumedSystemInfos> + </value> + </entry> + <entry> + <key>ctrl_mmr_slave_0</key> + <value> + <connectionPointName>ctrl_mmr_slave_0</connectionPointName> + <suppliedSystemInfos/> + <consumedSystemInfos> + <entry> + <key>ADDRESS_MAP</key> + <value>&lt;address-map&gt;&lt;slave name='ctrl_mmr_slave_0' start='0x0' end='0x1000' datawidth='32' /&gt;&lt;/address-map&gt;</value> + </entry> + <entry> + <key>ADDRESS_WIDTH</key> + <value>12</value> + </entry> + <entry> + <key>MAX_SLAVE_DATA_WIDTH</key> + <value>32</value> + </entry> + </consumedSystemInfos> + </value> + </entry> + <entry> + <key>ctrl_mmr_slave_avalon_slave_0</key> + <value> + <connectionPointName>ctrl_mmr_slave_avalon_slave_0</connectionPointName> + <suppliedSystemInfos/> + <consumedSystemInfos> + <entry> + <key>ADDRESS_MAP</key> + <value>&lt;address-map&gt;&lt;slave name='ctrl_mmr_slave_avalon_slave_0' start='0x0' end='0x1000' datawidth='32' /&gt;&lt;/address-map&gt;</value> + </entry> + <entry> + <key>ADDRESS_WIDTH</key> + <value>12</value> + </entry> + <entry> + <key>MAX_SLAVE_DATA_WIDTH</key> + <value>32</value> + </entry> + </consumedSystemInfos> + </value> + </entry> + <entry> + <key>emif_usr_clk</key> + <value> + <connectionPointName>emif_usr_clk</connectionPointName> + <suppliedSystemInfos/> + <consumedSystemInfos> + <entry> + <key>CLOCK_RATE</key> + <value>200000000</value> + </entry> + </consumedSystemInfos> + </value> + </entry> + <entry> + <key>emif_usr_clk_clock_source</key> + <value> + <connectionPointName>emif_usr_clk_clock_source</connectionPointName> + <suppliedSystemInfos/> + <consumedSystemInfos> + <entry> + <key>CLOCK_RATE</key> + <value>200000000</value> + </entry> + </consumedSystemInfos> + </value> + </entry> + </connPtSystemInfos> +</systemInfosDefinition></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_system_parameters> + <altera:altera_interface_boundary> + <altera:interface_mapping altera:name="ctrl_amm_0" altera:internal="emif_0.ctrl_amm_0" altera:type="avalon" altera:dir="end"> + <altera:port_mapping altera:name="amm_address_0" altera:internal="amm_address_0"></altera:port_mapping> + <altera:port_mapping altera:name="amm_burstcount_0" altera:internal="amm_burstcount_0"></altera:port_mapping> + <altera:port_mapping altera:name="amm_byteenable_0" altera:internal="amm_byteenable_0"></altera:port_mapping> + <altera:port_mapping altera:name="amm_read_0" altera:internal="amm_read_0"></altera:port_mapping> + <altera:port_mapping altera:name="amm_readdata_0" altera:internal="amm_readdata_0"></altera:port_mapping> + <altera:port_mapping altera:name="amm_readdatavalid_0" altera:internal="amm_readdatavalid_0"></altera:port_mapping> + <altera:port_mapping altera:name="amm_ready_0" altera:internal="amm_ready_0"></altera:port_mapping> + <altera:port_mapping altera:name="amm_write_0" altera:internal="amm_write_0"></altera:port_mapping> + <altera:port_mapping altera:name="amm_writedata_0" altera:internal="amm_writedata_0"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="ctrl_amm_avalon_slave_0" altera:internal="emif_0.ctrl_amm_avalon_slave_0"></altera:interface_mapping> + <altera:interface_mapping altera:name="ctrl_mmr_slave_0" altera:internal="emif_0.ctrl_mmr_slave_0" altera:type="avalon" altera:dir="end"> + <altera:port_mapping altera:name="mmr_slave_address_0" altera:internal="mmr_slave_address_0"></altera:port_mapping> + <altera:port_mapping altera:name="mmr_slave_beginbursttransfer_0" altera:internal="mmr_slave_beginbursttransfer_0"></altera:port_mapping> + <altera:port_mapping altera:name="mmr_slave_burstcount_0" altera:internal="mmr_slave_burstcount_0"></altera:port_mapping> + <altera:port_mapping altera:name="mmr_slave_read_0" altera:internal="mmr_slave_read_0"></altera:port_mapping> + <altera:port_mapping altera:name="mmr_slave_readdata_0" altera:internal="mmr_slave_readdata_0"></altera:port_mapping> + <altera:port_mapping altera:name="mmr_slave_readdatavalid_0" altera:internal="mmr_slave_readdatavalid_0"></altera:port_mapping> + <altera:port_mapping altera:name="mmr_slave_waitrequest_0" altera:internal="mmr_slave_waitrequest_0"></altera:port_mapping> + <altera:port_mapping altera:name="mmr_slave_write_0" altera:internal="mmr_slave_write_0"></altera:port_mapping> + <altera:port_mapping altera:name="mmr_slave_writedata_0" altera:internal="mmr_slave_writedata_0"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="ctrl_mmr_slave_avalon_slave_0" altera:internal="emif_0.ctrl_mmr_slave_avalon_slave_0"></altera:interface_mapping> + <altera:interface_mapping altera:name="emif_usr_clk" altera:internal="emif_0.emif_usr_clk" altera:type="clock" altera:dir="start"> + <altera:port_mapping altera:name="emif_usr_clk" altera:internal="emif_usr_clk"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="emif_usr_clk_clock_source" altera:internal="emif_0.emif_usr_clk_clock_source"></altera:interface_mapping> + <altera:interface_mapping altera:name="emif_usr_reset_n" altera:internal="emif_0.emif_usr_reset_n" altera:type="reset" altera:dir="start"> + <altera:port_mapping altera:name="emif_usr_reset_n" altera:internal="emif_usr_reset_n"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="emif_usr_reset_reset_source" altera:internal="emif_0.emif_usr_reset_reset_source"></altera:interface_mapping> + <altera:interface_mapping altera:name="global_reset_n" altera:internal="emif_0.global_reset_n" altera:type="reset" altera:dir="end"> + <altera:port_mapping altera:name="global_reset_n" altera:internal="global_reset_n"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="global_reset_reset_sink" altera:internal="emif_0.global_reset_reset_sink"></altera:interface_mapping> + <altera:interface_mapping altera:name="mem" altera:internal="emif_0.mem" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="mem_a" altera:internal="mem_a"></altera:port_mapping> + <altera:port_mapping altera:name="mem_act_n" altera:internal="mem_act_n"></altera:port_mapping> + <altera:port_mapping altera:name="mem_alert_n" altera:internal="mem_alert_n"></altera:port_mapping> + <altera:port_mapping altera:name="mem_ba" altera:internal="mem_ba"></altera:port_mapping> + <altera:port_mapping altera:name="mem_bg" altera:internal="mem_bg"></altera:port_mapping> + <altera:port_mapping altera:name="mem_ck" altera:internal="mem_ck"></altera:port_mapping> + <altera:port_mapping altera:name="mem_ck_n" altera:internal="mem_ck_n"></altera:port_mapping> + <altera:port_mapping altera:name="mem_cke" altera:internal="mem_cke"></altera:port_mapping> + <altera:port_mapping altera:name="mem_cs_n" altera:internal="mem_cs_n"></altera:port_mapping> + <altera:port_mapping altera:name="mem_dbi_n" altera:internal="mem_dbi_n"></altera:port_mapping> + <altera:port_mapping altera:name="mem_dq" altera:internal="mem_dq"></altera:port_mapping> + <altera:port_mapping altera:name="mem_dqs" altera:internal="mem_dqs"></altera:port_mapping> + <altera:port_mapping altera:name="mem_dqs_n" altera:internal="mem_dqs_n"></altera:port_mapping> + <altera:port_mapping altera:name="mem_odt" altera:internal="mem_odt"></altera:port_mapping> + <altera:port_mapping altera:name="mem_par" altera:internal="mem_par"></altera:port_mapping> + <altera:port_mapping altera:name="mem_reset_n" altera:internal="mem_reset_n"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="mem_conduit_end" altera:internal="emif_0.mem_conduit_end"></altera:interface_mapping> + <altera:interface_mapping altera:name="oct" altera:internal="emif_0.oct" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="oct_rzqin" altera:internal="oct_rzqin"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="oct_conduit_end" altera:internal="emif_0.oct_conduit_end"></altera:interface_mapping> + <altera:interface_mapping altera:name="pll_ref_clk" altera:internal="emif_0.pll_ref_clk" altera:type="clock" altera:dir="end"> + <altera:port_mapping altera:name="pll_ref_clk" altera:internal="pll_ref_clk"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="pll_ref_clk_clock_sink" altera:internal="emif_0.pll_ref_clk_clock_sink"></altera:interface_mapping> + <altera:interface_mapping altera:name="status" altera:internal="emif_0.status" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="local_cal_fail" altera:internal="local_cal_fail"></altera:port_mapping> + <altera:port_mapping altera:name="local_cal_success" altera:internal="local_cal_success"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="status_conduit_end" altera:internal="emif_0.status_conduit_end"></altera:interface_mapping> + </altera:altera_interface_boundary> + <altera:altera_has_warnings>false</altera:altera_has_warnings> + <altera:altera_has_errors>false</altera:altera_has_errors> + </ipxact:vendorExtensions> +</ipxact:component> \ No newline at end of file diff --git a/libraries/technology/ip_arria10_e2sg/ddr4_8g_1600/ip_arria10_e2sg_ddr4_8g_1600.qsys b/libraries/technology/ip_arria10_e2sg/ddr4_8g_1600/ip_arria10_e2sg_ddr4_8g_1600.qsys deleted file mode 100644 index 4c82a2c510..0000000000 --- a/libraries/technology/ip_arria10_e2sg/ddr4_8g_1600/ip_arria10_e2sg_ddr4_8g_1600.qsys +++ /dev/null @@ -1,1343 +0,0 @@ -<?xml version="1.0" encoding="UTF-8"?> -<system name="ip_arria10_e2sg_ddr4_8g_1600"> - <component - name="$${FILENAME}" - displayName="$${FILENAME}" - version="1.0" - description="" - tags="AUTHORSHIP=Intel Corporation /// INTERNAL_COMPONENT=true" - categories="System" - tool="QsysStandard" /> - <parameter name="bonusData"><![CDATA[bonusData -{ - element $system - { - } - element emif_0 - { - datum _sortIndex - { - value = "0"; - type = "int"; - } - } -} -]]></parameter> - <parameter name="device" value="10AX115U3F45E2SG" /> - <parameter name="deviceFamily" value="Arria 10" /> - <parameter name="deviceSpeedGrade" value="2" /> - <parameter name="fabricMode" value="QSYS" /> - <parameter name="generateLegacySim" value="false" /> - <parameter name="generationId" value="0" /> - <parameter name="globalResetBus" value="false" /> - <parameter name="hdlLanguage" value="VERILOG" /> - <parameter name="hideFromIPCatalog" value="true" /> - <parameter name="lockedInterfaceDefinition" value="" /> - <parameter name="sopcBorderPoints" value="false" /> - <parameter name="systemHash" value="0" /> - <parameter name="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos> - <entry> - <key>ctrl_amm_0</key> - <value> - <connectionPointName>ctrl_amm_0</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='ctrl_amm_0' start='0x0' end='0x240000000' datawidth='576' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>34</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>576</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>ctrl_mmr_slave_0</key> - <value> - <connectionPointName>ctrl_mmr_slave_0</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='ctrl_mmr_slave_0' start='0x0' end='0x1000' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>12</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>emif_usr_clk</key> - <value> - <connectionPointName>emif_usr_clk</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>200000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> -</systemInfosDefinition>]]></parameter> - <parameter name="systemScripts" value="" /> - <parameter name="testBenchDutName" value="" /> - <parameter name="timeStamp" value="0" /> - <parameter name="useTestBenchNamingPattern" value="false" /> - <instanceScript></instanceScript> - <interface - name="ctrl_amm_0" - internal="emif_0.ctrl_amm_0" - type="avalon" - dir="end"> - <port name="amm_address_0" internal="amm_address_0" /> - <port name="amm_burstcount_0" internal="amm_burstcount_0" /> - <port name="amm_byteenable_0" internal="amm_byteenable_0" /> - <port name="amm_read_0" internal="amm_read_0" /> - <port name="amm_readdata_0" internal="amm_readdata_0" /> - <port name="amm_readdatavalid_0" internal="amm_readdatavalid_0" /> - <port name="amm_ready_0" internal="amm_ready_0" /> - <port name="amm_write_0" internal="amm_write_0" /> - <port name="amm_writedata_0" internal="amm_writedata_0" /> - </interface> - <interface - name="ctrl_mmr_slave_0" - internal="emif_0.ctrl_mmr_slave_0" - type="avalon" - dir="end"> - <port name="mmr_slave_address_0" internal="mmr_slave_address_0" /> - <port - name="mmr_slave_beginbursttransfer_0" - internal="mmr_slave_beginbursttransfer_0" /> - <port name="mmr_slave_burstcount_0" internal="mmr_slave_burstcount_0" /> - <port name="mmr_slave_read_0" internal="mmr_slave_read_0" /> - <port name="mmr_slave_readdata_0" internal="mmr_slave_readdata_0" /> - <port name="mmr_slave_readdatavalid_0" internal="mmr_slave_readdatavalid_0" /> - <port name="mmr_slave_waitrequest_0" internal="mmr_slave_waitrequest_0" /> - <port name="mmr_slave_write_0" internal="mmr_slave_write_0" /> - <port name="mmr_slave_writedata_0" internal="mmr_slave_writedata_0" /> - </interface> - <interface - name="emif_usr_clk" - internal="emif_0.emif_usr_clk" - type="clock" - dir="start"> - <port name="emif_usr_clk" internal="emif_usr_clk" /> - </interface> - <interface - name="emif_usr_reset_n" - internal="emif_0.emif_usr_reset_n" - type="reset" - dir="start"> - <port name="emif_usr_reset_n" internal="emif_usr_reset_n" /> - </interface> - <interface - name="global_reset_n" - internal="emif_0.global_reset_n" - type="reset" - dir="end"> - <port name="global_reset_n" internal="global_reset_n" /> - </interface> - <interface name="mem" internal="emif_0.mem" type="conduit" dir="end"> - <port name="mem_a" internal="mem_a" /> - <port name="mem_act_n" internal="mem_act_n" /> - <port name="mem_alert_n" internal="mem_alert_n" /> - <port name="mem_ba" internal="mem_ba" /> - <port name="mem_bg" internal="mem_bg" /> - <port name="mem_ck" internal="mem_ck" /> - <port name="mem_ck_n" internal="mem_ck_n" /> - <port name="mem_cke" internal="mem_cke" /> - <port name="mem_cs_n" internal="mem_cs_n" /> - <port name="mem_dbi_n" internal="mem_dbi_n" /> - <port name="mem_dq" internal="mem_dq" /> - <port name="mem_dqs" internal="mem_dqs" /> - <port name="mem_dqs_n" internal="mem_dqs_n" /> - <port name="mem_odt" internal="mem_odt" /> - <port name="mem_par" internal="mem_par" /> - <port name="mem_reset_n" internal="mem_reset_n" /> - </interface> - <interface name="oct" internal="emif_0.oct" type="conduit" dir="end"> - <port name="oct_rzqin" internal="oct_rzqin" /> - </interface> - <interface - name="pll_ref_clk" - internal="emif_0.pll_ref_clk" - type="clock" - dir="end"> - <port name="pll_ref_clk" internal="pll_ref_clk" /> - </interface> - <interface name="status" internal="emif_0.status" type="conduit" dir="end"> - <port name="local_cal_fail" internal="local_cal_fail" /> - <port name="local_cal_success" internal="local_cal_success" /> - </interface> - <module - name="emif_0" - kind="altera_emif" - version="19.1.0" - enabled="1" - autoexport="1"> - <parameter name="BOARD_DDR3_AC_TO_CK_SKEW_NS" value="0.0" /> - <parameter name="BOARD_DDR3_BRD_SKEW_WITHIN_AC_NS" value="0.02" /> - <parameter name="BOARD_DDR3_BRD_SKEW_WITHIN_DQS_NS" value="0.02" /> - <parameter name="BOARD_DDR3_DQS_TO_CK_SKEW_NS" value="0.02" /> - <parameter name="BOARD_DDR3_IS_SKEW_WITHIN_AC_DESKEWED" value="true" /> - <parameter name="BOARD_DDR3_IS_SKEW_WITHIN_DQS_DESKEWED" value="false" /> - <parameter name="BOARD_DDR3_MAX_CK_DELAY_NS" value="0.6" /> - <parameter name="BOARD_DDR3_MAX_DQS_DELAY_NS" value="0.6" /> - <parameter name="BOARD_DDR3_PKG_BRD_SKEW_WITHIN_AC_NS" value="0.02" /> - <parameter name="BOARD_DDR3_PKG_BRD_SKEW_WITHIN_DQS_NS" value="0.02" /> - <parameter name="BOARD_DDR3_SKEW_BETWEEN_DIMMS_NS" value="0.05" /> - <parameter name="BOARD_DDR3_SKEW_BETWEEN_DQS_NS" value="0.02" /> - <parameter name="BOARD_DDR3_USER_AC_ISI_NS" value="0.0" /> - <parameter name="BOARD_DDR3_USER_AC_SLEW_RATE" value="2.0" /> - <parameter name="BOARD_DDR3_USER_CK_SLEW_RATE" value="4.0" /> - <parameter name="BOARD_DDR3_USER_RCLK_ISI_NS" value="0.0" /> - <parameter name="BOARD_DDR3_USER_RCLK_SLEW_RATE" value="5.0" /> - <parameter name="BOARD_DDR3_USER_RDATA_ISI_NS" value="0.0" /> - <parameter name="BOARD_DDR3_USER_RDATA_SLEW_RATE" value="2.5" /> - <parameter name="BOARD_DDR3_USER_WCLK_ISI_NS" value="0.0" /> - <parameter name="BOARD_DDR3_USER_WCLK_SLEW_RATE" value="4.0" /> - <parameter name="BOARD_DDR3_USER_WDATA_ISI_NS" value="0.0" /> - <parameter name="BOARD_DDR3_USER_WDATA_SLEW_RATE" value="2.0" /> - <parameter name="BOARD_DDR3_USE_DEFAULT_ISI_VALUES" value="true" /> - <parameter name="BOARD_DDR3_USE_DEFAULT_SLEW_RATES" value="true" /> - <parameter name="BOARD_DDR4_AC_TO_CK_SKEW_NS" value="5.0E-4" /> - <parameter name="BOARD_DDR4_BRD_SKEW_WITHIN_AC_NS" value="0.0055" /> - <parameter name="BOARD_DDR4_BRD_SKEW_WITHIN_DQS_NS" value="0.006" /> - <parameter name="BOARD_DDR4_DQS_TO_CK_SKEW_NS" value="-0.2285" /> - <parameter name="BOARD_DDR4_IS_SKEW_WITHIN_AC_DESKEWED" value="false" /> - <parameter name="BOARD_DDR4_IS_SKEW_WITHIN_DQS_DESKEWED" value="false" /> - <parameter name="BOARD_DDR4_MAX_CK_DELAY_NS" value="0.231" /> - <parameter name="BOARD_DDR4_MAX_DQS_DELAY_NS" value="0.291" /> - <parameter name="BOARD_DDR4_PKG_BRD_SKEW_WITHIN_AC_NS" value="0.02" /> - <parameter name="BOARD_DDR4_PKG_BRD_SKEW_WITHIN_DQS_NS" value="0.072" /> - <parameter name="BOARD_DDR4_SKEW_BETWEEN_DIMMS_NS" value="0.0" /> - <parameter name="BOARD_DDR4_SKEW_BETWEEN_DQS_NS" value="0.137" /> - <parameter name="BOARD_DDR4_USER_AC_ISI_NS" value="0.0" /> - <parameter name="BOARD_DDR4_USER_AC_SLEW_RATE" value="1.16" /> - <parameter name="BOARD_DDR4_USER_CK_SLEW_RATE" value="2.43" /> - <parameter name="BOARD_DDR4_USER_RCLK_ISI_NS" value="0.0" /> - <parameter name="BOARD_DDR4_USER_RCLK_SLEW_RATE" value="3.7" /> - <parameter name="BOARD_DDR4_USER_RDATA_ISI_NS" value="0.0" /> - <parameter name="BOARD_DDR4_USER_RDATA_SLEW_RATE" value="2.2" /> - <parameter name="BOARD_DDR4_USER_WCLK_ISI_NS" value="0.0" /> - <parameter name="BOARD_DDR4_USER_WCLK_SLEW_RATE" value="3.7" /> - <parameter name="BOARD_DDR4_USER_WDATA_ISI_NS" value="0.0" /> - <parameter name="BOARD_DDR4_USER_WDATA_SLEW_RATE" value="2.16" /> - <parameter name="BOARD_DDR4_USE_DEFAULT_ISI_VALUES" value="true" /> - <parameter name="BOARD_DDR4_USE_DEFAULT_SLEW_RATES" value="false" /> - <parameter name="BOARD_LPDDR3_AC_TO_CK_SKEW_NS" value="0.0" /> - <parameter name="BOARD_LPDDR3_BRD_SKEW_WITHIN_AC_NS" value="0.02" /> - <parameter name="BOARD_LPDDR3_BRD_SKEW_WITHIN_DQS_NS" value="0.02" /> - <parameter name="BOARD_LPDDR3_DQS_TO_CK_SKEW_NS" value="0.02" /> - <parameter name="BOARD_LPDDR3_IS_SKEW_WITHIN_AC_DESKEWED" value="true" /> - <parameter name="BOARD_LPDDR3_IS_SKEW_WITHIN_DQS_DESKEWED" value="false" /> - <parameter name="BOARD_LPDDR3_MAX_CK_DELAY_NS" value="0.6" /> - <parameter name="BOARD_LPDDR3_MAX_DQS_DELAY_NS" value="0.6" /> - <parameter name="BOARD_LPDDR3_PKG_BRD_SKEW_WITHIN_AC_NS" value="0.02" /> - <parameter name="BOARD_LPDDR3_PKG_BRD_SKEW_WITHIN_DQS_NS" value="0.02" /> - <parameter name="BOARD_LPDDR3_SKEW_BETWEEN_DIMMS_NS" value="0.05" /> - <parameter name="BOARD_LPDDR3_SKEW_BETWEEN_DQS_NS" value="0.02" /> - <parameter name="BOARD_LPDDR3_USER_AC_ISI_NS" value="0.0" /> - <parameter name="BOARD_LPDDR3_USER_AC_SLEW_RATE" value="2.0" /> - <parameter name="BOARD_LPDDR3_USER_CK_SLEW_RATE" value="4.0" /> - <parameter name="BOARD_LPDDR3_USER_RCLK_ISI_NS" value="0.0" /> - <parameter name="BOARD_LPDDR3_USER_RCLK_SLEW_RATE" value="4.0" /> - <parameter name="BOARD_LPDDR3_USER_RDATA_ISI_NS" value="0.0" /> - <parameter name="BOARD_LPDDR3_USER_RDATA_SLEW_RATE" value="2.0" /> - <parameter name="BOARD_LPDDR3_USER_WCLK_ISI_NS" value="0.0" /> - <parameter name="BOARD_LPDDR3_USER_WCLK_SLEW_RATE" value="4.0" /> - <parameter name="BOARD_LPDDR3_USER_WDATA_ISI_NS" value="0.0" /> - <parameter name="BOARD_LPDDR3_USER_WDATA_SLEW_RATE" value="2.0" /> - <parameter name="BOARD_LPDDR3_USE_DEFAULT_ISI_VALUES" value="true" /> - <parameter name="BOARD_LPDDR3_USE_DEFAULT_SLEW_RATES" value="true" /> - <parameter name="BOARD_QDR2_AC_TO_K_SKEW_NS" value="0.0" /> - <parameter name="BOARD_QDR2_BRD_SKEW_WITHIN_AC_NS" value="0.02" /> - <parameter name="BOARD_QDR2_BRD_SKEW_WITHIN_D_NS" value="0.02" /> - <parameter name="BOARD_QDR2_BRD_SKEW_WITHIN_Q_NS" value="0.02" /> - <parameter name="BOARD_QDR2_IS_SKEW_WITHIN_AC_DESKEWED" value="true" /> - <parameter name="BOARD_QDR2_IS_SKEW_WITHIN_D_DESKEWED" value="false" /> - <parameter name="BOARD_QDR2_IS_SKEW_WITHIN_Q_DESKEWED" value="false" /> - <parameter name="BOARD_QDR2_MAX_K_DELAY_NS" value="0.6" /> - <parameter name="BOARD_QDR2_PKG_BRD_SKEW_WITHIN_AC_NS" value="0.02" /> - <parameter name="BOARD_QDR2_PKG_BRD_SKEW_WITHIN_D_NS" value="0.02" /> - <parameter name="BOARD_QDR2_PKG_BRD_SKEW_WITHIN_Q_NS" value="0.02" /> - <parameter name="BOARD_QDR2_USER_AC_ISI_NS" value="0.0" /> - <parameter name="BOARD_QDR2_USER_AC_SLEW_RATE" value="2.0" /> - <parameter name="BOARD_QDR2_USER_K_SLEW_RATE" value="4.0" /> - <parameter name="BOARD_QDR2_USER_RCLK_ISI_NS" value="0.0" /> - <parameter name="BOARD_QDR2_USER_RCLK_SLEW_RATE" value="4.0" /> - <parameter name="BOARD_QDR2_USER_RDATA_ISI_NS" value="0.0" /> - <parameter name="BOARD_QDR2_USER_RDATA_SLEW_RATE" value="2.0" /> - <parameter name="BOARD_QDR2_USER_WCLK_ISI_NS" value="0.0" /> - <parameter name="BOARD_QDR2_USER_WDATA_ISI_NS" value="0.0" /> - <parameter name="BOARD_QDR2_USER_WDATA_SLEW_RATE" value="2.0" /> - <parameter name="BOARD_QDR2_USE_DEFAULT_ISI_VALUES" value="true" /> - <parameter name="BOARD_QDR2_USE_DEFAULT_SLEW_RATES" value="true" /> - <parameter name="BOARD_QDR4_AC_TO_CK_SKEW_NS" value="0.0" /> - <parameter name="BOARD_QDR4_BRD_SKEW_WITHIN_AC_NS" value="0.02" /> - <parameter name="BOARD_QDR4_BRD_SKEW_WITHIN_QK_NS" value="0.02" /> - <parameter name="BOARD_QDR4_DK_TO_CK_SKEW_NS" value="-0.02" /> - <parameter name="BOARD_QDR4_IS_SKEW_WITHIN_AC_DESKEWED" value="true" /> - <parameter name="BOARD_QDR4_IS_SKEW_WITHIN_QK_DESKEWED" value="false" /> - <parameter name="BOARD_QDR4_MAX_CK_DELAY_NS" value="0.6" /> - <parameter name="BOARD_QDR4_MAX_DK_DELAY_NS" value="0.6" /> - <parameter name="BOARD_QDR4_PKG_BRD_SKEW_WITHIN_AC_NS" value="0.02" /> - <parameter name="BOARD_QDR4_PKG_BRD_SKEW_WITHIN_QK_NS" value="0.02" /> - <parameter name="BOARD_QDR4_SKEW_BETWEEN_DIMMS_NS" value="0.05" /> - <parameter name="BOARD_QDR4_SKEW_BETWEEN_DK_NS" value="0.02" /> - <parameter name="BOARD_QDR4_USER_AC_ISI_NS" value="0.0" /> - <parameter name="BOARD_QDR4_USER_AC_SLEW_RATE" value="2.0" /> - <parameter name="BOARD_QDR4_USER_CK_SLEW_RATE" value="4.0" /> - <parameter name="BOARD_QDR4_USER_RCLK_ISI_NS" value="0.0" /> - <parameter name="BOARD_QDR4_USER_RCLK_SLEW_RATE" value="5.0" /> - <parameter name="BOARD_QDR4_USER_RDATA_ISI_NS" value="0.0" /> - <parameter name="BOARD_QDR4_USER_RDATA_SLEW_RATE" value="2.5" /> - <parameter name="BOARD_QDR4_USER_WCLK_ISI_NS" value="0.0" /> - <parameter name="BOARD_QDR4_USER_WCLK_SLEW_RATE" value="4.0" /> - <parameter name="BOARD_QDR4_USER_WDATA_ISI_NS" value="0.0" /> - <parameter name="BOARD_QDR4_USER_WDATA_SLEW_RATE" value="2.0" /> - <parameter name="BOARD_QDR4_USE_DEFAULT_ISI_VALUES" value="true" /> - <parameter name="BOARD_QDR4_USE_DEFAULT_SLEW_RATES" value="true" /> - <parameter name="BOARD_RLD3_AC_TO_CK_SKEW_NS" value="0.0" /> - <parameter name="BOARD_RLD3_BRD_SKEW_WITHIN_AC_NS" value="0.02" /> - <parameter name="BOARD_RLD3_BRD_SKEW_WITHIN_QK_NS" value="0.02" /> - <parameter name="BOARD_RLD3_DK_TO_CK_SKEW_NS" value="-0.02" /> - <parameter name="BOARD_RLD3_IS_SKEW_WITHIN_AC_DESKEWED" value="true" /> - <parameter name="BOARD_RLD3_IS_SKEW_WITHIN_QK_DESKEWED" value="false" /> - <parameter name="BOARD_RLD3_MAX_CK_DELAY_NS" value="0.6" /> - <parameter name="BOARD_RLD3_MAX_DK_DELAY_NS" value="0.6" /> - <parameter name="BOARD_RLD3_PKG_BRD_SKEW_WITHIN_AC_NS" value="0.02" /> - <parameter name="BOARD_RLD3_PKG_BRD_SKEW_WITHIN_QK_NS" value="0.02" /> - <parameter name="BOARD_RLD3_SKEW_BETWEEN_DIMMS_NS" value="0.05" /> - <parameter name="BOARD_RLD3_SKEW_BETWEEN_DK_NS" value="0.02" /> - <parameter name="BOARD_RLD3_USER_AC_ISI_NS" value="0.0" /> - <parameter name="BOARD_RLD3_USER_AC_SLEW_RATE" value="2.0" /> - <parameter name="BOARD_RLD3_USER_CK_SLEW_RATE" value="4.0" /> - <parameter name="BOARD_RLD3_USER_RCLK_ISI_NS" value="0.0" /> - <parameter name="BOARD_RLD3_USER_RCLK_SLEW_RATE" value="7.0" /> - <parameter name="BOARD_RLD3_USER_RDATA_ISI_NS" value="0.0" /> - <parameter name="BOARD_RLD3_USER_RDATA_SLEW_RATE" value="3.5" /> - <parameter name="BOARD_RLD3_USER_WCLK_ISI_NS" value="0.0" /> - <parameter name="BOARD_RLD3_USER_WCLK_SLEW_RATE" value="4.0" /> - <parameter name="BOARD_RLD3_USER_WDATA_ISI_NS" value="0.0" /> - <parameter name="BOARD_RLD3_USER_WDATA_SLEW_RATE" value="2.0" /> - <parameter name="BOARD_RLD3_USE_DEFAULT_ISI_VALUES" value="true" /> - <parameter name="BOARD_RLD3_USE_DEFAULT_SLEW_RATES" value="true" /> - <parameter name="CAL_DEBUG_CLOCK_FREQUENCY" value="50000000" /> - <parameter name="CTRL_DDR3_ADDR_ORDER_ENUM">DDR3_CTRL_ADDR_ORDER_CS_R_B_C</parameter> - <parameter name="CTRL_DDR3_AUTO_POWER_DOWN_CYCS" value="32" /> - <parameter name="CTRL_DDR3_AUTO_POWER_DOWN_EN" value="false" /> - <parameter name="CTRL_DDR3_AUTO_PRECHARGE_EN" value="false" /> - <parameter name="CTRL_DDR3_AVL_PROTOCOL_ENUM">CTRL_AVL_PROTOCOL_MM</parameter> - <parameter name="CTRL_DDR3_ECC_AUTO_CORRECTION_EN" value="false" /> - <parameter name="CTRL_DDR3_ECC_EN" value="false" /> - <parameter name="CTRL_DDR3_ECC_READDATAERROR_EN" value="false" /> - <parameter name="CTRL_DDR3_MMR_EN" value="false" /> - <parameter name="CTRL_DDR3_RD_TO_RD_DIFF_CHIP_DELTA_CYCS" value="0" /> - <parameter name="CTRL_DDR3_RD_TO_WR_DIFF_CHIP_DELTA_CYCS" value="0" /> - <parameter name="CTRL_DDR3_RD_TO_WR_SAME_CHIP_DELTA_CYCS" value="0" /> - <parameter name="CTRL_DDR3_REORDER_EN" value="true" /> - <parameter name="CTRL_DDR3_SELF_REFRESH_EN" value="false" /> - <parameter name="CTRL_DDR3_STARVE_LIMIT" value="10" /> - <parameter name="CTRL_DDR3_USER_PRIORITY_EN" value="false" /> - <parameter name="CTRL_DDR3_USER_REFRESH_EN" value="false" /> - <parameter name="CTRL_DDR3_WR_TO_RD_DIFF_CHIP_DELTA_CYCS" value="0" /> - <parameter name="CTRL_DDR3_WR_TO_RD_SAME_CHIP_DELTA_CYCS" value="0" /> - <parameter name="CTRL_DDR3_WR_TO_WR_DIFF_CHIP_DELTA_CYCS" value="0" /> - <parameter name="CTRL_DDR4_ADDR_ORDER_ENUM">DDR4_CTRL_ADDR_ORDER_CS_R_B_C_BG</parameter> - <parameter name="CTRL_DDR4_AUTO_POWER_DOWN_CYCS" value="32" /> - <parameter name="CTRL_DDR4_AUTO_POWER_DOWN_EN" value="false" /> - <parameter name="CTRL_DDR4_AUTO_PRECHARGE_EN" value="false" /> - <parameter name="CTRL_DDR4_AVL_PROTOCOL_ENUM">CTRL_AVL_PROTOCOL_MM</parameter> - <parameter name="CTRL_DDR4_ECC_AUTO_CORRECTION_EN" value="false" /> - <parameter name="CTRL_DDR4_ECC_EN" value="false" /> - <parameter name="CTRL_DDR4_ECC_READDATAERROR_EN" value="false" /> - <parameter name="CTRL_DDR4_MMR_EN" value="true" /> - <parameter name="CTRL_DDR4_RD_TO_RD_DIFF_CHIP_DELTA_CYCS" value="0" /> - <parameter name="CTRL_DDR4_RD_TO_WR_DIFF_CHIP_DELTA_CYCS" value="0" /> - <parameter name="CTRL_DDR4_RD_TO_WR_SAME_CHIP_DELTA_CYCS" value="0" /> - <parameter name="CTRL_DDR4_REORDER_EN" value="true" /> - <parameter name="CTRL_DDR4_SELF_REFRESH_EN" value="false" /> - <parameter name="CTRL_DDR4_STARVE_LIMIT" value="10" /> - <parameter name="CTRL_DDR4_USER_PRIORITY_EN" value="false" /> - <parameter name="CTRL_DDR4_USER_REFRESH_EN" value="false" /> - <parameter name="CTRL_DDR4_WR_TO_RD_DIFF_CHIP_DELTA_CYCS" value="0" /> - <parameter name="CTRL_DDR4_WR_TO_RD_SAME_CHIP_DELTA_CYCS" value="0" /> - <parameter name="CTRL_DDR4_WR_TO_WR_DIFF_CHIP_DELTA_CYCS" value="0" /> - <parameter name="CTRL_LPDDR3_ADDR_ORDER_ENUM">LPDDR3_CTRL_ADDR_ORDER_CS_R_B_C</parameter> - <parameter name="CTRL_LPDDR3_AUTO_POWER_DOWN_CYCS" value="32" /> - <parameter name="CTRL_LPDDR3_AUTO_POWER_DOWN_EN" value="false" /> - <parameter name="CTRL_LPDDR3_AUTO_PRECHARGE_EN" value="false" /> - <parameter name="CTRL_LPDDR3_AVL_PROTOCOL_ENUM">CTRL_AVL_PROTOCOL_MM</parameter> - <parameter name="CTRL_LPDDR3_MMR_EN" value="false" /> - <parameter name="CTRL_LPDDR3_RD_TO_RD_DIFF_CHIP_DELTA_CYCS" value="0" /> - <parameter name="CTRL_LPDDR3_RD_TO_WR_DIFF_CHIP_DELTA_CYCS" value="0" /> - <parameter name="CTRL_LPDDR3_RD_TO_WR_SAME_CHIP_DELTA_CYCS" value="0" /> - <parameter name="CTRL_LPDDR3_REORDER_EN" value="true" /> - <parameter name="CTRL_LPDDR3_SELF_REFRESH_EN" value="false" /> - <parameter name="CTRL_LPDDR3_STARVE_LIMIT" value="10" /> - <parameter name="CTRL_LPDDR3_USER_PRIORITY_EN" value="false" /> - <parameter name="CTRL_LPDDR3_USER_REFRESH_EN" value="false" /> - <parameter name="CTRL_LPDDR3_WR_TO_RD_DIFF_CHIP_DELTA_CYCS" value="0" /> - <parameter name="CTRL_LPDDR3_WR_TO_RD_SAME_CHIP_DELTA_CYCS" value="0" /> - <parameter name="CTRL_LPDDR3_WR_TO_WR_DIFF_CHIP_DELTA_CYCS" value="0" /> - <parameter name="CTRL_QDR2_AVL_ENABLE_POWER_OF_TWO_BUS" value="false" /> - <parameter name="CTRL_QDR2_AVL_MAX_BURST_COUNT" value="4" /> - <parameter name="CTRL_QDR2_AVL_PROTOCOL_ENUM">CTRL_AVL_PROTOCOL_MM</parameter> - <parameter name="CTRL_QDR4_ADD_RAW_TURNAROUND_DELAY_CYC" value="0" /> - <parameter name="CTRL_QDR4_ADD_WAR_TURNAROUND_DELAY_CYC" value="0" /> - <parameter name="CTRL_QDR4_AVL_ENABLE_POWER_OF_TWO_BUS" value="false" /> - <parameter name="CTRL_QDR4_AVL_MAX_BURST_COUNT" value="4" /> - <parameter name="CTRL_QDR4_AVL_PROTOCOL_ENUM">CTRL_AVL_PROTOCOL_MM</parameter> - <parameter name="CTRL_QDR4_DEF_RAW_TURNAROUND_DELAY_CYC" value="4" /> - <parameter name="CTRL_RLD2_AVL_PROTOCOL_ENUM">CTRL_AVL_PROTOCOL_MM</parameter> - <parameter name="CTRL_RLD3_ADDR_ORDER_ENUM">RLD3_CTRL_ADDR_ORDER_CS_R_B_C</parameter> - <parameter name="CTRL_RLD3_AVL_PROTOCOL_ENUM">CTRL_AVL_PROTOCOL_MM</parameter> - <parameter name="DIAG_BOARD_DELAY_CONFIG_STR" value="" /> - <parameter name="DIAG_DB_RESET_AUTO_RELEASE" value="avl_release" /> - <parameter name="DIAG_DDR3_ABSTRACT_PHY" value="false" /> - <parameter name="DIAG_DDR3_BYPASS_DEFAULT_PATTERN" value="false" /> - <parameter name="DIAG_DDR3_BYPASS_USER_STAGE" value="true" /> - <parameter name="DIAG_DDR3_CAL_ADDR0" value="0" /> - <parameter name="DIAG_DDR3_CAL_ADDR1" value="8" /> - <parameter name="DIAG_DDR3_CAL_ENABLE_MICRON_AP" value="false" /> - <parameter name="DIAG_DDR3_CAL_ENABLE_NON_DES" value="false" /> - <parameter name="DIAG_DDR3_CAL_FULL_CAL_ON_RESET" value="true" /> - <parameter name="DIAG_DDR3_CA_DESKEW_EN" value="false" /> - <parameter name="DIAG_DDR3_CA_LEVEL_EN" value="true" /> - <parameter name="DIAG_DDR3_DISABLE_AFI_P2C_REGISTERS" value="false" /> - <parameter name="DIAG_DDR3_EFFICIENCY_MONITOR">EFFMON_MODE_DISABLED</parameter> - <parameter name="DIAG_DDR3_EXPORT_SEQ_AVALON_HEAD_OF_CHAIN" value="true" /> - <parameter name="DIAG_DDR3_EXPORT_SEQ_AVALON_MASTER" value="false" /> - <parameter name="DIAG_DDR3_EXPORT_SEQ_AVALON_SLAVE">CAL_DEBUG_EXPORT_MODE_DISABLED</parameter> - <parameter name="DIAG_DDR3_EXPORT_TG_CFG_AVALON_SLAVE">TG_CFG_AMM_EXPORT_MODE_EXPORT</parameter> - <parameter name="DIAG_DDR3_EX_DESIGN_ISSP_EN" value="true" /> - <parameter name="DIAG_DDR3_EX_DESIGN_NUM_OF_SLAVES" value="1" /> - <parameter name="DIAG_DDR3_EX_DESIGN_SEPARATE_RZQS" value="false" /> - <parameter name="DIAG_DDR3_INFI_TG2_ERR_TEST" value="false" /> - <parameter name="DIAG_DDR3_INTERFACE_ID" value="0" /> - <parameter name="DIAG_DDR3_SEPARATE_READ_WRITE_ITFS" value="false" /> - <parameter name="DIAG_DDR3_SIM_CAL_MODE_ENUM" value="SIM_CAL_MODE_SKIP" /> - <parameter name="DIAG_DDR3_SIM_VERBOSE" value="true" /> - <parameter name="DIAG_DDR3_USER_SIM_MEMORY_PRELOAD" value="false" /> - <parameter name="DIAG_DDR3_USER_SIM_MEMORY_PRELOAD_PRI_EMIF_FILE">EMIF_PRI_PRELOAD.txt</parameter> - <parameter name="DIAG_DDR3_USER_SIM_MEMORY_PRELOAD_SEC_EMIF_FILE">EMIF_SEC_PRELOAD.txt</parameter> - <parameter name="DIAG_DDR3_USER_USE_SIM_MEMORY_VALIDATION_TG" value="true" /> - <parameter name="DIAG_DDR3_USE_TG_AVL_2" value="false" /> - <parameter name="DIAG_DDR4_ABSTRACT_PHY" value="false" /> - <parameter name="DIAG_DDR4_BYPASS_DEFAULT_PATTERN" value="false" /> - <parameter name="DIAG_DDR4_BYPASS_USER_STAGE" value="true" /> - <parameter name="DIAG_DDR4_CAL_ADDR0" value="0" /> - <parameter name="DIAG_DDR4_CAL_ADDR1" value="8" /> - <parameter name="DIAG_DDR4_CAL_ENABLE_NON_DES" value="false" /> - <parameter name="DIAG_DDR4_CAL_FULL_CAL_ON_RESET" value="true" /> - <parameter name="DIAG_DDR4_DISABLE_AFI_P2C_REGISTERS" value="false" /> - <parameter name="DIAG_DDR4_EFFICIENCY_MONITOR">EFFMON_MODE_DISABLED</parameter> - <parameter name="DIAG_DDR4_EXPORT_SEQ_AVALON_HEAD_OF_CHAIN" value="true" /> - <parameter name="DIAG_DDR4_EXPORT_SEQ_AVALON_MASTER" value="false" /> - <parameter name="DIAG_DDR4_EXPORT_SEQ_AVALON_SLAVE">CAL_DEBUG_EXPORT_MODE_JTAG</parameter> - <parameter name="DIAG_DDR4_EXPORT_TG_CFG_AVALON_SLAVE">TG_CFG_AMM_EXPORT_MODE_EXPORT</parameter> - <parameter name="DIAG_DDR4_EX_DESIGN_ISSP_EN" value="true" /> - <parameter name="DIAG_DDR4_EX_DESIGN_NUM_OF_SLAVES" value="1" /> - <parameter name="DIAG_DDR4_EX_DESIGN_SEPARATE_RZQS" value="false" /> - <parameter name="DIAG_DDR4_INFI_TG2_ERR_TEST" value="false" /> - <parameter name="DIAG_DDR4_INTERFACE_ID" value="0" /> - <parameter name="DIAG_DDR4_SEPARATE_READ_WRITE_ITFS" value="false" /> - <parameter name="DIAG_DDR4_SIM_CAL_MODE_ENUM" value="SIM_CAL_MODE_SKIP" /> - <parameter name="DIAG_DDR4_SIM_VERBOSE" value="true" /> - <parameter name="DIAG_DDR4_SKIP_CA_DESKEW" value="false" /> - <parameter name="DIAG_DDR4_SKIP_CA_LEVEL" value="false" /> - <parameter name="DIAG_DDR4_SKIP_VREF_CAL" value="true" /> - <parameter name="DIAG_DDR4_USER_SIM_MEMORY_PRELOAD" value="false" /> - <parameter name="DIAG_DDR4_USER_SIM_MEMORY_PRELOAD_PRI_EMIF_FILE">EMIF_PRI_PRELOAD.txt</parameter> - <parameter name="DIAG_DDR4_USER_SIM_MEMORY_PRELOAD_SEC_EMIF_FILE">EMIF_SEC_PRELOAD.txt</parameter> - <parameter name="DIAG_DDR4_USER_USE_SIM_MEMORY_VALIDATION_TG" value="true" /> - <parameter name="DIAG_DDR4_USE_TG_AVL_2" value="false" /> - <parameter name="DIAG_ECLIPSE_DEBUG" value="false" /> - <parameter name="DIAG_ENABLE_HPS_EMIF_DEBUG" value="false" /> - <parameter name="DIAG_ENABLE_JTAG_UART" value="false" /> - <parameter name="DIAG_ENABLE_JTAG_UART_HEX" value="false" /> - <parameter name="DIAG_EXPORT_PLL_LOCKED" value="false" /> - <parameter name="DIAG_EXPORT_PLL_REF_CLK_OUT" value="false" /> - <parameter name="DIAG_EXPORT_VJI" value="false" /> - <parameter name="DIAG_EXPOSE_DFT_SIGNALS" value="false" /> - <parameter name="DIAG_EXTRA_CONFIGS" value="" /> - <parameter name="DIAG_EXT_DOCS" value="false" /> - <parameter name="DIAG_EX_DESIGN_ADD_TEST_EMIFS" value="" /> - <parameter name="DIAG_EX_DESIGN_SEPARATE_RESETS" value="false" /> - <parameter name="DIAG_FAST_SIM_OVERRIDE">FAST_SIM_OVERRIDE_DEFAULT</parameter> - <parameter name="DIAG_HMC_HRC" value="auto" /> - <parameter name="DIAG_LPDDR3_ABSTRACT_PHY" value="false" /> - <parameter name="DIAG_LPDDR3_BYPASS_DEFAULT_PATTERN" value="false" /> - <parameter name="DIAG_LPDDR3_BYPASS_USER_STAGE" value="true" /> - <parameter name="DIAG_LPDDR3_DISABLE_AFI_P2C_REGISTERS" value="false" /> - <parameter name="DIAG_LPDDR3_EFFICIENCY_MONITOR">EFFMON_MODE_DISABLED</parameter> - <parameter name="DIAG_LPDDR3_EXPORT_SEQ_AVALON_HEAD_OF_CHAIN" value="true" /> - <parameter name="DIAG_LPDDR3_EXPORT_SEQ_AVALON_MASTER" value="false" /> - <parameter name="DIAG_LPDDR3_EXPORT_SEQ_AVALON_SLAVE">CAL_DEBUG_EXPORT_MODE_DISABLED</parameter> - <parameter name="DIAG_LPDDR3_EXPORT_TG_CFG_AVALON_SLAVE">TG_CFG_AMM_EXPORT_MODE_EXPORT</parameter> - <parameter name="DIAG_LPDDR3_EX_DESIGN_ISSP_EN" value="true" /> - <parameter name="DIAG_LPDDR3_EX_DESIGN_NUM_OF_SLAVES" value="1" /> - <parameter name="DIAG_LPDDR3_EX_DESIGN_SEPARATE_RZQS" value="false" /> - <parameter name="DIAG_LPDDR3_INFI_TG2_ERR_TEST" value="false" /> - <parameter name="DIAG_LPDDR3_INTERFACE_ID" value="0" /> - <parameter name="DIAG_LPDDR3_SEPARATE_READ_WRITE_ITFS" value="false" /> - <parameter name="DIAG_LPDDR3_SIM_CAL_MODE_ENUM" value="SIM_CAL_MODE_SKIP" /> - <parameter name="DIAG_LPDDR3_SIM_VERBOSE" value="true" /> - <parameter name="DIAG_LPDDR3_SKIP_CA_DESKEW" value="false" /> - <parameter name="DIAG_LPDDR3_SKIP_CA_LEVEL" value="false" /> - <parameter name="DIAG_LPDDR3_USER_SIM_MEMORY_PRELOAD" value="false" /> - <parameter name="DIAG_LPDDR3_USER_SIM_MEMORY_PRELOAD_PRI_EMIF_FILE">EMIF_PRI_PRELOAD.txt</parameter> - <parameter name="DIAG_LPDDR3_USER_SIM_MEMORY_PRELOAD_SEC_EMIF_FILE">EMIF_SEC_PRELOAD.txt</parameter> - <parameter name="DIAG_LPDDR3_USER_USE_SIM_MEMORY_VALIDATION_TG" value="true" /> - <parameter name="DIAG_LPDDR3_USE_TG_AVL_2" value="false" /> - <parameter name="DIAG_QDR2_ABSTRACT_PHY" value="false" /> - <parameter name="DIAG_QDR2_BYPASS_DEFAULT_PATTERN" value="false" /> - <parameter name="DIAG_QDR2_BYPASS_USER_STAGE" value="true" /> - <parameter name="DIAG_QDR2_DISABLE_AFI_P2C_REGISTERS" value="false" /> - <parameter name="DIAG_QDR2_EFFICIENCY_MONITOR">EFFMON_MODE_DISABLED</parameter> - <parameter name="DIAG_QDR2_EXPORT_SEQ_AVALON_HEAD_OF_CHAIN" value="true" /> - <parameter name="DIAG_QDR2_EXPORT_SEQ_AVALON_MASTER" value="false" /> - <parameter name="DIAG_QDR2_EXPORT_SEQ_AVALON_SLAVE">CAL_DEBUG_EXPORT_MODE_DISABLED</parameter> - <parameter name="DIAG_QDR2_EXPORT_TG_CFG_AVALON_SLAVE">TG_CFG_AMM_EXPORT_MODE_EXPORT</parameter> - <parameter name="DIAG_QDR2_EX_DESIGN_ISSP_EN" value="true" /> - <parameter name="DIAG_QDR2_EX_DESIGN_NUM_OF_SLAVES" value="1" /> - <parameter name="DIAG_QDR2_EX_DESIGN_SEPARATE_RZQS" value="false" /> - <parameter name="DIAG_QDR2_INFI_TG2_ERR_TEST" value="false" /> - <parameter name="DIAG_QDR2_INTERFACE_ID" value="0" /> - <parameter name="DIAG_QDR2_SEPARATE_READ_WRITE_ITFS" value="false" /> - <parameter name="DIAG_QDR2_SIM_CAL_MODE_ENUM" value="SIM_CAL_MODE_SKIP" /> - <parameter name="DIAG_QDR2_SIM_VERBOSE" value="true" /> - <parameter name="DIAG_QDR2_USER_SIM_MEMORY_PRELOAD" value="false" /> - <parameter name="DIAG_QDR2_USER_SIM_MEMORY_PRELOAD_PRI_EMIF_FILE">EMIF_PRI_PRELOAD.txt</parameter> - <parameter name="DIAG_QDR2_USER_SIM_MEMORY_PRELOAD_SEC_EMIF_FILE">EMIF_SEC_PRELOAD.txt</parameter> - <parameter name="DIAG_QDR2_USER_USE_SIM_MEMORY_VALIDATION_TG" value="true" /> - <parameter name="DIAG_QDR2_USE_TG_AVL_2" value="false" /> - <parameter name="DIAG_QDR4_ABSTRACT_PHY" value="false" /> - <parameter name="DIAG_QDR4_BYPASS_DEFAULT_PATTERN" value="false" /> - <parameter name="DIAG_QDR4_BYPASS_USER_STAGE" value="true" /> - <parameter name="DIAG_QDR4_DISABLE_AFI_P2C_REGISTERS" value="false" /> - <parameter name="DIAG_QDR4_EFFICIENCY_MONITOR">EFFMON_MODE_DISABLED</parameter> - <parameter name="DIAG_QDR4_EXPORT_SEQ_AVALON_HEAD_OF_CHAIN" value="true" /> - <parameter name="DIAG_QDR4_EXPORT_SEQ_AVALON_MASTER" value="false" /> - <parameter name="DIAG_QDR4_EXPORT_SEQ_AVALON_SLAVE">CAL_DEBUG_EXPORT_MODE_DISABLED</parameter> - <parameter name="DIAG_QDR4_EXPORT_TG_CFG_AVALON_SLAVE">TG_CFG_AMM_EXPORT_MODE_EXPORT</parameter> - <parameter name="DIAG_QDR4_EX_DESIGN_ISSP_EN" value="true" /> - <parameter name="DIAG_QDR4_EX_DESIGN_NUM_OF_SLAVES" value="1" /> - <parameter name="DIAG_QDR4_EX_DESIGN_SEPARATE_RZQS" value="false" /> - <parameter name="DIAG_QDR4_INFI_TG2_ERR_TEST" value="false" /> - <parameter name="DIAG_QDR4_INTERFACE_ID" value="0" /> - <parameter name="DIAG_QDR4_SEPARATE_READ_WRITE_ITFS" value="false" /> - <parameter name="DIAG_QDR4_SIM_CAL_MODE_ENUM" value="SIM_CAL_MODE_SKIP" /> - <parameter name="DIAG_QDR4_SIM_VERBOSE" value="true" /> - <parameter name="DIAG_QDR4_SKIP_VREF_CAL" value="false" /> - <parameter name="DIAG_QDR4_USER_SIM_MEMORY_PRELOAD" value="false" /> - <parameter name="DIAG_QDR4_USER_SIM_MEMORY_PRELOAD_PRI_EMIF_FILE">EMIF_PRI_PRELOAD.txt</parameter> - <parameter name="DIAG_QDR4_USER_SIM_MEMORY_PRELOAD_SEC_EMIF_FILE">EMIF_SEC_PRELOAD.txt</parameter> - <parameter name="DIAG_QDR4_USER_USE_SIM_MEMORY_VALIDATION_TG" value="true" /> - <parameter name="DIAG_QDR4_USE_TG_AVL_2" value="false" /> - <parameter name="DIAG_RLD2_ABSTRACT_PHY" value="false" /> - <parameter name="DIAG_RLD2_BYPASS_DEFAULT_PATTERN" value="false" /> - <parameter name="DIAG_RLD2_BYPASS_USER_STAGE" value="true" /> - <parameter name="DIAG_RLD2_DISABLE_AFI_P2C_REGISTERS" value="false" /> - <parameter name="DIAG_RLD2_EFFICIENCY_MONITOR">EFFMON_MODE_DISABLED</parameter> - <parameter name="DIAG_RLD2_EXPORT_SEQ_AVALON_HEAD_OF_CHAIN" value="true" /> - <parameter name="DIAG_RLD2_EXPORT_SEQ_AVALON_MASTER" value="false" /> - <parameter name="DIAG_RLD2_EXPORT_SEQ_AVALON_SLAVE">CAL_DEBUG_EXPORT_MODE_DISABLED</parameter> - <parameter name="DIAG_RLD2_EXPORT_TG_CFG_AVALON_SLAVE">TG_CFG_AMM_EXPORT_MODE_EXPORT</parameter> - <parameter name="DIAG_RLD2_EX_DESIGN_ISSP_EN" value="true" /> - <parameter name="DIAG_RLD2_EX_DESIGN_NUM_OF_SLAVES" value="1" /> - <parameter name="DIAG_RLD2_EX_DESIGN_SEPARATE_RZQS" value="false" /> - <parameter name="DIAG_RLD2_INFI_TG2_ERR_TEST" value="false" /> - <parameter name="DIAG_RLD2_INTERFACE_ID" value="0" /> - <parameter name="DIAG_RLD2_SEPARATE_READ_WRITE_ITFS" value="false" /> - <parameter name="DIAG_RLD2_SIM_CAL_MODE_ENUM" value="SIM_CAL_MODE_SKIP" /> - <parameter name="DIAG_RLD2_SIM_VERBOSE" value="true" /> - <parameter name="DIAG_RLD2_USER_SIM_MEMORY_PRELOAD" value="false" /> - <parameter name="DIAG_RLD2_USER_SIM_MEMORY_PRELOAD_PRI_EMIF_FILE">EMIF_PRI_PRELOAD.txt</parameter> - <parameter name="DIAG_RLD2_USER_SIM_MEMORY_PRELOAD_SEC_EMIF_FILE">EMIF_SEC_PRELOAD.txt</parameter> - <parameter name="DIAG_RLD2_USER_USE_SIM_MEMORY_VALIDATION_TG" value="true" /> - <parameter name="DIAG_RLD2_USE_TG_AVL_2" value="false" /> - <parameter name="DIAG_RLD3_ABSTRACT_PHY" value="false" /> - <parameter name="DIAG_RLD3_BYPASS_DEFAULT_PATTERN" value="false" /> - <parameter name="DIAG_RLD3_BYPASS_USER_STAGE" value="true" /> - <parameter name="DIAG_RLD3_CA_DESKEW_EN" value="false" /> - <parameter name="DIAG_RLD3_CA_LEVEL_EN" value="false" /> - <parameter name="DIAG_RLD3_DISABLE_AFI_P2C_REGISTERS" value="false" /> - <parameter name="DIAG_RLD3_EFFICIENCY_MONITOR">EFFMON_MODE_DISABLED</parameter> - <parameter name="DIAG_RLD3_EXPORT_SEQ_AVALON_HEAD_OF_CHAIN" value="true" /> - <parameter name="DIAG_RLD3_EXPORT_SEQ_AVALON_MASTER" value="false" /> - <parameter name="DIAG_RLD3_EXPORT_SEQ_AVALON_SLAVE">CAL_DEBUG_EXPORT_MODE_DISABLED</parameter> - <parameter name="DIAG_RLD3_EXPORT_TG_CFG_AVALON_SLAVE">TG_CFG_AMM_EXPORT_MODE_EXPORT</parameter> - <parameter name="DIAG_RLD3_EX_DESIGN_ISSP_EN" value="true" /> - <parameter name="DIAG_RLD3_EX_DESIGN_NUM_OF_SLAVES" value="1" /> - <parameter name="DIAG_RLD3_EX_DESIGN_SEPARATE_RZQS" value="false" /> - <parameter name="DIAG_RLD3_INFI_TG2_ERR_TEST" value="false" /> - <parameter name="DIAG_RLD3_INTERFACE_ID" value="0" /> - <parameter name="DIAG_RLD3_SEPARATE_READ_WRITE_ITFS" value="false" /> - <parameter name="DIAG_RLD3_SIM_CAL_MODE_ENUM" value="SIM_CAL_MODE_SKIP" /> - <parameter name="DIAG_RLD3_SIM_VERBOSE" value="true" /> - <parameter name="DIAG_RLD3_USER_SIM_MEMORY_PRELOAD" value="false" /> - <parameter name="DIAG_RLD3_USER_SIM_MEMORY_PRELOAD_PRI_EMIF_FILE">EMIF_PRI_PRELOAD.txt</parameter> - <parameter name="DIAG_RLD3_USER_SIM_MEMORY_PRELOAD_SEC_EMIF_FILE">EMIF_SEC_PRELOAD.txt</parameter> - <parameter name="DIAG_RLD3_USER_USE_SIM_MEMORY_VALIDATION_TG" value="true" /> - <parameter name="DIAG_RLD3_USE_TG_AVL_2" value="false" /> - <parameter name="DIAG_RS232_UART_BAUDRATE" value="57600" /> - <parameter name="DIAG_SEQ_RESET_AUTO_RELEASE" value="avl" /> - <parameter name="DIAG_SIM_REGTEST_MODE" value="false" /> - <parameter name="DIAG_SOFT_NIOS_CLOCK_FREQUENCY" value="100" /> - <parameter name="DIAG_SOFT_NIOS_MODE">SOFT_NIOS_MODE_DISABLED</parameter> - <parameter name="DIAG_SYNTH_FOR_SIM" value="false" /> - <parameter name="DIAG_TG_AVL_2_NUM_CFG_INTERFACES" value="0" /> - <parameter name="DIAG_TIMING_REGTEST_MODE" value="false" /> - <parameter name="DIAG_USE_BOARD_DELAY_MODEL" value="false" /> - <parameter name="DIAG_USE_RS232_UART" value="false" /> - <parameter name="DIAG_VERBOSE_IOAUX" value="false" /> - <parameter name="EX_DESIGN_GUI_DDR3_GEN_SIM" value="true" /> - <parameter name="EX_DESIGN_GUI_DDR3_GEN_SYNTH" value="true" /> - <parameter name="EX_DESIGN_GUI_DDR3_HDL_FORMAT" value="HDL_FORMAT_VERILOG" /> - <parameter name="EX_DESIGN_GUI_DDR3_PREV_PRESET" value="TARGET_DEV_KIT_NONE" /> - <parameter name="EX_DESIGN_GUI_DDR3_SEL_DESIGN">AVAIL_EX_DESIGNS_GEN_DESIGN</parameter> - <parameter name="EX_DESIGN_GUI_DDR3_TARGET_DEV_KIT" value="TARGET_DEV_KIT_NONE" /> - <parameter name="EX_DESIGN_GUI_DDR4_GEN_SIM" value="true" /> - <parameter name="EX_DESIGN_GUI_DDR4_GEN_SYNTH" value="true" /> - <parameter name="EX_DESIGN_GUI_DDR4_HDL_FORMAT" value="HDL_FORMAT_VERILOG" /> - <parameter name="EX_DESIGN_GUI_DDR4_PREV_PRESET" value="TARGET_DEV_KIT_NONE" /> - <parameter name="EX_DESIGN_GUI_DDR4_SEL_DESIGN">AVAIL_EX_DESIGNS_GEN_DESIGN</parameter> - <parameter name="EX_DESIGN_GUI_DDR4_TARGET_DEV_KIT" value="TARGET_DEV_KIT_NONE" /> - <parameter name="EX_DESIGN_GUI_LPDDR3_GEN_SIM" value="true" /> - <parameter name="EX_DESIGN_GUI_LPDDR3_GEN_SYNTH" value="true" /> - <parameter name="EX_DESIGN_GUI_LPDDR3_HDL_FORMAT" value="HDL_FORMAT_VERILOG" /> - <parameter name="EX_DESIGN_GUI_LPDDR3_PREV_PRESET" value="TARGET_DEV_KIT_NONE" /> - <parameter name="EX_DESIGN_GUI_LPDDR3_SEL_DESIGN">AVAIL_EX_DESIGNS_GEN_DESIGN</parameter> - <parameter - name="EX_DESIGN_GUI_LPDDR3_TARGET_DEV_KIT" - value="TARGET_DEV_KIT_NONE" /> - <parameter name="EX_DESIGN_GUI_QDR2_GEN_SIM" value="true" /> - <parameter name="EX_DESIGN_GUI_QDR2_GEN_SYNTH" value="true" /> - <parameter name="EX_DESIGN_GUI_QDR2_HDL_FORMAT" value="HDL_FORMAT_VERILOG" /> - <parameter name="EX_DESIGN_GUI_QDR2_PREV_PRESET" value="TARGET_DEV_KIT_NONE" /> - <parameter name="EX_DESIGN_GUI_QDR2_SEL_DESIGN">AVAIL_EX_DESIGNS_GEN_DESIGN</parameter> - <parameter name="EX_DESIGN_GUI_QDR2_TARGET_DEV_KIT" value="TARGET_DEV_KIT_NONE" /> - <parameter name="EX_DESIGN_GUI_QDR4_GEN_SIM" value="true" /> - <parameter name="EX_DESIGN_GUI_QDR4_GEN_SYNTH" value="true" /> - <parameter name="EX_DESIGN_GUI_QDR4_HDL_FORMAT" value="HDL_FORMAT_VERILOG" /> - <parameter name="EX_DESIGN_GUI_QDR4_PREV_PRESET" value="TARGET_DEV_KIT_NONE" /> - <parameter name="EX_DESIGN_GUI_QDR4_SEL_DESIGN">AVAIL_EX_DESIGNS_GEN_DESIGN</parameter> - <parameter name="EX_DESIGN_GUI_QDR4_TARGET_DEV_KIT" value="TARGET_DEV_KIT_NONE" /> - <parameter name="EX_DESIGN_GUI_RLD2_GEN_SIM" value="true" /> - <parameter name="EX_DESIGN_GUI_RLD2_GEN_SYNTH" value="true" /> - <parameter name="EX_DESIGN_GUI_RLD2_HDL_FORMAT" value="HDL_FORMAT_VERILOG" /> - <parameter name="EX_DESIGN_GUI_RLD2_PREV_PRESET" value="TARGET_DEV_KIT_NONE" /> - <parameter name="EX_DESIGN_GUI_RLD2_SEL_DESIGN">AVAIL_EX_DESIGNS_GEN_DESIGN</parameter> - <parameter name="EX_DESIGN_GUI_RLD2_TARGET_DEV_KIT" value="TARGET_DEV_KIT_NONE" /> - <parameter name="EX_DESIGN_GUI_RLD3_GEN_SIM" value="true" /> - <parameter name="EX_DESIGN_GUI_RLD3_GEN_SYNTH" value="true" /> - <parameter name="EX_DESIGN_GUI_RLD3_HDL_FORMAT" value="HDL_FORMAT_VERILOG" /> - <parameter name="EX_DESIGN_GUI_RLD3_PREV_PRESET" value="TARGET_DEV_KIT_NONE" /> - <parameter name="EX_DESIGN_GUI_RLD3_SEL_DESIGN">AVAIL_EX_DESIGNS_GEN_DESIGN</parameter> - <parameter name="EX_DESIGN_GUI_RLD3_TARGET_DEV_KIT" value="TARGET_DEV_KIT_NONE" /> - <parameter name="INTERNAL_TESTING_MODE" value="false" /> - <parameter name="IS_ED_SLAVE" value="false" /> - <parameter name="MEM_DDR3_ALERT_N_DQS_GROUP" value="0" /> - <parameter name="MEM_DDR3_ALERT_N_PLACEMENT_ENUM">DDR3_ALERT_N_PLACEMENT_AC_LANES</parameter> - <parameter name="MEM_DDR3_ASR_ENUM" value="DDR3_ASR_MANUAL" /> - <parameter name="MEM_DDR3_ATCL_ENUM" value="DDR3_ATCL_DISABLED" /> - <parameter name="MEM_DDR3_BANK_ADDR_WIDTH" value="3" /> - <parameter name="MEM_DDR3_BL_ENUM" value="DDR3_BL_BL8" /> - <parameter name="MEM_DDR3_BT_ENUM" value="DDR3_BT_SEQUENTIAL" /> - <parameter name="MEM_DDR3_CFG_GEN_DBE" value="false" /> - <parameter name="MEM_DDR3_CFG_GEN_SBE" value="false" /> - <parameter name="MEM_DDR3_CKE_PER_DIMM" value="1" /> - <parameter name="MEM_DDR3_CK_WIDTH" value="1" /> - <parameter name="MEM_DDR3_COL_ADDR_WIDTH" value="10" /> - <parameter name="MEM_DDR3_DISCRETE_CS_WIDTH" value="1" /> - <parameter name="MEM_DDR3_DISCRETE_MIRROR_ADDRESSING_EN" value="false" /> - <parameter name="MEM_DDR3_DLL_EN" value="true" /> - <parameter name="MEM_DDR3_DM_EN" value="true" /> - <parameter name="MEM_DDR3_DQ_PER_DQS" value="8" /> - <parameter name="MEM_DDR3_DQ_WIDTH" value="72" /> - <parameter name="MEM_DDR3_DRV_STR_ENUM" value="DDR3_DRV_STR_RZQ_7" /> - <parameter name="MEM_DDR3_FORMAT_ENUM" value="MEM_FORMAT_UDIMM" /> - <parameter name="MEM_DDR3_HIDE_ADV_MR_SETTINGS" value="true" /> - <parameter name="MEM_DDR3_LRDIMM_EXTENDED_CONFIG" value="000000000000000000" /> - <parameter name="MEM_DDR3_MIRROR_ADDRESSING_EN" value="true" /> - <parameter name="MEM_DDR3_NUM_OF_DIMMS" value="1" /> - <parameter name="MEM_DDR3_PD_ENUM" value="DDR3_PD_OFF" /> - <parameter name="MEM_DDR3_RANKS_PER_DIMM" value="1" /> - <parameter name="MEM_DDR3_RDIMM_CONFIG" value="0000000000000000" /> - <parameter name="MEM_DDR3_ROW_ADDR_WIDTH" value="15" /> - <parameter name="MEM_DDR3_RTT_NOM_ENUM">DDR3_RTT_NOM_ODT_DISABLED</parameter> - <parameter name="MEM_DDR3_RTT_WR_ENUM" value="DDR3_RTT_WR_RZQ_4" /> - <parameter name="MEM_DDR3_R_ODT0_1X1" value="off" /> - <parameter name="MEM_DDR3_R_ODT0_2X2" value="off,off" /> - <parameter name="MEM_DDR3_R_ODT0_4X2" value="off,off,on,on" /> - <parameter name="MEM_DDR3_R_ODT0_4X4" value="off,off,off,off" /> - <parameter name="MEM_DDR3_R_ODT1_2X2" value="off,off" /> - <parameter name="MEM_DDR3_R_ODT1_4X2" value="on,on,off,off" /> - <parameter name="MEM_DDR3_R_ODT1_4X4" value="off,off,on,on" /> - <parameter name="MEM_DDR3_R_ODT2_4X4" value="off,off,off,off" /> - <parameter name="MEM_DDR3_R_ODT3_4X4" value="on,on,off,off" /> - <parameter name="MEM_DDR3_R_ODTN_1X1" value="Rank 0" /> - <parameter name="MEM_DDR3_R_ODTN_2X2" value="Rank 0,Rank 1" /> - <parameter name="MEM_DDR3_R_ODTN_4X2">Rank 0,Rank 1,Rank 2,Rank 3</parameter> - <parameter name="MEM_DDR3_R_ODTN_4X4">Rank 0,Rank 1,Rank 2,Rank 3</parameter> - <parameter name="MEM_DDR3_SPEEDBIN_ENUM" value="DDR3_SPEEDBIN_2133" /> - <parameter name="MEM_DDR3_SRT_ENUM" value="DDR3_SRT_NORMAL" /> - <parameter name="MEM_DDR3_TCL" value="14" /> - <parameter name="MEM_DDR3_TDH_DC_MV" value="100" /> - <parameter name="MEM_DDR3_TDH_PS" value="55" /> - <parameter name="MEM_DDR3_TDQSCK_PS" value="180" /> - <parameter name="MEM_DDR3_TDQSQ_PS" value="75" /> - <parameter name="MEM_DDR3_TDQSS_CYC" value="0.27" /> - <parameter name="MEM_DDR3_TDSH_CYC" value="0.18" /> - <parameter name="MEM_DDR3_TDSS_CYC" value="0.18" /> - <parameter name="MEM_DDR3_TDS_AC_MV" value="135" /> - <parameter name="MEM_DDR3_TDS_PS" value="53" /> - <parameter name="MEM_DDR3_TFAW_NS" value="25.0" /> - <parameter name="MEM_DDR3_TIH_DC_MV" value="100" /> - <parameter name="MEM_DDR3_TIH_PS" value="95" /> - <parameter name="MEM_DDR3_TINIT_US" value="500" /> - <parameter name="MEM_DDR3_TIS_AC_MV" value="135" /> - <parameter name="MEM_DDR3_TIS_PS" value="60" /> - <parameter name="MEM_DDR3_TMRD_CK_CYC" value="4" /> - <parameter name="MEM_DDR3_TQH_CYC" value="0.38" /> - <parameter name="MEM_DDR3_TQSH_CYC" value="0.4" /> - <parameter name="MEM_DDR3_TRAS_NS" value="33.0" /> - <parameter name="MEM_DDR3_TRCD_NS" value="13.09" /> - <parameter name="MEM_DDR3_TREFI_US" value="7.8" /> - <parameter name="MEM_DDR3_TRFC_NS" value="160.0" /> - <parameter name="MEM_DDR3_TRP_NS" value="13.09" /> - <parameter name="MEM_DDR3_TRRD_CYC" value="6" /> - <parameter name="MEM_DDR3_TRTP_CYC" value="8" /> - <parameter name="MEM_DDR3_TWLH_PS" value="125.0" /> - <parameter name="MEM_DDR3_TWLS_PS" value="125.0" /> - <parameter name="MEM_DDR3_TWR_NS" value="15.0" /> - <parameter name="MEM_DDR3_TWTR_CYC" value="8" /> - <parameter name="MEM_DDR3_USE_DEFAULT_ODT" value="true" /> - <parameter name="MEM_DDR3_WTCL" value="10" /> - <parameter name="MEM_DDR3_W_ODT0_1X1" value="on" /> - <parameter name="MEM_DDR3_W_ODT0_2X2" value="on,off" /> - <parameter name="MEM_DDR3_W_ODT0_4X2" value="off,off,on,on" /> - <parameter name="MEM_DDR3_W_ODT0_4X4" value="on,on,off,off" /> - <parameter name="MEM_DDR3_W_ODT1_2X2" value="off,on" /> - <parameter name="MEM_DDR3_W_ODT1_4X2" value="on,on,off,off" /> - <parameter name="MEM_DDR3_W_ODT1_4X4" value="off,off,on,on" /> - <parameter name="MEM_DDR3_W_ODT2_4X4" value="off,off,on,on" /> - <parameter name="MEM_DDR3_W_ODT3_4X4" value="on,on,off,off" /> - <parameter name="MEM_DDR3_W_ODTN_1X1" value="Rank 0" /> - <parameter name="MEM_DDR3_W_ODTN_2X2" value="Rank 0,Rank 1" /> - <parameter name="MEM_DDR3_W_ODTN_4X2">Rank 0,Rank 1,Rank 2,Rank 3</parameter> - <parameter name="MEM_DDR3_W_ODTN_4X4">Rank 0,Rank 1,Rank 2,Rank 3</parameter> - <parameter name="MEM_DDR4_AC_PARITY_LATENCY">DDR4_AC_PARITY_LATENCY_DISABLE</parameter> - <parameter name="MEM_DDR4_AC_PERSISTENT_ERROR" value="false" /> - <parameter name="MEM_DDR4_ALERT_N_AC_LANE" value="0" /> - <parameter name="MEM_DDR4_ALERT_N_AC_PIN" value="0" /> - <parameter name="MEM_DDR4_ALERT_N_DQS_GROUP" value="0" /> - <parameter name="MEM_DDR4_ALERT_N_PLACEMENT_ENUM">DDR4_ALERT_N_PLACEMENT_DATA_LANES</parameter> - <parameter name="MEM_DDR4_ALERT_PAR_EN" value="true" /> - <parameter name="MEM_DDR4_ASR_ENUM">DDR4_ASR_MANUAL_NORMAL</parameter> - <parameter name="MEM_DDR4_ATCL_ENUM" value="DDR4_ATCL_DISABLED" /> - <parameter name="MEM_DDR4_BANK_ADDR_WIDTH" value="2" /> - <parameter name="MEM_DDR4_BANK_GROUP_WIDTH" value="2" /> - <parameter name="MEM_DDR4_BL_ENUM" value="DDR4_BL_BL8" /> - <parameter name="MEM_DDR4_BT_ENUM" value="DDR4_BT_SEQUENTIAL" /> - <parameter name="MEM_DDR4_CAL_MODE" value="0" /> - <parameter name="MEM_DDR4_CFG_GEN_DBE" value="false" /> - <parameter name="MEM_DDR4_CFG_GEN_SBE" value="false" /> - <parameter name="MEM_DDR4_CHIP_ID_WIDTH" value="0" /> - <parameter name="MEM_DDR4_CKE_PER_DIMM" value="1" /> - <parameter name="MEM_DDR4_CK_WIDTH" value="2" /> - <parameter name="MEM_DDR4_COL_ADDR_WIDTH" value="10" /> - <parameter name="MEM_DDR4_DB_DQ_DRV_ENUM">DDR4_DB_DRV_STR_RZQ_7</parameter> - <parameter name="MEM_DDR4_DB_RTT_NOM_ENUM">DDR4_DB_RTT_NOM_ODT_DISABLED</parameter> - <parameter name="MEM_DDR4_DB_RTT_PARK_ENUM">DDR4_DB_RTT_PARK_ODT_DISABLED</parameter> - <parameter name="MEM_DDR4_DB_RTT_WR_ENUM">DDR4_DB_RTT_WR_RZQ_3</parameter> - <parameter name="MEM_DDR4_DEFAULT_VREFOUT" value="false" /> - <parameter name="MEM_DDR4_DISCRETE_CS_WIDTH" value="1" /> - <parameter name="MEM_DDR4_DISCRETE_MIRROR_ADDRESSING_EN" value="false" /> - <parameter name="MEM_DDR4_DLL_EN" value="true" /> - <parameter name="MEM_DDR4_DM_EN" value="true" /> - <parameter name="MEM_DDR4_DQ_PER_DQS" value="8" /> - <parameter name="MEM_DDR4_DQ_WIDTH" value="72" /> - <parameter name="MEM_DDR4_DRV_STR_ENUM" value="DDR4_DRV_STR_RZQ_7" /> - <parameter name="MEM_DDR4_FINE_GRANULARITY_REFRESH">DDR4_FINE_REFRESH_FIXED_1X</parameter> - <parameter name="MEM_DDR4_FORMAT_ENUM" value="MEM_FORMAT_SODIMM" /> - <parameter name="MEM_DDR4_GEARDOWN" value="DDR4_GEARDOWN_HR" /> - <parameter name="MEM_DDR4_HIDE_ADV_MR_SETTINGS" value="true" /> - <parameter name="MEM_DDR4_INTERNAL_VREFDQ_MONITOR" value="false" /> - <parameter name="MEM_DDR4_LRDIMM_ODT_LESS_BS" value="true" /> - <parameter name="MEM_DDR4_LRDIMM_ODT_LESS_BS_PARK_OHM" value="240" /> - <parameter name="MEM_DDR4_LRDIMM_VREFDQ_VALUE" value="1D" /> - <parameter name="MEM_DDR4_MAX_POWERDOWN" value="false" /> - <parameter name="MEM_DDR4_MIRROR_ADDRESSING_EN" value="true" /> - <parameter name="MEM_DDR4_MPR_READ_FORMAT">DDR4_MPR_READ_FORMAT_SERIAL</parameter> - <parameter name="MEM_DDR4_NUM_OF_DIMMS" value="1" /> - <parameter name="MEM_DDR4_ODT_IN_POWERDOWN" value="true" /> - <parameter name="MEM_DDR4_PER_DRAM_ADDR" value="false" /> - <parameter name="MEM_DDR4_RANKS_PER_DIMM" value="2" /> - <parameter name="MEM_DDR4_RCD_CA_IBT_ENUM" value="DDR4_RCD_CA_IBT_100" /> - <parameter name="MEM_DDR4_RCD_CKE_IBT_ENUM">DDR4_RCD_CKE_IBT_100</parameter> - <parameter name="MEM_DDR4_RCD_CS_IBT_ENUM" value="DDR4_RCD_CS_IBT_100" /> - <parameter name="MEM_DDR4_RCD_ODT_IBT_ENUM">DDR4_RCD_ODT_IBT_100</parameter> - <parameter name="MEM_DDR4_READ_DBI" value="false" /> - <parameter name="MEM_DDR4_READ_PREAMBLE" value="2" /> - <parameter name="MEM_DDR4_READ_PREAMBLE_TRAINING" value="false" /> - <parameter name="MEM_DDR4_ROW_ADDR_WIDTH" value="15" /> - <parameter name="MEM_DDR4_RTT_NOM_ENUM" value="DDR4_RTT_NOM_RZQ_4" /> - <parameter name="MEM_DDR4_RTT_PARK">DDR4_RTT_PARK_ODT_DISABLED</parameter> - <parameter name="MEM_DDR4_RTT_WR_ENUM">DDR4_RTT_WR_ODT_DISABLED</parameter> - <parameter name="MEM_DDR4_R_ODT0_1X1" value="on" /> - <parameter name="MEM_DDR4_R_ODT0_2X2" value="on,off" /> - <parameter name="MEM_DDR4_R_ODT0_4X2" value="off,off,on,on" /> - <parameter name="MEM_DDR4_R_ODT0_4X4" value="off,off,off,off" /> - <parameter name="MEM_DDR4_R_ODT1_2X2" value="off,on" /> - <parameter name="MEM_DDR4_R_ODT1_4X2" value="on,on,off,off" /> - <parameter name="MEM_DDR4_R_ODT1_4X4" value="off,off,on,on" /> - <parameter name="MEM_DDR4_R_ODT2_4X4" value="off,off,off,off" /> - <parameter name="MEM_DDR4_R_ODT3_4X4" value="on,on,off,off" /> - <parameter name="MEM_DDR4_R_ODTN_1X1" value="Rank 0" /> - <parameter name="MEM_DDR4_R_ODTN_2X2" value="Rank 0,Rank 1" /> - <parameter name="MEM_DDR4_R_ODTN_4X2">Rank 0,Rank 1,Rank 2,Rank 3</parameter> - <parameter name="MEM_DDR4_R_ODTN_4X4">Rank 0,Rank 1,Rank 2,Rank 3</parameter> - <parameter name="MEM_DDR4_SELF_RFSH_ABORT" value="false" /> - <parameter name="MEM_DDR4_SPD_133_RCD_DB_VENDOR_LSB" value="0" /> - <parameter name="MEM_DDR4_SPD_134_RCD_DB_VENDOR_MSB" value="0" /> - <parameter name="MEM_DDR4_SPD_135_RCD_REV" value="0" /> - <parameter name="MEM_DDR4_SPD_137_RCD_CA_DRV" value="101" /> - <parameter name="MEM_DDR4_SPD_138_RCD_CK_DRV" value="5" /> - <parameter name="MEM_DDR4_SPD_139_DB_REV" value="0" /> - <parameter name="MEM_DDR4_SPD_140_DRAM_VREFDQ_R0" value="29" /> - <parameter name="MEM_DDR4_SPD_141_DRAM_VREFDQ_R1" value="29" /> - <parameter name="MEM_DDR4_SPD_142_DRAM_VREFDQ_R2" value="29" /> - <parameter name="MEM_DDR4_SPD_143_DRAM_VREFDQ_R3" value="29" /> - <parameter name="MEM_DDR4_SPD_144_DB_VREFDQ" value="37" /> - <parameter name="MEM_DDR4_SPD_145_DB_MDQ_DRV" value="21" /> - <parameter name="MEM_DDR4_SPD_148_DRAM_DRV" value="0" /> - <parameter name="MEM_DDR4_SPD_149_DRAM_RTT_WR_NOM" value="20" /> - <parameter name="MEM_DDR4_SPD_152_DRAM_RTT_PARK" value="39" /> - <parameter name="MEM_DDR4_SPEEDBIN_ENUM" value="DDR4_SPEEDBIN_2133" /> - <parameter name="MEM_DDR4_TCCD_L_CYC" value="5" /> - <parameter name="MEM_DDR4_TCCD_S_CYC" value="4" /> - <parameter name="MEM_DDR4_TCL" value="11" /> - <parameter name="MEM_DDR4_TDIVW_DJ_CYC" value="0.1" /> - <parameter name="MEM_DDR4_TDIVW_TOTAL_UI" value="0.1" /> - <parameter name="MEM_DDR4_TDQSCK_PS" value="170" /> - <parameter name="MEM_DDR4_TDQSQ_PS" value="66" /> - <parameter name="MEM_DDR4_TDQSQ_UI" value="0.16" /> - <parameter name="MEM_DDR4_TDQSS_CYC" value="0.27" /> - <parameter name="MEM_DDR4_TDSH_CYC" value="0.18" /> - <parameter name="MEM_DDR4_TDSS_CYC" value="0.18" /> - <parameter name="MEM_DDR4_TDVWP_UI" value="0.72" /> - <parameter name="MEM_DDR4_TEMP_CONTROLLED_RFSH_ENA" value="false" /> - <parameter name="MEM_DDR4_TEMP_CONTROLLED_RFSH_RANGE">DDR4_TEMP_CONTROLLED_RFSH_NORMAL</parameter> - <parameter name="MEM_DDR4_TEMP_SENSOR_READOUT" value="false" /> - <parameter name="MEM_DDR4_TFAW_DLR_CYC" value="16" /> - <parameter name="MEM_DDR4_TFAW_NS" value="21.0" /> - <parameter name="MEM_DDR4_TIH_DC_MV" value="75" /> - <parameter name="MEM_DDR4_TIH_PS" value="105" /> - <parameter name="MEM_DDR4_TINIT_US" value="500" /> - <parameter name="MEM_DDR4_TIS_AC_MV" value="100" /> - <parameter name="MEM_DDR4_TIS_PS" value="80" /> - <parameter name="MEM_DDR4_TMRD_CK_CYC" value="8" /> - <parameter name="MEM_DDR4_TQH_CYC" value="0.38" /> - <parameter name="MEM_DDR4_TQH_UI" value="0.76" /> - <parameter name="MEM_DDR4_TQSH_CYC" value="0.38" /> - <parameter name="MEM_DDR4_TRAS_NS" value="33.0" /> - <parameter name="MEM_DDR4_TRCD_NS" value="14.06" /> - <parameter name="MEM_DDR4_TREFI_US" value="7.8" /> - <parameter name="MEM_DDR4_TRFC_DLR_NS" value="90.0" /> - <parameter name="MEM_DDR4_TRFC_NS" value="260.0" /> - <parameter name="MEM_DDR4_TRP_NS" value="14.06" /> - <parameter name="MEM_DDR4_TRRD_DLR_CYC" value="4" /> - <parameter name="MEM_DDR4_TRRD_L_CYC" value="5" /> - <parameter name="MEM_DDR4_TRRD_S_CYC" value="3" /> - <parameter name="MEM_DDR4_TWLH_CYC" value="0.13" /> - <parameter name="MEM_DDR4_TWLH_PS" value="0.0" /> - <parameter name="MEM_DDR4_TWLS_CYC" value="0.13" /> - <parameter name="MEM_DDR4_TWLS_PS" value="0.0" /> - <parameter name="MEM_DDR4_TWR_NS" value="15.0" /> - <parameter name="MEM_DDR4_TWTR_L_CYC" value="6" /> - <parameter name="MEM_DDR4_TWTR_S_CYC" value="2" /> - <parameter name="MEM_DDR4_USER_VREFDQ_TRAINING_RANGE">DDR4_VREFDQ_TRAINING_RANGE_1</parameter> - <parameter name="MEM_DDR4_USER_VREFDQ_TRAINING_VALUE" value="68.0" /> - <parameter name="MEM_DDR4_USE_DEFAULT_ODT" value="false" /> - <parameter name="MEM_DDR4_VDIVW_TOTAL" value="136" /> - <parameter name="MEM_DDR4_WRITE_CRC" value="false" /> - <parameter name="MEM_DDR4_WRITE_DBI" value="false" /> - <parameter name="MEM_DDR4_WRITE_PREAMBLE" value="1" /> - <parameter name="MEM_DDR4_WTCL" value="9" /> - <parameter name="MEM_DDR4_W_ODT0_1X1" value="on" /> - <parameter name="MEM_DDR4_W_ODT0_2X2" value="on,off" /> - <parameter name="MEM_DDR4_W_ODT0_4X2" value="off,off,on,on" /> - <parameter name="MEM_DDR4_W_ODT0_4X4" value="on,on,off,off" /> - <parameter name="MEM_DDR4_W_ODT1_2X2" value="off,on" /> - <parameter name="MEM_DDR4_W_ODT1_4X2" value="on,on,off,off" /> - <parameter name="MEM_DDR4_W_ODT1_4X4" value="off,off,on,on" /> - <parameter name="MEM_DDR4_W_ODT2_4X4" value="off,off,on,on" /> - <parameter name="MEM_DDR4_W_ODT3_4X4" value="on,on,off,off" /> - <parameter name="MEM_DDR4_W_ODTN_1X1" value="Rank 0" /> - <parameter name="MEM_DDR4_W_ODTN_2X2" value="Rank 0,Rank 1" /> - <parameter name="MEM_DDR4_W_ODTN_4X2">Rank 0,Rank 1,Rank 2,Rank 3</parameter> - <parameter name="MEM_DDR4_W_ODTN_4X4">Rank 0,Rank 1,Rank 2,Rank 3</parameter> - <parameter name="MEM_LPDDR3_BANK_ADDR_WIDTH" value="3" /> - <parameter name="MEM_LPDDR3_BL" value="LPDDR3_BL_BL8" /> - <parameter name="MEM_LPDDR3_CK_WIDTH" value="1" /> - <parameter name="MEM_LPDDR3_COL_ADDR_WIDTH" value="10" /> - <parameter name="MEM_LPDDR3_DATA_LATENCY" value="LPDDR3_DL_RL12_WL6" /> - <parameter name="MEM_LPDDR3_DISCRETE_CS_WIDTH" value="1" /> - <parameter name="MEM_LPDDR3_DM_EN" value="true" /> - <parameter name="MEM_LPDDR3_DQODT">LPDDR3_DQODT_DISABLE</parameter> - <parameter name="MEM_LPDDR3_DQ_WIDTH" value="32" /> - <parameter name="MEM_LPDDR3_DRV_STR">LPDDR3_DRV_STR_40D_40U</parameter> - <parameter name="MEM_LPDDR3_PDODT">LPDDR3_PDODT_DISABLED</parameter> - <parameter name="MEM_LPDDR3_ROW_ADDR_WIDTH" value="15" /> - <parameter name="MEM_LPDDR3_R_ODT0_1X1" value="off" /> - <parameter name="MEM_LPDDR3_R_ODT0_2X2" value="off,off" /> - <parameter name="MEM_LPDDR3_R_ODT0_4X4" value="off,off,on,on" /> - <parameter name="MEM_LPDDR3_R_ODT1_2X2" value="off,off" /> - <parameter name="MEM_LPDDR3_R_ODT1_4X4" value="off,off,off,off" /> - <parameter name="MEM_LPDDR3_R_ODT2_4X4" value="on,on,off,off" /> - <parameter name="MEM_LPDDR3_R_ODT3_4X4" value="off,off,off,off" /> - <parameter name="MEM_LPDDR3_R_ODTN_1X1" value="Rank 0" /> - <parameter name="MEM_LPDDR3_R_ODTN_2X2" value="Rank 0,Rank 1" /> - <parameter name="MEM_LPDDR3_R_ODTN_4X4">Rank 0,Rank 1,Rank 2,Rank 3</parameter> - <parameter name="MEM_LPDDR3_SPEEDBIN_ENUM">LPDDR3_SPEEDBIN_1600</parameter> - <parameter name="MEM_LPDDR3_TDH_DC_MV" value="100" /> - <parameter name="MEM_LPDDR3_TDH_PS" value="100" /> - <parameter name="MEM_LPDDR3_TDQSCKDL" value="614" /> - <parameter name="MEM_LPDDR3_TDQSQ_PS" value="135" /> - <parameter name="MEM_LPDDR3_TDQSS_CYC" value="1.25" /> - <parameter name="MEM_LPDDR3_TDSH_CYC" value="0.2" /> - <parameter name="MEM_LPDDR3_TDSS_CYC" value="0.2" /> - <parameter name="MEM_LPDDR3_TDS_AC_MV" value="150" /> - <parameter name="MEM_LPDDR3_TDS_PS" value="75" /> - <parameter name="MEM_LPDDR3_TFAW_NS" value="50.0" /> - <parameter name="MEM_LPDDR3_TIH_DC_MV" value="100" /> - <parameter name="MEM_LPDDR3_TIH_PS" value="100" /> - <parameter name="MEM_LPDDR3_TINIT_US" value="500" /> - <parameter name="MEM_LPDDR3_TIS_AC_MV" value="150" /> - <parameter name="MEM_LPDDR3_TIS_PS" value="75" /> - <parameter name="MEM_LPDDR3_TMRR_CK_CYC" value="4" /> - <parameter name="MEM_LPDDR3_TMRW_CK_CYC" value="10" /> - <parameter name="MEM_LPDDR3_TQH_CYC" value="0.38" /> - <parameter name="MEM_LPDDR3_TQSH_CYC" value="0.38" /> - <parameter name="MEM_LPDDR3_TRAS_NS" value="42.5" /> - <parameter name="MEM_LPDDR3_TRCD_NS" value="18.75" /> - <parameter name="MEM_LPDDR3_TREFI_US" value="3.9" /> - <parameter name="MEM_LPDDR3_TRFC_NS" value="210.0" /> - <parameter name="MEM_LPDDR3_TRP_NS" value="18.75" /> - <parameter name="MEM_LPDDR3_TRRD_CYC" value="2" /> - <parameter name="MEM_LPDDR3_TRTP_CYC" value="4" /> - <parameter name="MEM_LPDDR3_TWLH_PS" value="175.0" /> - <parameter name="MEM_LPDDR3_TWLS_PS" value="175.0" /> - <parameter name="MEM_LPDDR3_TWR_NS" value="15.0" /> - <parameter name="MEM_LPDDR3_TWTR_CYC" value="4" /> - <parameter name="MEM_LPDDR3_USE_DEFAULT_ODT" value="true" /> - <parameter name="MEM_LPDDR3_W_ODT0_1X1" value="on" /> - <parameter name="MEM_LPDDR3_W_ODT0_2X2" value="on,off" /> - <parameter name="MEM_LPDDR3_W_ODT0_4X4" value="on,on,on,on" /> - <parameter name="MEM_LPDDR3_W_ODT1_2X2" value="off,on" /> - <parameter name="MEM_LPDDR3_W_ODT1_4X4" value="off,off,off,off" /> - <parameter name="MEM_LPDDR3_W_ODT2_4X4" value="on,on,on,on" /> - <parameter name="MEM_LPDDR3_W_ODT3_4X4" value="off,off,off,off" /> - <parameter name="MEM_LPDDR3_W_ODTN_1X1" value="Rank 0" /> - <parameter name="MEM_LPDDR3_W_ODTN_2X2" value="Rank 0,Rank 1" /> - <parameter name="MEM_LPDDR3_W_ODTN_4X4">Rank 0,Rank 1,Rank 2,Rank 3</parameter> - <parameter name="MEM_QDR2_ADDR_WIDTH" value="19" /> - <parameter name="MEM_QDR2_BL" value="4" /> - <parameter name="MEM_QDR2_BWS_EN" value="true" /> - <parameter name="MEM_QDR2_DATA_PER_DEVICE" value="36" /> - <parameter name="MEM_QDR2_INTERNAL_JITTER_NS" value="0.08" /> - <parameter name="MEM_QDR2_SPEEDBIN_ENUM" value="QDR2_SPEEDBIN_633" /> - <parameter name="MEM_QDR2_TCCQO_NS" value="0.45" /> - <parameter name="MEM_QDR2_TCQDOH_NS" value="-0.09" /> - <parameter name="MEM_QDR2_TCQD_NS" value="0.09" /> - <parameter name="MEM_QDR2_TCQH_NS" value="0.71" /> - <parameter name="MEM_QDR2_THA_NS" value="0.18" /> - <parameter name="MEM_QDR2_THD_NS" value="0.18" /> - <parameter name="MEM_QDR2_TRL_CYC" value="2.5" /> - <parameter name="MEM_QDR2_TSA_NS" value="0.23" /> - <parameter name="MEM_QDR2_TSD_NS" value="0.23" /> - <parameter name="MEM_QDR2_WIDTH_EXPANDED" value="false" /> - <parameter name="MEM_QDR4_AC_ODT_MODE_ENUM" value="QDR4_ODT_25_PCT" /> - <parameter name="MEM_QDR4_ADDR_INV_ENA" value="false" /> - <parameter name="MEM_QDR4_ADDR_WIDTH" value="21" /> - <parameter name="MEM_QDR4_CK_ODT_MODE_ENUM" value="QDR4_ODT_25_PCT" /> - <parameter name="MEM_QDR4_DATA_INV_ENA" value="false" /> - <parameter name="MEM_QDR4_DATA_ODT_MODE_ENUM" value="QDR4_ODT_25_PCT" /> - <parameter name="MEM_QDR4_DQ_PER_PORT_PER_DEVICE" value="36" /> - <parameter name="MEM_QDR4_MEM_TYPE_ENUM" value="MEM_XP" /> - <parameter name="MEM_QDR4_PD_OUTPUT_DRIVE_MODE_ENUM">QDR4_OUTPUT_DRIVE_25_PCT</parameter> - <parameter name="MEM_QDR4_PU_OUTPUT_DRIVE_MODE_ENUM">QDR4_OUTPUT_DRIVE_25_PCT</parameter> - <parameter name="MEM_QDR4_SKIP_ODT_SWEEPING" value="true" /> - <parameter name="MEM_QDR4_SPEEDBIN_ENUM" value="QDR4_SPEEDBIN_2133" /> - <parameter name="MEM_QDR4_TASH_PS" value="170" /> - <parameter name="MEM_QDR4_TCKDK_MAX_PS" value="150" /> - <parameter name="MEM_QDR4_TCKDK_MIN_PS" value="-150" /> - <parameter name="MEM_QDR4_TCKQK_MAX_PS" value="225" /> - <parameter name="MEM_QDR4_TCSH_PS" value="170" /> - <parameter name="MEM_QDR4_TISH_PS" value="150" /> - <parameter name="MEM_QDR4_TQH_CYC" value="0.4" /> - <parameter name="MEM_QDR4_TQKQ_MAX_PS" value="75" /> - <parameter name="MEM_QDR4_USE_ADDR_PARITY" value="false" /> - <parameter name="MEM_QDR4_WIDTH_EXPANDED" value="false" /> - <parameter name="MEM_RLD2_ADDR_WIDTH" value="21" /> - <parameter name="MEM_RLD2_BANK_ADDR_WIDTH" value="3" /> - <parameter name="MEM_RLD2_BL" value="4" /> - <parameter name="MEM_RLD2_CONFIG_ENUM">RLD2_CONFIG_TRC_8_TRL_8_TWL_9</parameter> - <parameter name="MEM_RLD2_DM_EN" value="true" /> - <parameter name="MEM_RLD2_DQ_PER_DEVICE" value="9" /> - <parameter name="MEM_RLD2_DRIVE_IMPEDENCE_ENUM">RLD2_DRIVE_IMPEDENCE_INTERNAL_50</parameter> - <parameter name="MEM_RLD2_ODT_MODE_ENUM" value="RLD2_ODT_ON" /> - <parameter name="MEM_RLD2_REFRESH_INTERVAL_US" value="0.24" /> - <parameter name="MEM_RLD2_SPEEDBIN_ENUM" value="RLD2_SPEEDBIN_18" /> - <parameter name="MEM_RLD2_TAH_NS" value="0.3" /> - <parameter name="MEM_RLD2_TAS_NS" value="0.3" /> - <parameter name="MEM_RLD2_TCKDK_MAX_NS" value="0.3" /> - <parameter name="MEM_RLD2_TCKDK_MIN_NS" value="-0.3" /> - <parameter name="MEM_RLD2_TCKH_CYC" value="0.45" /> - <parameter name="MEM_RLD2_TCKQK_MAX_NS" value="0.2" /> - <parameter name="MEM_RLD2_TDH_NS" value="0.17" /> - <parameter name="MEM_RLD2_TDS_NS" value="0.17" /> - <parameter name="MEM_RLD2_TQKH_HCYC" value="0.9" /> - <parameter name="MEM_RLD2_TQKQ_MAX_NS" value="0.12" /> - <parameter name="MEM_RLD2_TQKQ_MIN_NS" value="-0.12" /> - <parameter name="MEM_RLD2_WIDTH_EXPANDED" value="false" /> - <parameter name="MEM_RLD3_ADDR_WIDTH" value="20" /> - <parameter name="MEM_RLD3_AREF_PROTOCOL_ENUM" value="RLD3_AREF_BAC" /> - <parameter name="MEM_RLD3_BANK_ADDR_WIDTH" value="4" /> - <parameter name="MEM_RLD3_BL" value="2" /> - <parameter name="MEM_RLD3_DATA_LATENCY_MODE_ENUM" value="RLD3_DL_RL16_WL17" /> - <parameter name="MEM_RLD3_DEPTH_EXPANDED" value="false" /> - <parameter name="MEM_RLD3_DM_EN" value="true" /> - <parameter name="MEM_RLD3_DQ_PER_DEVICE" value="36" /> - <parameter name="MEM_RLD3_ODT_MODE_ENUM" value="RLD3_ODT_40" /> - <parameter name="MEM_RLD3_OUTPUT_DRIVE_MODE_ENUM">RLD3_OUTPUT_DRIVE_40</parameter> - <parameter name="MEM_RLD3_SPEEDBIN_ENUM" value="RLD3_SPEEDBIN_093E" /> - <parameter name="MEM_RLD3_TCKDK_MAX_CYC" value="0.27" /> - <parameter name="MEM_RLD3_TCKDK_MIN_CYC" value="-0.27" /> - <parameter name="MEM_RLD3_TCKQK_MAX_PS" value="135" /> - <parameter name="MEM_RLD3_TDH_DC_MV" value="100" /> - <parameter name="MEM_RLD3_TDH_PS" value="5" /> - <parameter name="MEM_RLD3_TDS_AC_MV" value="150" /> - <parameter name="MEM_RLD3_TDS_PS" value="-30" /> - <parameter name="MEM_RLD3_TIH_DC_MV" value="100" /> - <parameter name="MEM_RLD3_TIH_PS" value="65" /> - <parameter name="MEM_RLD3_TIS_AC_MV" value="150" /> - <parameter name="MEM_RLD3_TIS_PS" value="85" /> - <parameter name="MEM_RLD3_TQH_CYC" value="0.38" /> - <parameter name="MEM_RLD3_TQKQ_MAX_PS" value="75" /> - <parameter name="MEM_RLD3_T_RC_MODE_ENUM" value="RLD3_TRC_9" /> - <parameter name="MEM_RLD3_WIDTH_EXPANDED" value="false" /> - <parameter name="MEM_RLD3_WRITE_PROTOCOL_ENUM" value="RLD3_WRITE_1BANK" /> - <parameter name="PHY_DDR3_CAL_ADDR0" value="0" /> - <parameter name="PHY_DDR3_CAL_ADDR1" value="8" /> - <parameter name="PHY_DDR3_CAL_ENABLE_NON_DES" value="true" /> - <parameter name="PHY_DDR3_CONFIG_ENUM">CONFIG_PHY_AND_HARD_CTRL</parameter> - <parameter name="PHY_DDR3_CORE_CLKS_SHARING_ENUM">CORE_CLKS_SHARING_DISABLED</parameter> - <parameter name="PHY_DDR3_CORE_CLKS_SHARING_EXPOSE_SLAVE_OUT" value="false" /> - <parameter name="PHY_DDR3_DEFAULT_IO" value="true" /> - <parameter name="PHY_DDR3_DEFAULT_REF_CLK_FREQ" value="true" /> - <parameter name="PHY_DDR3_HPS_ENABLE_EARLY_RELEASE" value="false" /> - <parameter name="PHY_DDR3_IO_VOLTAGE" value="1.5" /> - <parameter name="PHY_DDR3_MEM_CLK_FREQ_MHZ" value="1066.667" /> - <parameter name="PHY_DDR3_MIMIC_HPS_EMIF" value="false" /> - <parameter name="PHY_DDR3_RATE_ENUM" value="RATE_QUARTER" /> - <parameter name="PHY_DDR3_REF_CLK_JITTER_PS" value="10.0" /> - <parameter name="PHY_DDR3_USER_AC_IO_STD_ENUM" value="unset" /> - <parameter name="PHY_DDR3_USER_AC_MODE_ENUM" value="unset" /> - <parameter name="PHY_DDR3_USER_AC_SLEW_RATE_ENUM" value="SLEW_RATE_FAST" /> - <parameter name="PHY_DDR3_USER_AUTO_STARTING_VREFIN_EN" value="true" /> - <parameter name="PHY_DDR3_USER_CK_IO_STD_ENUM" value="unset" /> - <parameter name="PHY_DDR3_USER_CK_MODE_ENUM" value="unset" /> - <parameter name="PHY_DDR3_USER_CK_SLEW_RATE_ENUM" value="SLEW_RATE_FAST" /> - <parameter name="PHY_DDR3_USER_DATA_IN_MODE_ENUM" value="unset" /> - <parameter name="PHY_DDR3_USER_DATA_IO_STD_ENUM" value="unset" /> - <parameter name="PHY_DDR3_USER_DATA_OUT_MODE_ENUM" value="unset" /> - <parameter name="PHY_DDR3_USER_DLL_CORE_UPDN_EN" value="true" /> - <parameter name="PHY_DDR3_USER_PERIODIC_OCT_RECAL_ENUM">PERIODIC_OCT_RECAL_AUTO</parameter> - <parameter name="PHY_DDR3_USER_PING_PONG_EN" value="false" /> - <parameter name="PHY_DDR3_USER_PLL_REF_CLK_IO_STD_ENUM" value="unset" /> - <parameter name="PHY_DDR3_USER_REF_CLK_FREQ_MHZ" value="-1.0" /> - <parameter name="PHY_DDR3_USER_RZQ_IO_STD_ENUM" value="unset" /> - <parameter name="PHY_DDR3_USER_STARTING_VREFIN" value="70.0" /> - <parameter name="PHY_DDR4_CONFIG_ENUM">CONFIG_PHY_AND_HARD_CTRL</parameter> - <parameter name="PHY_DDR4_CORE_CLKS_SHARING_ENUM">CORE_CLKS_SHARING_DISABLED</parameter> - <parameter name="PHY_DDR4_CORE_CLKS_SHARING_EXPOSE_SLAVE_OUT" value="false" /> - <parameter name="PHY_DDR4_DEFAULT_IO" value="false" /> - <parameter name="PHY_DDR4_DEFAULT_REF_CLK_FREQ" value="false" /> - <parameter name="PHY_DDR4_HPS_ENABLE_EARLY_RELEASE" value="false" /> - <parameter name="PHY_DDR4_IO_VOLTAGE" value="1.2" /> - <parameter name="PHY_DDR4_MEM_CLK_FREQ_MHZ" value="800.0" /> - <parameter name="PHY_DDR4_MIMIC_HPS_EMIF" value="false" /> - <parameter name="PHY_DDR4_RATE_ENUM" value="RATE_QUARTER" /> - <parameter name="PHY_DDR4_REF_CLK_JITTER_PS" value="10.0" /> - <parameter name="PHY_DDR4_USER_AC_IO_STD_ENUM" value="IO_STD_SSTL_12" /> - <parameter name="PHY_DDR4_USER_AC_MODE_ENUM" value="OUT_OCT_40_CAL" /> - <parameter name="PHY_DDR4_USER_AC_SLEW_RATE_ENUM" value="SLEW_RATE_FAST" /> - <parameter name="PHY_DDR4_USER_AUTO_STARTING_VREFIN_EN" value="true" /> - <parameter name="PHY_DDR4_USER_CK_IO_STD_ENUM" value="IO_STD_SSTL_12" /> - <parameter name="PHY_DDR4_USER_CK_MODE_ENUM" value="OUT_OCT_40_CAL" /> - <parameter name="PHY_DDR4_USER_CK_SLEW_RATE_ENUM" value="SLEW_RATE_FAST" /> - <parameter name="PHY_DDR4_USER_CLAMSHELL_EN" value="false" /> - <parameter name="PHY_DDR4_USER_DATA_IN_MODE_ENUM" value="IN_OCT_60_CAL" /> - <parameter name="PHY_DDR4_USER_DATA_IO_STD_ENUM" value="IO_STD_POD_12" /> - <parameter name="PHY_DDR4_USER_DATA_OUT_MODE_ENUM" value="OUT_OCT_34_CAL" /> - <parameter name="PHY_DDR4_USER_DLL_CORE_UPDN_EN" value="true" /> - <parameter name="PHY_DDR4_USER_PERIODIC_OCT_RECAL_ENUM">PERIODIC_OCT_RECAL_AUTO</parameter> - <parameter name="PHY_DDR4_USER_PING_PONG_EN" value="false" /> - <parameter name="PHY_DDR4_USER_PLL_REF_CLK_IO_STD_ENUM" value="IO_STD_CMOS_12" /> - <parameter name="PHY_DDR4_USER_REF_CLK_FREQ_MHZ" value="25.0" /> - <parameter name="PHY_DDR4_USER_RZQ_IO_STD_ENUM" value="IO_STD_CMOS_12" /> - <parameter name="PHY_DDR4_USER_STARTING_VREFIN" value="70.0" /> - <parameter name="PHY_LPDDR3_CONFIG_ENUM">CONFIG_PHY_AND_HARD_CTRL</parameter> - <parameter name="PHY_LPDDR3_CORE_CLKS_SHARING_ENUM">CORE_CLKS_SHARING_DISABLED</parameter> - <parameter name="PHY_LPDDR3_CORE_CLKS_SHARING_EXPOSE_SLAVE_OUT" value="false" /> - <parameter name="PHY_LPDDR3_DEFAULT_IO" value="true" /> - <parameter name="PHY_LPDDR3_DEFAULT_REF_CLK_FREQ" value="true" /> - <parameter name="PHY_LPDDR3_HPS_ENABLE_EARLY_RELEASE" value="false" /> - <parameter name="PHY_LPDDR3_IO_VOLTAGE" value="1.2" /> - <parameter name="PHY_LPDDR3_MEM_CLK_FREQ_MHZ" value="800.0" /> - <parameter name="PHY_LPDDR3_MIMIC_HPS_EMIF" value="false" /> - <parameter name="PHY_LPDDR3_RATE_ENUM" value="RATE_QUARTER" /> - <parameter name="PHY_LPDDR3_REF_CLK_JITTER_PS" value="10.0" /> - <parameter name="PHY_LPDDR3_USER_AC_IO_STD_ENUM" value="unset" /> - <parameter name="PHY_LPDDR3_USER_AC_MODE_ENUM" value="unset" /> - <parameter name="PHY_LPDDR3_USER_AC_SLEW_RATE_ENUM" value="SLEW_RATE_FAST" /> - <parameter name="PHY_LPDDR3_USER_AUTO_STARTING_VREFIN_EN" value="true" /> - <parameter name="PHY_LPDDR3_USER_CK_IO_STD_ENUM" value="unset" /> - <parameter name="PHY_LPDDR3_USER_CK_MODE_ENUM" value="unset" /> - <parameter name="PHY_LPDDR3_USER_CK_SLEW_RATE_ENUM" value="SLEW_RATE_FAST" /> - <parameter name="PHY_LPDDR3_USER_DATA_IN_MODE_ENUM" value="unset" /> - <parameter name="PHY_LPDDR3_USER_DATA_IO_STD_ENUM" value="unset" /> - <parameter name="PHY_LPDDR3_USER_DATA_OUT_MODE_ENUM" value="unset" /> - <parameter name="PHY_LPDDR3_USER_DLL_CORE_UPDN_EN" value="false" /> - <parameter name="PHY_LPDDR3_USER_PERIODIC_OCT_RECAL_ENUM">PERIODIC_OCT_RECAL_AUTO</parameter> - <parameter name="PHY_LPDDR3_USER_PING_PONG_EN" value="false" /> - <parameter name="PHY_LPDDR3_USER_PLL_REF_CLK_IO_STD_ENUM" value="unset" /> - <parameter name="PHY_LPDDR3_USER_REF_CLK_FREQ_MHZ" value="-1.0" /> - <parameter name="PHY_LPDDR3_USER_RZQ_IO_STD_ENUM" value="unset" /> - <parameter name="PHY_LPDDR3_USER_STARTING_VREFIN" value="70.0" /> - <parameter name="PHY_QDR2_CONFIG_ENUM">CONFIG_PHY_AND_SOFT_CTRL</parameter> - <parameter name="PHY_QDR2_CORE_CLKS_SHARING_ENUM">CORE_CLKS_SHARING_DISABLED</parameter> - <parameter name="PHY_QDR2_CORE_CLKS_SHARING_EXPOSE_SLAVE_OUT" value="false" /> - <parameter name="PHY_QDR2_DEFAULT_IO" value="true" /> - <parameter name="PHY_QDR2_DEFAULT_REF_CLK_FREQ" value="true" /> - <parameter name="PHY_QDR2_HPS_ENABLE_EARLY_RELEASE" value="false" /> - <parameter name="PHY_QDR2_IO_VOLTAGE" value="1.5" /> - <parameter name="PHY_QDR2_MEM_CLK_FREQ_MHZ" value="633.333" /> - <parameter name="PHY_QDR2_MIMIC_HPS_EMIF" value="false" /> - <parameter name="PHY_QDR2_RATE_ENUM" value="RATE_HALF" /> - <parameter name="PHY_QDR2_REF_CLK_JITTER_PS" value="10.0" /> - <parameter name="PHY_QDR2_USER_AC_IO_STD_ENUM" value="unset" /> - <parameter name="PHY_QDR2_USER_AC_MODE_ENUM" value="unset" /> - <parameter name="PHY_QDR2_USER_AC_SLEW_RATE_ENUM" value="SLEW_RATE_FAST" /> - <parameter name="PHY_QDR2_USER_AUTO_STARTING_VREFIN_EN" value="true" /> - <parameter name="PHY_QDR2_USER_CK_IO_STD_ENUM" value="unset" /> - <parameter name="PHY_QDR2_USER_CK_MODE_ENUM" value="unset" /> - <parameter name="PHY_QDR2_USER_CK_SLEW_RATE_ENUM" value="SLEW_RATE_FAST" /> - <parameter name="PHY_QDR2_USER_DATA_IN_MODE_ENUM" value="unset" /> - <parameter name="PHY_QDR2_USER_DATA_IO_STD_ENUM" value="unset" /> - <parameter name="PHY_QDR2_USER_DATA_OUT_MODE_ENUM" value="unset" /> - <parameter name="PHY_QDR2_USER_DLL_CORE_UPDN_EN" value="false" /> - <parameter name="PHY_QDR2_USER_PERIODIC_OCT_RECAL_ENUM">PERIODIC_OCT_RECAL_AUTO</parameter> - <parameter name="PHY_QDR2_USER_PING_PONG_EN" value="false" /> - <parameter name="PHY_QDR2_USER_PLL_REF_CLK_IO_STD_ENUM" value="unset" /> - <parameter name="PHY_QDR2_USER_REF_CLK_FREQ_MHZ" value="-1.0" /> - <parameter name="PHY_QDR2_USER_RZQ_IO_STD_ENUM" value="unset" /> - <parameter name="PHY_QDR2_USER_STARTING_VREFIN" value="70.0" /> - <parameter name="PHY_QDR4_CONFIG_ENUM">CONFIG_PHY_AND_SOFT_CTRL</parameter> - <parameter name="PHY_QDR4_CORE_CLKS_SHARING_ENUM">CORE_CLKS_SHARING_DISABLED</parameter> - <parameter name="PHY_QDR4_CORE_CLKS_SHARING_EXPOSE_SLAVE_OUT" value="false" /> - <parameter name="PHY_QDR4_DEFAULT_IO" value="true" /> - <parameter name="PHY_QDR4_DEFAULT_REF_CLK_FREQ" value="true" /> - <parameter name="PHY_QDR4_HPS_ENABLE_EARLY_RELEASE" value="false" /> - <parameter name="PHY_QDR4_IO_VOLTAGE" value="1.2" /> - <parameter name="PHY_QDR4_MEM_CLK_FREQ_MHZ" value="1066.667" /> - <parameter name="PHY_QDR4_MIMIC_HPS_EMIF" value="false" /> - <parameter name="PHY_QDR4_RATE_ENUM" value="RATE_QUARTER" /> - <parameter name="PHY_QDR4_REF_CLK_JITTER_PS" value="10.0" /> - <parameter name="PHY_QDR4_USER_AC_IO_STD_ENUM" value="unset" /> - <parameter name="PHY_QDR4_USER_AC_MODE_ENUM" value="unset" /> - <parameter name="PHY_QDR4_USER_AC_SLEW_RATE_ENUM" value="SLEW_RATE_FAST" /> - <parameter name="PHY_QDR4_USER_AUTO_STARTING_VREFIN_EN" value="true" /> - <parameter name="PHY_QDR4_USER_CK_IO_STD_ENUM" value="unset" /> - <parameter name="PHY_QDR4_USER_CK_MODE_ENUM" value="unset" /> - <parameter name="PHY_QDR4_USER_CK_SLEW_RATE_ENUM" value="SLEW_RATE_FAST" /> - <parameter name="PHY_QDR4_USER_DATA_IN_MODE_ENUM" value="unset" /> - <parameter name="PHY_QDR4_USER_DATA_IO_STD_ENUM" value="unset" /> - <parameter name="PHY_QDR4_USER_DATA_OUT_MODE_ENUM" value="unset" /> - <parameter name="PHY_QDR4_USER_DLL_CORE_UPDN_EN" value="true" /> - <parameter name="PHY_QDR4_USER_PERIODIC_OCT_RECAL_ENUM">PERIODIC_OCT_RECAL_AUTO</parameter> - <parameter name="PHY_QDR4_USER_PING_PONG_EN" value="false" /> - <parameter name="PHY_QDR4_USER_PLL_REF_CLK_IO_STD_ENUM" value="unset" /> - <parameter name="PHY_QDR4_USER_REF_CLK_FREQ_MHZ" value="-1.0" /> - <parameter name="PHY_QDR4_USER_RZQ_IO_STD_ENUM" value="unset" /> - <parameter name="PHY_QDR4_USER_STARTING_VREFIN" value="70.0" /> - <parameter name="PHY_RLD2_CONFIG_ENUM">CONFIG_PHY_AND_SOFT_CTRL</parameter> - <parameter name="PHY_RLD2_CORE_CLKS_SHARING_ENUM">CORE_CLKS_SHARING_DISABLED</parameter> - <parameter name="PHY_RLD2_CORE_CLKS_SHARING_EXPOSE_SLAVE_OUT" value="false" /> - <parameter name="PHY_RLD2_DEFAULT_IO" value="true" /> - <parameter name="PHY_RLD2_DEFAULT_REF_CLK_FREQ" value="true" /> - <parameter name="PHY_RLD2_HPS_ENABLE_EARLY_RELEASE" value="false" /> - <parameter name="PHY_RLD2_IO_VOLTAGE" value="1.8" /> - <parameter name="PHY_RLD2_MEM_CLK_FREQ_MHZ" value="533.333" /> - <parameter name="PHY_RLD2_MIMIC_HPS_EMIF" value="false" /> - <parameter name="PHY_RLD2_RATE_ENUM" value="RATE_HALF" /> - <parameter name="PHY_RLD2_REF_CLK_JITTER_PS" value="10.0" /> - <parameter name="PHY_RLD2_USER_AC_IO_STD_ENUM" value="unset" /> - <parameter name="PHY_RLD2_USER_AC_MODE_ENUM" value="unset" /> - <parameter name="PHY_RLD2_USER_AC_SLEW_RATE_ENUM" value="SLEW_RATE_FAST" /> - <parameter name="PHY_RLD2_USER_AUTO_STARTING_VREFIN_EN" value="true" /> - <parameter name="PHY_RLD2_USER_CK_IO_STD_ENUM" value="unset" /> - <parameter name="PHY_RLD2_USER_CK_MODE_ENUM" value="unset" /> - <parameter name="PHY_RLD2_USER_CK_SLEW_RATE_ENUM" value="SLEW_RATE_FAST" /> - <parameter name="PHY_RLD2_USER_DATA_IN_MODE_ENUM" value="unset" /> - <parameter name="PHY_RLD2_USER_DATA_IO_STD_ENUM" value="unset" /> - <parameter name="PHY_RLD2_USER_DATA_OUT_MODE_ENUM" value="unset" /> - <parameter name="PHY_RLD2_USER_DLL_CORE_UPDN_EN" value="false" /> - <parameter name="PHY_RLD2_USER_PERIODIC_OCT_RECAL_ENUM">PERIODIC_OCT_RECAL_AUTO</parameter> - <parameter name="PHY_RLD2_USER_PING_PONG_EN" value="false" /> - <parameter name="PHY_RLD2_USER_PLL_REF_CLK_IO_STD_ENUM" value="unset" /> - <parameter name="PHY_RLD2_USER_REF_CLK_FREQ_MHZ" value="-1.0" /> - <parameter name="PHY_RLD2_USER_RZQ_IO_STD_ENUM" value="unset" /> - <parameter name="PHY_RLD2_USER_STARTING_VREFIN" value="70.0" /> - <parameter name="PHY_RLD3_CONFIG_ENUM" value="CONFIG_PHY_ONLY" /> - <parameter name="PHY_RLD3_CORE_CLKS_SHARING_ENUM">CORE_CLKS_SHARING_DISABLED</parameter> - <parameter name="PHY_RLD3_CORE_CLKS_SHARING_EXPOSE_SLAVE_OUT" value="false" /> - <parameter name="PHY_RLD3_DEFAULT_IO" value="true" /> - <parameter name="PHY_RLD3_DEFAULT_REF_CLK_FREQ" value="true" /> - <parameter name="PHY_RLD3_HPS_ENABLE_EARLY_RELEASE" value="false" /> - <parameter name="PHY_RLD3_IO_VOLTAGE" value="1.2" /> - <parameter name="PHY_RLD3_MEM_CLK_FREQ_MHZ" value="1066.667" /> - <parameter name="PHY_RLD3_MIMIC_HPS_EMIF" value="false" /> - <parameter name="PHY_RLD3_RATE_ENUM" value="RATE_QUARTER" /> - <parameter name="PHY_RLD3_REF_CLK_JITTER_PS" value="10.0" /> - <parameter name="PHY_RLD3_USER_AC_IO_STD_ENUM" value="unset" /> - <parameter name="PHY_RLD3_USER_AC_MODE_ENUM" value="unset" /> - <parameter name="PHY_RLD3_USER_AC_SLEW_RATE_ENUM" value="SLEW_RATE_FAST" /> - <parameter name="PHY_RLD3_USER_AUTO_STARTING_VREFIN_EN" value="true" /> - <parameter name="PHY_RLD3_USER_CK_IO_STD_ENUM" value="unset" /> - <parameter name="PHY_RLD3_USER_CK_MODE_ENUM" value="unset" /> - <parameter name="PHY_RLD3_USER_CK_SLEW_RATE_ENUM" value="SLEW_RATE_FAST" /> - <parameter name="PHY_RLD3_USER_DATA_IN_MODE_ENUM" value="unset" /> - <parameter name="PHY_RLD3_USER_DATA_IO_STD_ENUM" value="unset" /> - <parameter name="PHY_RLD3_USER_DATA_OUT_MODE_ENUM" value="unset" /> - <parameter name="PHY_RLD3_USER_DLL_CORE_UPDN_EN" value="false" /> - <parameter name="PHY_RLD3_USER_PERIODIC_OCT_RECAL_ENUM">PERIODIC_OCT_RECAL_AUTO</parameter> - <parameter name="PHY_RLD3_USER_PING_PONG_EN" value="false" /> - <parameter name="PHY_RLD3_USER_PLL_REF_CLK_IO_STD_ENUM" value="unset" /> - <parameter name="PHY_RLD3_USER_REF_CLK_FREQ_MHZ" value="-1.0" /> - <parameter name="PHY_RLD3_USER_RZQ_IO_STD_ENUM" value="unset" /> - <parameter name="PHY_RLD3_USER_STARTING_VREFIN" value="70.0" /> - <parameter name="PLL_ADD_EXTRA_CLKS" value="false" /> - <parameter name="PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_0" value="50.0" /> - <parameter name="PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_1" value="50.0" /> - <parameter name="PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_2" value="50.0" /> - <parameter name="PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_3" value="50.0" /> - <parameter name="PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_4" value="50.0" /> - <parameter name="PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_5" value="50.0" /> - <parameter name="PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_6" value="50.0" /> - <parameter name="PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_7" value="50.0" /> - <parameter name="PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_8" value="50.0" /> - <parameter name="PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_GUI_0" value="50.0" /> - <parameter name="PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_GUI_1" value="50.0" /> - <parameter name="PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_GUI_2" value="50.0" /> - <parameter name="PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_GUI_3" value="50.0" /> - <parameter name="PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_GUI_4" value="50.0" /> - <parameter name="PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_GUI_5" value="50.0" /> - <parameter name="PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_GUI_6" value="50.0" /> - <parameter name="PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_GUI_7" value="50.0" /> - <parameter name="PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_GUI_8" value="50.0" /> - <parameter name="PLL_EXTRA_CLK_ACTUAL_FREQ_MHZ_GUI_0" value="0.0" /> - <parameter name="PLL_EXTRA_CLK_ACTUAL_FREQ_MHZ_GUI_1" value="0.0" /> - <parameter name="PLL_EXTRA_CLK_ACTUAL_FREQ_MHZ_GUI_2" value="0.0" /> - <parameter name="PLL_EXTRA_CLK_ACTUAL_FREQ_MHZ_GUI_3" value="0.0" /> - <parameter name="PLL_EXTRA_CLK_ACTUAL_FREQ_MHZ_GUI_4" value="0.0" /> - <parameter name="PLL_EXTRA_CLK_ACTUAL_FREQ_MHZ_GUI_5" value="100.0" /> - <parameter name="PLL_EXTRA_CLK_ACTUAL_FREQ_MHZ_GUI_6" value="100.0" /> - <parameter name="PLL_EXTRA_CLK_ACTUAL_FREQ_MHZ_GUI_7" value="100.0" /> - <parameter name="PLL_EXTRA_CLK_ACTUAL_FREQ_MHZ_GUI_8" value="100.0" /> - <parameter name="PLL_EXTRA_CLK_ACTUAL_PHASE_DEG_GUI_0" value="0.0" /> - <parameter name="PLL_EXTRA_CLK_ACTUAL_PHASE_DEG_GUI_1" value="0.0" /> - <parameter name="PLL_EXTRA_CLK_ACTUAL_PHASE_DEG_GUI_2" value="0.0" /> - <parameter name="PLL_EXTRA_CLK_ACTUAL_PHASE_DEG_GUI_3" value="0.0" /> - <parameter name="PLL_EXTRA_CLK_ACTUAL_PHASE_DEG_GUI_4" value="0.0" /> - <parameter name="PLL_EXTRA_CLK_ACTUAL_PHASE_DEG_GUI_5" value="0.0" /> - <parameter name="PLL_EXTRA_CLK_ACTUAL_PHASE_DEG_GUI_6" value="0.0" /> - <parameter name="PLL_EXTRA_CLK_ACTUAL_PHASE_DEG_GUI_7" value="0.0" /> - <parameter name="PLL_EXTRA_CLK_ACTUAL_PHASE_DEG_GUI_8" value="0.0" /> - <parameter name="PLL_EXTRA_CLK_ACTUAL_PHASE_PS_GUI_0" value="0.0" /> - <parameter name="PLL_EXTRA_CLK_ACTUAL_PHASE_PS_GUI_1" value="0.0" /> - <parameter name="PLL_EXTRA_CLK_ACTUAL_PHASE_PS_GUI_2" value="0.0" /> - <parameter name="PLL_EXTRA_CLK_ACTUAL_PHASE_PS_GUI_3" value="0.0" /> - <parameter name="PLL_EXTRA_CLK_ACTUAL_PHASE_PS_GUI_4" value="0.0" /> - <parameter name="PLL_EXTRA_CLK_ACTUAL_PHASE_PS_GUI_5" value="0.0" /> - <parameter name="PLL_EXTRA_CLK_ACTUAL_PHASE_PS_GUI_6" value="0.0" /> - <parameter name="PLL_EXTRA_CLK_ACTUAL_PHASE_PS_GUI_7" value="0.0" /> - <parameter name="PLL_EXTRA_CLK_ACTUAL_PHASE_PS_GUI_8" value="0.0" /> - <parameter name="PLL_EXTRA_CLK_DESIRED_DUTY_CYCLE_GUI_0" value="50.0" /> - <parameter name="PLL_EXTRA_CLK_DESIRED_DUTY_CYCLE_GUI_1" value="50.0" /> - <parameter name="PLL_EXTRA_CLK_DESIRED_DUTY_CYCLE_GUI_2" value="50.0" /> - <parameter name="PLL_EXTRA_CLK_DESIRED_DUTY_CYCLE_GUI_3" value="50.0" /> - <parameter name="PLL_EXTRA_CLK_DESIRED_DUTY_CYCLE_GUI_4" value="50.0" /> - <parameter name="PLL_EXTRA_CLK_DESIRED_DUTY_CYCLE_GUI_5" value="50.0" /> - <parameter name="PLL_EXTRA_CLK_DESIRED_DUTY_CYCLE_GUI_6" value="50.0" /> - <parameter name="PLL_EXTRA_CLK_DESIRED_DUTY_CYCLE_GUI_7" value="50.0" /> - <parameter name="PLL_EXTRA_CLK_DESIRED_DUTY_CYCLE_GUI_8" value="50.0" /> - <parameter name="PLL_EXTRA_CLK_DESIRED_FREQ_MHZ_GUI_0" value="0.0" /> - <parameter name="PLL_EXTRA_CLK_DESIRED_FREQ_MHZ_GUI_1" value="0.0" /> - <parameter name="PLL_EXTRA_CLK_DESIRED_FREQ_MHZ_GUI_2" value="0.0" /> - <parameter name="PLL_EXTRA_CLK_DESIRED_FREQ_MHZ_GUI_3" value="0.0" /> - <parameter name="PLL_EXTRA_CLK_DESIRED_FREQ_MHZ_GUI_4" value="0.0" /> - <parameter name="PLL_EXTRA_CLK_DESIRED_FREQ_MHZ_GUI_5" value="100.0" /> - <parameter name="PLL_EXTRA_CLK_DESIRED_FREQ_MHZ_GUI_6" value="100.0" /> - <parameter name="PLL_EXTRA_CLK_DESIRED_FREQ_MHZ_GUI_7" value="100.0" /> - <parameter name="PLL_EXTRA_CLK_DESIRED_FREQ_MHZ_GUI_8" value="100.0" /> - <parameter name="PLL_EXTRA_CLK_DESIRED_PHASE_GUI_0" value="0.0" /> - <parameter name="PLL_EXTRA_CLK_DESIRED_PHASE_GUI_1" value="0.0" /> - <parameter name="PLL_EXTRA_CLK_DESIRED_PHASE_GUI_2" value="0.0" /> - <parameter name="PLL_EXTRA_CLK_DESIRED_PHASE_GUI_3" value="0.0" /> - <parameter name="PLL_EXTRA_CLK_DESIRED_PHASE_GUI_4" value="0.0" /> - <parameter name="PLL_EXTRA_CLK_DESIRED_PHASE_GUI_5" value="0.0" /> - <parameter name="PLL_EXTRA_CLK_DESIRED_PHASE_GUI_6" value="0.0" /> - <parameter name="PLL_EXTRA_CLK_DESIRED_PHASE_GUI_7" value="0.0" /> - <parameter name="PLL_EXTRA_CLK_DESIRED_PHASE_GUI_8" value="0.0" /> - <parameter name="PLL_EXTRA_CLK_PHASE_SHIFT_UNIT_GUI_0" value="0" /> - <parameter name="PLL_EXTRA_CLK_PHASE_SHIFT_UNIT_GUI_1" value="0" /> - <parameter name="PLL_EXTRA_CLK_PHASE_SHIFT_UNIT_GUI_2" value="0" /> - <parameter name="PLL_EXTRA_CLK_PHASE_SHIFT_UNIT_GUI_3" value="0" /> - <parameter name="PLL_EXTRA_CLK_PHASE_SHIFT_UNIT_GUI_4" value="0" /> - <parameter name="PLL_EXTRA_CLK_PHASE_SHIFT_UNIT_GUI_5" value="0" /> - <parameter name="PLL_EXTRA_CLK_PHASE_SHIFT_UNIT_GUI_6" value="0" /> - <parameter name="PLL_EXTRA_CLK_PHASE_SHIFT_UNIT_GUI_7" value="0" /> - <parameter name="PLL_EXTRA_CLK_PHASE_SHIFT_UNIT_GUI_8" value="0" /> - <parameter name="PLL_USER_NUM_OF_EXTRA_CLKS" value="0" /> - <parameter name="PROTOCOL_ENUM" value="PROTOCOL_DDR4" /> - <parameter name="SHORT_QSYS_INTERFACE_NAMES" value="true" /> - <parameter name="SYS_INFO_DEVICE" value="10AX115U3F45E2SG" /> - <parameter name="SYS_INFO_DEVICE_DIE_REVISIONS" value="" /> - <parameter name="SYS_INFO_DEVICE_FAMILY" value="Arria 10" /> - <parameter name="SYS_INFO_DEVICE_POWER_MODEL" value="STANDARD" /> - <parameter name="SYS_INFO_DEVICE_SPEEDGRADE" value="2" /> - <parameter name="SYS_INFO_DEVICE_TEMPERATURE_GRADE" value="EXTENDED" /> - <parameter name="SYS_INFO_UNIQUE_ID">ip_arria10_e2sg_ddr4_8g_1600_emif_0</parameter> - <parameter name="TRAIT_SUPPORTS_VID" value="0" /> - </module> -</system> diff --git a/libraries/technology/ip_arria10_e2sg/ddr4_8g_2400/hdllib.cfg b/libraries/technology/ip_arria10_e2sg/ddr4_8g_2400/hdllib.cfg index 95fa72f14b..9b53e2b6e9 100644 --- a/libraries/technology/ip_arria10_e2sg/ddr4_8g_2400/hdllib.cfg +++ b/libraries/technology/ip_arria10_e2sg/ddr4_8g_2400/hdllib.cfg @@ -20,5 +20,5 @@ quartus_qip_files = [generate_ip_libs] qsys-generate_ip_files = - ip_arria10_e2sg_ddr4_8g_2400.qsys + ip_arria10_e2sg_ddr4_8g_2400.ip diff --git a/libraries/technology/ip_arria10_e2sg/ddr4_8g_2400/ip_arria10_e2sg_ddr4_8g_2400.ip b/libraries/technology/ip_arria10_e2sg/ddr4_8g_2400/ip_arria10_e2sg_ddr4_8g_2400.ip new file mode 100644 index 0000000000..85db6f0267 --- /dev/null +++ b/libraries/technology/ip_arria10_e2sg/ddr4_8g_2400/ip_arria10_e2sg_ddr4_8g_2400.ip @@ -0,0 +1,8698 @@ +<?xml version="1.0" ?> +<ipxact:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact2014/extensions" xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"> + <ipxact:vendor>Intel Corporation</ipxact:vendor> + <ipxact:library>ip_arria10_e2sg_ddr4_8g_2400</ipxact:library> + <ipxact:name>emif_0</ipxact:name> + <ipxact:version>19.1.0</ipxact:version> + <ipxact:busInterfaces></ipxact:busInterfaces> + <ipxact:model> + <ipxact:views> + <ipxact:view> + <ipxact:name>QUARTUS_SYNTH</ipxact:name> + <ipxact:envIdentifier>:quartus.altera.com:</ipxact:envIdentifier> + <ipxact:componentInstantiationRef>QUARTUS_SYNTH</ipxact:componentInstantiationRef> + </ipxact:view> + </ipxact:views> + <ipxact:instantiations> + <ipxact:componentInstantiation> + <ipxact:name>QUARTUS_SYNTH</ipxact:name> + <ipxact:moduleName>altera_emif</ipxact:moduleName> + <ipxact:fileSetRef> + <ipxact:localName>QUARTUS_SYNTH</ipxact:localName> + </ipxact:fileSetRef> + </ipxact:componentInstantiation> + </ipxact:instantiations> + <ipxact:ports></ipxact:ports> + </ipxact:model> + <ipxact:vendorExtensions> + <altera:entity_info> + <ipxact:vendor>Intel Corporation</ipxact:vendor> + <ipxact:library>ip_arria10_e2sg_ddr4_8g_2400</ipxact:library> + <ipxact:name>altera_emif</ipxact:name> + <ipxact:version>19.1.0</ipxact:version> + </altera:entity_info> + <altera:altera_module_parameters> + <ipxact:parameters> + <ipxact:parameter parameterId="SYS_INFO_DEVICE_FAMILY" type="string"> + <ipxact:name>SYS_INFO_DEVICE_FAMILY</ipxact:name> + <ipxact:displayName>PARAM_SYS_INFO_DEVICE_FAMILY_NAME</ipxact:displayName> + <ipxact:value>Arria 10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="SYS_INFO_DEVICE" type="string"> + <ipxact:name>SYS_INFO_DEVICE</ipxact:name> + <ipxact:displayName>PARAM_SYS_INFO_DEVICE_NAME</ipxact:displayName> + <ipxact:value>10AX115U3F45E2SG</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="SYS_INFO_DEVICE_SPEEDGRADE" type="string"> + <ipxact:name>SYS_INFO_DEVICE_SPEEDGRADE</ipxact:name> + <ipxact:displayName>PARAM_SYS_INFO_DEVICE_SPEEDGRADE_NAME</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="SYS_INFO_DEVICE_TEMPERATURE_GRADE" type="string"> + <ipxact:name>SYS_INFO_DEVICE_TEMPERATURE_GRADE</ipxact:name> + <ipxact:displayName>PARAM_SYS_INFO_DEVICE_TEMPERATURE_GRADE_NAME</ipxact:displayName> + <ipxact:value>EXTENDED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="SYS_INFO_DEVICE_POWER_MODEL" type="string"> + <ipxact:name>SYS_INFO_DEVICE_POWER_MODEL</ipxact:name> + <ipxact:displayName>PARAM_SYS_INFO_DEVICE_POWER_MODEL_NAME</ipxact:displayName> + <ipxact:value>STANDARD</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="SYS_INFO_DEVICE_DIE_REVISIONS" type="string"> + <ipxact:name>SYS_INFO_DEVICE_DIE_REVISIONS</ipxact:name> + <ipxact:displayName>PARAM_SYS_INFO_DEVICE_DIE_REVISIONS_NAME</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="FAMILY_ENUM" type="string"> + <ipxact:name>FAMILY_ENUM</ipxact:name> + <ipxact:displayName>PARAM_FAMILY_ENUM_NAME</ipxact:displayName> + <ipxact:value>FAMILY_ARRIA10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="TRAIT_SUPPORTS_VID" type="string"> + <ipxact:name>TRAIT_SUPPORTS_VID</ipxact:name> + <ipxact:displayName>PARAM_TRAIT_SUPPORTS_VID_NAME</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PROTOCOL_ENUM" type="string"> + <ipxact:name>PROTOCOL_ENUM</ipxact:name> + <ipxact:displayName>Protocol</ipxact:displayName> + <ipxact:value>PROTOCOL_DDR4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="IS_ED_SLAVE" type="bit"> + <ipxact:name>IS_ED_SLAVE</ipxact:name> + <ipxact:displayName>PARAM_IS_ED_SLAVE_NAME</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="INTERNAL_TESTING_MODE" type="bit"> + <ipxact:name>INTERNAL_TESTING_MODE</ipxact:name> + <ipxact:displayName>PARAM_INTERNAL_TESTING_MODE_NAME</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CAL_DEBUG_CLOCK_FREQUENCY" type="longint"> + <ipxact:name>CAL_DEBUG_CLOCK_FREQUENCY</ipxact:name> + <ipxact:displayName>PARAM_CAL_DEBUG_CLOCK_FREQUENCY_NAME</ipxact:displayName> + <ipxact:value>50000000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="SYS_INFO_UNIQUE_ID" type="string"> + <ipxact:name>SYS_INFO_UNIQUE_ID</ipxact:name> + <ipxact:displayName>PARAM_SYS_INFO_UNIQUE_ID_NAME</ipxact:displayName> + <ipxact:value>ip_arria10_e2sg_ddr4_8g_2400_emif_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PREV_PROTOCOL_ENUM" type="string"> + <ipxact:name>PREV_PROTOCOL_ENUM</ipxact:name> + <ipxact:displayName>PARAM_PREV_PROTOCOL_ENUM_NAME</ipxact:displayName> + <ipxact:value>PROTOCOL_DDR4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_FPGA_SPEEDGRADE_GUI" type="string"> + <ipxact:name>PHY_FPGA_SPEEDGRADE_GUI</ipxact:name> + <ipxact:displayName>Speed grade</ipxact:displayName> + <ipxact:value>E2 (Production) - change device under 'View'->'Device Family'</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_TARGET_SPEEDGRADE" type="string"> + <ipxact:name>PHY_TARGET_SPEEDGRADE</ipxact:name> + <ipxact:displayName>PARAM_PHY_TARGET_SPEEDGRADE_NAME</ipxact:displayName> + <ipxact:value>E2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_TARGET_IS_ES" type="bit"> + <ipxact:name>PHY_TARGET_IS_ES</ipxact:name> + <ipxact:displayName>PARAM_PHY_TARGET_IS_ES_NAME</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_TARGET_IS_ES2" type="bit"> + <ipxact:name>PHY_TARGET_IS_ES2</ipxact:name> + <ipxact:displayName>PARAM_PHY_TARGET_IS_ES2_NAME</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_TARGET_IS_ES3" type="bit"> + <ipxact:name>PHY_TARGET_IS_ES3</ipxact:name> + <ipxact:displayName>PARAM_PHY_TARGET_IS_ES3_NAME</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_TARGET_IS_PRODUCTION" type="bit"> + <ipxact:name>PHY_TARGET_IS_PRODUCTION</ipxact:name> + <ipxact:displayName>PARAM_PHY_TARGET_IS_PRODUCTION_NAME</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_CONFIG_ENUM" type="string"> + <ipxact:name>PHY_CONFIG_ENUM</ipxact:name> + <ipxact:displayName>Configuration</ipxact:displayName> + <ipxact:value>CONFIG_PHY_AND_HARD_CTRL</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_PING_PONG_EN" type="bit"> + <ipxact:name>PHY_PING_PONG_EN</ipxact:name> + <ipxact:displayName>Instantiate two controllers sharing a Ping Pong PHY</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_CLAMSHELL_EN" type="bit"> + <ipxact:name>PHY_CLAMSHELL_EN</ipxact:name> + <ipxact:displayName>Use clamshell layout </ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RATE_ENUM" type="string"> + <ipxact:name>PHY_RATE_ENUM</ipxact:name> + <ipxact:displayName>Clock rate of user logic</ipxact:displayName> + <ipxact:value>RATE_QUARTER</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_MEM_CLK_FREQ_MHZ" type="real"> + <ipxact:name>PHY_MEM_CLK_FREQ_MHZ</ipxact:name> + <ipxact:displayName>Memory clock frequency</ipxact:displayName> + <ipxact:value>1200.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_REF_CLK_FREQ_MHZ" type="real"> + <ipxact:name>PHY_REF_CLK_FREQ_MHZ</ipxact:name> + <ipxact:displayName>PLL reference clock frequency</ipxact:displayName> + <ipxact:value>25.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_REF_CLK_JITTER_PS" type="real"> + <ipxact:name>PHY_REF_CLK_JITTER_PS</ipxact:name> + <ipxact:displayName>PLL reference clock jitter</ipxact:displayName> + <ipxact:value>10.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DLL_CORE_UPDN_EN" type="bit"> + <ipxact:name>PHY_DLL_CORE_UPDN_EN</ipxact:name> + <ipxact:displayName>Use linear search for DLL lock</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_CORE_CLKS_SHARING_ENUM" type="string"> + <ipxact:name>PHY_CORE_CLKS_SHARING_ENUM</ipxact:name> + <ipxact:displayName>Core clocks sharing</ipxact:displayName> + <ipxact:value>CORE_CLKS_SHARING_DISABLED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_CORE_CLKS_SHARING_EXPOSE_SLAVE_OUT" type="bit"> + <ipxact:name>PHY_CORE_CLKS_SHARING_EXPOSE_SLAVE_OUT</ipxact:name> + <ipxact:displayName>Export clks_sharing_slave_out to facilitate multi-slave connectivity</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_CALIBRATED_OCT" type="bit"> + <ipxact:name>PHY_CALIBRATED_OCT</ipxact:name> + <ipxact:displayName>PARAM_PHY_CALIBRATED_OCT_NAME</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_AC_CALIBRATED_OCT" type="bit"> + <ipxact:name>PHY_AC_CALIBRATED_OCT</ipxact:name> + <ipxact:displayName>PARAM_PHY_AC_CALIBRATED_OCT_NAME</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_CK_CALIBRATED_OCT" type="bit"> + <ipxact:name>PHY_CK_CALIBRATED_OCT</ipxact:name> + <ipxact:displayName>PARAM_PHY_CK_CALIBRATED_OCT_NAME</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DATA_CALIBRATED_OCT" type="bit"> + <ipxact:name>PHY_DATA_CALIBRATED_OCT</ipxact:name> + <ipxact:displayName>PARAM_PHY_DATA_CALIBRATED_OCT_NAME</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RZQ" type="int"> + <ipxact:name>PHY_RZQ</ipxact:name> + <ipxact:displayName>RZQ resistor</ipxact:displayName> + <ipxact:value>240</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_HPS_ENABLE_EARLY_RELEASE" type="bit"> + <ipxact:name>PHY_HPS_ENABLE_EARLY_RELEASE</ipxact:name> + <ipxact:displayName>Enable HPS Early Release Mode</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_USER_PERIODIC_OCT_RECAL_ENUM" type="string"> + <ipxact:name>PHY_USER_PERIODIC_OCT_RECAL_ENUM</ipxact:name> + <ipxact:displayName>Periodic OCT re-calibration</ipxact:displayName> + <ipxact:value>PERIODIC_OCT_RECAL_AUTO</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_AC_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_AC_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>I/O standard</ipxact:displayName> + <ipxact:value>IO_STD_SSTL_12</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_CK_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_CK_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>I/O standard</ipxact:displayName> + <ipxact:value>IO_STD_SSTL_12</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DATA_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_DATA_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>I/O standard</ipxact:displayName> + <ipxact:value>IO_STD_POD_12</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_AC_MODE_ENUM" type="string"> + <ipxact:name>PHY_AC_MODE_ENUM</ipxact:name> + <ipxact:displayName>Output mode</ipxact:displayName> + <ipxact:value>OUT_OCT_40_CAL</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_CK_MODE_ENUM" type="string"> + <ipxact:name>PHY_CK_MODE_ENUM</ipxact:name> + <ipxact:displayName>Output mode</ipxact:displayName> + <ipxact:value>OUT_OCT_40_CAL</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DATA_OUT_MODE_ENUM" type="string"> + <ipxact:name>PHY_DATA_OUT_MODE_ENUM</ipxact:name> + <ipxact:displayName>Output mode</ipxact:displayName> + <ipxact:value>OUT_OCT_34_CAL</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_MIMIC_HPS_EMIF" type="bit"> + <ipxact:name>PHY_MIMIC_HPS_EMIF</ipxact:name> + <ipxact:displayName>Mimic HPS EMIF</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_ADD_EXTRA_CLKS" type="bit"> + <ipxact:name>PLL_ADD_EXTRA_CLKS</ipxact:name> + <ipxact:displayName>Specify additional core clocks based on existing PLL</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_USER_NUM_OF_EXTRA_CLKS" type="int"> + <ipxact:name>PLL_USER_NUM_OF_EXTRA_CLKS</ipxact:name> + <ipxact:displayName>Number of additional core clocks</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_FREQ_MHZ_GUI_0" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_FREQ_MHZ_GUI_0</ipxact:name> + <ipxact:displayName>Frequency</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_PHASE_PS_GUI_0" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_PHASE_PS_GUI_0</ipxact:name> + <ipxact:displayName>Phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_DESIRED_FREQ_MHZ_GUI_0" type="real"> + <ipxact:name>PLL_EXTRA_CLK_DESIRED_FREQ_MHZ_GUI_0</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_DESIRED_FREQ_MHZ_GUI_0_NAME</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_PHASE_SHIFT_UNIT_GUI_0" type="string"> + <ipxact:name>PLL_EXTRA_CLK_PHASE_SHIFT_UNIT_GUI_0</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_PHASE_SHIFT_UNIT_GUI_0_NAME</ipxact:displayName> + <ipxact:value>ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_DESIRED_PHASE_GUI_0" type="real"> + <ipxact:name>PLL_EXTRA_CLK_DESIRED_PHASE_GUI_0</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_DESIRED_PHASE_GUI_0_NAME</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_PHASE_DEG_GUI_0" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_PHASE_DEG_GUI_0</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_ACTUAL_PHASE_DEG_GUI_0_NAME</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_DESIRED_DUTY_CYCLE_GUI_0" type="real"> + <ipxact:name>PLL_EXTRA_CLK_DESIRED_DUTY_CYCLE_GUI_0</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_DESIRED_DUTY_CYCLE_GUI_0_NAME</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_GUI_0" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_GUI_0</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_GUI_0_NAME</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_0" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_0</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_0_NAME</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_FREQ_MHZ_GUI_1" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_FREQ_MHZ_GUI_1</ipxact:name> + <ipxact:displayName>Frequency</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_PHASE_PS_GUI_1" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_PHASE_PS_GUI_1</ipxact:name> + <ipxact:displayName>Phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_DESIRED_FREQ_MHZ_GUI_1" type="real"> + <ipxact:name>PLL_EXTRA_CLK_DESIRED_FREQ_MHZ_GUI_1</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_DESIRED_FREQ_MHZ_GUI_1_NAME</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_PHASE_SHIFT_UNIT_GUI_1" type="string"> + <ipxact:name>PLL_EXTRA_CLK_PHASE_SHIFT_UNIT_GUI_1</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_PHASE_SHIFT_UNIT_GUI_1_NAME</ipxact:displayName> + <ipxact:value>ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_DESIRED_PHASE_GUI_1" type="real"> + <ipxact:name>PLL_EXTRA_CLK_DESIRED_PHASE_GUI_1</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_DESIRED_PHASE_GUI_1_NAME</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_PHASE_DEG_GUI_1" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_PHASE_DEG_GUI_1</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_ACTUAL_PHASE_DEG_GUI_1_NAME</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_DESIRED_DUTY_CYCLE_GUI_1" type="real"> + <ipxact:name>PLL_EXTRA_CLK_DESIRED_DUTY_CYCLE_GUI_1</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_DESIRED_DUTY_CYCLE_GUI_1_NAME</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_GUI_1" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_GUI_1</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_GUI_1_NAME</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_1" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_1</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_1_NAME</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_FREQ_MHZ_GUI_2" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_FREQ_MHZ_GUI_2</ipxact:name> + <ipxact:displayName>Frequency</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_PHASE_PS_GUI_2" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_PHASE_PS_GUI_2</ipxact:name> + <ipxact:displayName>Phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_DESIRED_FREQ_MHZ_GUI_2" type="real"> + <ipxact:name>PLL_EXTRA_CLK_DESIRED_FREQ_MHZ_GUI_2</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_DESIRED_FREQ_MHZ_GUI_2_NAME</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_PHASE_SHIFT_UNIT_GUI_2" type="string"> + <ipxact:name>PLL_EXTRA_CLK_PHASE_SHIFT_UNIT_GUI_2</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_PHASE_SHIFT_UNIT_GUI_2_NAME</ipxact:displayName> + <ipxact:value>ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_DESIRED_PHASE_GUI_2" type="real"> + <ipxact:name>PLL_EXTRA_CLK_DESIRED_PHASE_GUI_2</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_DESIRED_PHASE_GUI_2_NAME</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_PHASE_DEG_GUI_2" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_PHASE_DEG_GUI_2</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_ACTUAL_PHASE_DEG_GUI_2_NAME</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_DESIRED_DUTY_CYCLE_GUI_2" type="real"> + <ipxact:name>PLL_EXTRA_CLK_DESIRED_DUTY_CYCLE_GUI_2</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_DESIRED_DUTY_CYCLE_GUI_2_NAME</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_GUI_2" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_GUI_2</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_GUI_2_NAME</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_2" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_2</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_2_NAME</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_FREQ_MHZ_GUI_3" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_FREQ_MHZ_GUI_3</ipxact:name> + <ipxact:displayName>Frequency</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_PHASE_PS_GUI_3" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_PHASE_PS_GUI_3</ipxact:name> + <ipxact:displayName>Phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_DESIRED_FREQ_MHZ_GUI_3" type="real"> + <ipxact:name>PLL_EXTRA_CLK_DESIRED_FREQ_MHZ_GUI_3</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_DESIRED_FREQ_MHZ_GUI_3_NAME</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_PHASE_SHIFT_UNIT_GUI_3" type="string"> + <ipxact:name>PLL_EXTRA_CLK_PHASE_SHIFT_UNIT_GUI_3</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_PHASE_SHIFT_UNIT_GUI_3_NAME</ipxact:displayName> + <ipxact:value>ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_DESIRED_PHASE_GUI_3" type="real"> + <ipxact:name>PLL_EXTRA_CLK_DESIRED_PHASE_GUI_3</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_DESIRED_PHASE_GUI_3_NAME</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_PHASE_DEG_GUI_3" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_PHASE_DEG_GUI_3</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_ACTUAL_PHASE_DEG_GUI_3_NAME</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_DESIRED_DUTY_CYCLE_GUI_3" type="real"> + <ipxact:name>PLL_EXTRA_CLK_DESIRED_DUTY_CYCLE_GUI_3</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_DESIRED_DUTY_CYCLE_GUI_3_NAME</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_GUI_3" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_GUI_3</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_GUI_3_NAME</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_3" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_3</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_3_NAME</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_FREQ_MHZ_GUI_4" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_FREQ_MHZ_GUI_4</ipxact:name> + <ipxact:displayName>Frequency</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_PHASE_PS_GUI_4" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_PHASE_PS_GUI_4</ipxact:name> + <ipxact:displayName>Phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_DESIRED_FREQ_MHZ_GUI_4" type="real"> + <ipxact:name>PLL_EXTRA_CLK_DESIRED_FREQ_MHZ_GUI_4</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_DESIRED_FREQ_MHZ_GUI_4_NAME</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_PHASE_SHIFT_UNIT_GUI_4" type="string"> + <ipxact:name>PLL_EXTRA_CLK_PHASE_SHIFT_UNIT_GUI_4</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_PHASE_SHIFT_UNIT_GUI_4_NAME</ipxact:displayName> + <ipxact:value>ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_DESIRED_PHASE_GUI_4" type="real"> + <ipxact:name>PLL_EXTRA_CLK_DESIRED_PHASE_GUI_4</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_DESIRED_PHASE_GUI_4_NAME</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_PHASE_DEG_GUI_4" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_PHASE_DEG_GUI_4</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_ACTUAL_PHASE_DEG_GUI_4_NAME</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_DESIRED_DUTY_CYCLE_GUI_4" type="real"> + <ipxact:name>PLL_EXTRA_CLK_DESIRED_DUTY_CYCLE_GUI_4</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_DESIRED_DUTY_CYCLE_GUI_4_NAME</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_GUI_4" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_GUI_4</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_GUI_4_NAME</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_4" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_4</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_4_NAME</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_FREQ_MHZ_GUI_5" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_FREQ_MHZ_GUI_5</ipxact:name> + <ipxact:displayName>Frequency</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_PHASE_PS_GUI_5" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_PHASE_PS_GUI_5</ipxact:name> + <ipxact:displayName>Phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_DESIRED_FREQ_MHZ_GUI_5" type="real"> + <ipxact:name>PLL_EXTRA_CLK_DESIRED_FREQ_MHZ_GUI_5</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_DESIRED_FREQ_MHZ_GUI_5_NAME</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_PHASE_SHIFT_UNIT_GUI_5" type="string"> + <ipxact:name>PLL_EXTRA_CLK_PHASE_SHIFT_UNIT_GUI_5</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_PHASE_SHIFT_UNIT_GUI_5_NAME</ipxact:displayName> + <ipxact:value>ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_DESIRED_PHASE_GUI_5" type="real"> + <ipxact:name>PLL_EXTRA_CLK_DESIRED_PHASE_GUI_5</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_DESIRED_PHASE_GUI_5_NAME</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_PHASE_DEG_GUI_5" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_PHASE_DEG_GUI_5</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_ACTUAL_PHASE_DEG_GUI_5_NAME</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_DESIRED_DUTY_CYCLE_GUI_5" type="real"> + <ipxact:name>PLL_EXTRA_CLK_DESIRED_DUTY_CYCLE_GUI_5</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_DESIRED_DUTY_CYCLE_GUI_5_NAME</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_GUI_5" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_GUI_5</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_GUI_5_NAME</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_5" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_5</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_5_NAME</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_FREQ_MHZ_GUI_6" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_FREQ_MHZ_GUI_6</ipxact:name> + <ipxact:displayName>Frequency</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_PHASE_PS_GUI_6" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_PHASE_PS_GUI_6</ipxact:name> + <ipxact:displayName>Phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_DESIRED_FREQ_MHZ_GUI_6" type="real"> + <ipxact:name>PLL_EXTRA_CLK_DESIRED_FREQ_MHZ_GUI_6</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_DESIRED_FREQ_MHZ_GUI_6_NAME</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_PHASE_SHIFT_UNIT_GUI_6" type="string"> + <ipxact:name>PLL_EXTRA_CLK_PHASE_SHIFT_UNIT_GUI_6</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_PHASE_SHIFT_UNIT_GUI_6_NAME</ipxact:displayName> + <ipxact:value>ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_DESIRED_PHASE_GUI_6" type="real"> + <ipxact:name>PLL_EXTRA_CLK_DESIRED_PHASE_GUI_6</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_DESIRED_PHASE_GUI_6_NAME</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_PHASE_DEG_GUI_6" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_PHASE_DEG_GUI_6</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_ACTUAL_PHASE_DEG_GUI_6_NAME</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_DESIRED_DUTY_CYCLE_GUI_6" type="real"> + <ipxact:name>PLL_EXTRA_CLK_DESIRED_DUTY_CYCLE_GUI_6</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_DESIRED_DUTY_CYCLE_GUI_6_NAME</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_GUI_6" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_GUI_6</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_GUI_6_NAME</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_6" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_6</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_6_NAME</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_FREQ_MHZ_GUI_7" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_FREQ_MHZ_GUI_7</ipxact:name> + <ipxact:displayName>Frequency</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_PHASE_PS_GUI_7" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_PHASE_PS_GUI_7</ipxact:name> + <ipxact:displayName>Phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_DESIRED_FREQ_MHZ_GUI_7" type="real"> + <ipxact:name>PLL_EXTRA_CLK_DESIRED_FREQ_MHZ_GUI_7</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_DESIRED_FREQ_MHZ_GUI_7_NAME</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_PHASE_SHIFT_UNIT_GUI_7" type="string"> + <ipxact:name>PLL_EXTRA_CLK_PHASE_SHIFT_UNIT_GUI_7</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_PHASE_SHIFT_UNIT_GUI_7_NAME</ipxact:displayName> + <ipxact:value>ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_DESIRED_PHASE_GUI_7" type="real"> + <ipxact:name>PLL_EXTRA_CLK_DESIRED_PHASE_GUI_7</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_DESIRED_PHASE_GUI_7_NAME</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_PHASE_DEG_GUI_7" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_PHASE_DEG_GUI_7</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_ACTUAL_PHASE_DEG_GUI_7_NAME</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_DESIRED_DUTY_CYCLE_GUI_7" type="real"> + <ipxact:name>PLL_EXTRA_CLK_DESIRED_DUTY_CYCLE_GUI_7</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_DESIRED_DUTY_CYCLE_GUI_7_NAME</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_GUI_7" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_GUI_7</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_GUI_7_NAME</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_7" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_7</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_7_NAME</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_FREQ_MHZ_GUI_8" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_FREQ_MHZ_GUI_8</ipxact:name> + <ipxact:displayName>Frequency</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_PHASE_PS_GUI_8" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_PHASE_PS_GUI_8</ipxact:name> + <ipxact:displayName>Phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_DESIRED_FREQ_MHZ_GUI_8" type="real"> + <ipxact:name>PLL_EXTRA_CLK_DESIRED_FREQ_MHZ_GUI_8</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_DESIRED_FREQ_MHZ_GUI_8_NAME</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_PHASE_SHIFT_UNIT_GUI_8" type="string"> + <ipxact:name>PLL_EXTRA_CLK_PHASE_SHIFT_UNIT_GUI_8</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_PHASE_SHIFT_UNIT_GUI_8_NAME</ipxact:displayName> + <ipxact:value>ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_DESIRED_PHASE_GUI_8" type="real"> + <ipxact:name>PLL_EXTRA_CLK_DESIRED_PHASE_GUI_8</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_DESIRED_PHASE_GUI_8_NAME</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_PHASE_DEG_GUI_8" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_PHASE_DEG_GUI_8</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_ACTUAL_PHASE_DEG_GUI_8_NAME</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_DESIRED_DUTY_CYCLE_GUI_8" type="real"> + <ipxact:name>PLL_EXTRA_CLK_DESIRED_DUTY_CYCLE_GUI_8</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_DESIRED_DUTY_CYCLE_GUI_8_NAME</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_GUI_8" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_GUI_8</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_GUI_8_NAME</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_8" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_8</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_8_NAME</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_VCO_CLK_FREQ_MHZ" type="real"> + <ipxact:name>PLL_VCO_CLK_FREQ_MHZ</ipxact:name> + <ipxact:displayName>PARAM_PLL_VCO_CLK_FREQ_MHZ_NAME</ipxact:displayName> + <ipxact:value>1200.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_NUM_OF_EXTRA_CLKS" type="int"> + <ipxact:name>PLL_NUM_OF_EXTRA_CLKS</ipxact:name> + <ipxact:displayName>PARAM_PLL_NUM_OF_EXTRA_CLKS_NAME</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_FREQ_MHZ_0" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_FREQ_MHZ_0</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_ACTUAL_FREQ_MHZ_0_NAME</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_PHASE_PS_0" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_PHASE_PS_0</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_ACTUAL_PHASE_PS_0_NAME</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_FREQ_MHZ_1" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_FREQ_MHZ_1</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_ACTUAL_FREQ_MHZ_1_NAME</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_PHASE_PS_1" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_PHASE_PS_1</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_ACTUAL_PHASE_PS_1_NAME</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_FREQ_MHZ_2" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_FREQ_MHZ_2</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_ACTUAL_FREQ_MHZ_2_NAME</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_PHASE_PS_2" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_PHASE_PS_2</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_ACTUAL_PHASE_PS_2_NAME</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_FREQ_MHZ_3" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_FREQ_MHZ_3</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_ACTUAL_FREQ_MHZ_3_NAME</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_PHASE_PS_3" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_PHASE_PS_3</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_ACTUAL_PHASE_PS_3_NAME</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_FREQ_MHZ_4" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_FREQ_MHZ_4</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_ACTUAL_FREQ_MHZ_4_NAME</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_PHASE_PS_4" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_PHASE_PS_4</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_ACTUAL_PHASE_PS_4_NAME</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_FREQ_MHZ_5" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_FREQ_MHZ_5</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_ACTUAL_FREQ_MHZ_5_NAME</ipxact:displayName> + <ipxact:value>1200.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_PHASE_PS_5" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_PHASE_PS_5</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_ACTUAL_PHASE_PS_5_NAME</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_FREQ_MHZ_6" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_FREQ_MHZ_6</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_ACTUAL_FREQ_MHZ_6_NAME</ipxact:displayName> + <ipxact:value>1200.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_PHASE_PS_6" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_PHASE_PS_6</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_ACTUAL_PHASE_PS_6_NAME</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_FREQ_MHZ_7" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_FREQ_MHZ_7</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_ACTUAL_FREQ_MHZ_7_NAME</ipxact:displayName> + <ipxact:value>1200.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_PHASE_PS_7" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_PHASE_PS_7</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_ACTUAL_PHASE_PS_7_NAME</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_FREQ_MHZ_8" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_FREQ_MHZ_8</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_ACTUAL_FREQ_MHZ_8_NAME</ipxact:displayName> + <ipxact:value>1200.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLL_EXTRA_CLK_ACTUAL_PHASE_PS_8" type="real"> + <ipxact:name>PLL_EXTRA_CLK_ACTUAL_PHASE_PS_8</ipxact:name> + <ipxact:displayName>PARAM_PLL_EXTRA_CLK_ACTUAL_PHASE_PS_8_NAME</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR3_CONFIG_ENUM" type="string"> + <ipxact:name>PHY_DDR3_CONFIG_ENUM</ipxact:name> + <ipxact:displayName>Configuration</ipxact:displayName> + <ipxact:value>CONFIG_PHY_AND_HARD_CTRL</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR3_USER_PING_PONG_EN" type="bit"> + <ipxact:name>PHY_DDR3_USER_PING_PONG_EN</ipxact:name> + <ipxact:displayName>Instantiate two controllers sharing a Ping Pong PHY</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR3_MEM_CLK_FREQ_MHZ" type="real"> + <ipxact:name>PHY_DDR3_MEM_CLK_FREQ_MHZ</ipxact:name> + <ipxact:displayName>Memory clock frequency</ipxact:displayName> + <ipxact:value>1066.667</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR3_DEFAULT_REF_CLK_FREQ" type="bit"> + <ipxact:name>PHY_DDR3_DEFAULT_REF_CLK_FREQ</ipxact:name> + <ipxact:displayName>Use recommended PLL reference clock frequency</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR3_USER_REF_CLK_FREQ_MHZ" type="real"> + <ipxact:name>PHY_DDR3_USER_REF_CLK_FREQ_MHZ</ipxact:name> + <ipxact:displayName>PLL reference clock frequency</ipxact:displayName> + <ipxact:value>-1.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR3_REF_CLK_JITTER_PS" type="real"> + <ipxact:name>PHY_DDR3_REF_CLK_JITTER_PS</ipxact:name> + <ipxact:displayName>PLL reference clock jitter</ipxact:displayName> + <ipxact:value>10.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR3_RATE_ENUM" type="string"> + <ipxact:name>PHY_DDR3_RATE_ENUM</ipxact:name> + <ipxact:displayName>Clock rate of user logic</ipxact:displayName> + <ipxact:value>RATE_QUARTER</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR3_CORE_CLKS_SHARING_ENUM" type="string"> + <ipxact:name>PHY_DDR3_CORE_CLKS_SHARING_ENUM</ipxact:name> + <ipxact:displayName>Core clocks sharing</ipxact:displayName> + <ipxact:value>CORE_CLKS_SHARING_DISABLED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR3_CORE_CLKS_SHARING_EXPOSE_SLAVE_OUT" type="bit"> + <ipxact:name>PHY_DDR3_CORE_CLKS_SHARING_EXPOSE_SLAVE_OUT</ipxact:name> + <ipxact:displayName>Export clks_sharing_slave_out to facilitate multi-slave connectivity</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR3_IO_VOLTAGE" type="real"> + <ipxact:name>PHY_DDR3_IO_VOLTAGE</ipxact:name> + <ipxact:displayName>Voltage</ipxact:displayName> + <ipxact:value>1.5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR3_DEFAULT_IO" type="bit"> + <ipxact:name>PHY_DDR3_DEFAULT_IO</ipxact:name> + <ipxact:displayName>Use default I/O settings</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR3_HPS_ENABLE_EARLY_RELEASE" type="bit"> + <ipxact:name>PHY_DDR3_HPS_ENABLE_EARLY_RELEASE</ipxact:name> + <ipxact:displayName>Enable HPS Early Release Mode</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR3_USER_PERIODIC_OCT_RECAL_ENUM" type="string"> + <ipxact:name>PHY_DDR3_USER_PERIODIC_OCT_RECAL_ENUM</ipxact:name> + <ipxact:displayName>Periodic OCT re-calibration</ipxact:displayName> + <ipxact:value>PERIODIC_OCT_RECAL_AUTO</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR3_USER_DLL_CORE_UPDN_EN" type="bit"> + <ipxact:name>PHY_DDR3_USER_DLL_CORE_UPDN_EN</ipxact:name> + <ipxact:displayName>Use linear search for DLL lock</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR3_MIMIC_HPS_EMIF" type="bit"> + <ipxact:name>PHY_DDR3_MIMIC_HPS_EMIF</ipxact:name> + <ipxact:displayName>Mimic HPS EMIF</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR3_REF_CLK_FREQ_MHZ" type="real"> + <ipxact:name>PHY_DDR3_REF_CLK_FREQ_MHZ</ipxact:name> + <ipxact:displayName>PLL reference clock frequency</ipxact:displayName> + <ipxact:value>-1.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR3_PING_PONG_EN" type="bit"> + <ipxact:name>PHY_DDR3_PING_PONG_EN</ipxact:name> + <ipxact:displayName>Instantiate two controllers sharing a Ping Pong PHY</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR3_USER_AC_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_DDR3_USER_AC_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR3_USER_AC_MODE_ENUM" type="string"> + <ipxact:name>PHY_DDR3_USER_AC_MODE_ENUM</ipxact:name> + <ipxact:displayName>Output mode</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR3_USER_AC_SLEW_RATE_ENUM" type="string"> + <ipxact:name>PHY_DDR3_USER_AC_SLEW_RATE_ENUM</ipxact:name> + <ipxact:displayName>Slew rate</ipxact:displayName> + <ipxact:value>SLEW_RATE_FAST</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR3_USER_CK_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_DDR3_USER_CK_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR3_USER_CK_MODE_ENUM" type="string"> + <ipxact:name>PHY_DDR3_USER_CK_MODE_ENUM</ipxact:name> + <ipxact:displayName>Output mode</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR3_USER_CK_SLEW_RATE_ENUM" type="string"> + <ipxact:name>PHY_DDR3_USER_CK_SLEW_RATE_ENUM</ipxact:name> + <ipxact:displayName>Slew rate</ipxact:displayName> + <ipxact:value>SLEW_RATE_FAST</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR3_USER_DATA_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_DDR3_USER_DATA_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR3_USER_DATA_OUT_MODE_ENUM" type="string"> + <ipxact:name>PHY_DDR3_USER_DATA_OUT_MODE_ENUM</ipxact:name> + <ipxact:displayName>Output mode</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR3_USER_DATA_IN_MODE_ENUM" type="string"> + <ipxact:name>PHY_DDR3_USER_DATA_IN_MODE_ENUM</ipxact:name> + <ipxact:displayName>Input mode</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR3_USER_AUTO_STARTING_VREFIN_EN" type="bit"> + <ipxact:name>PHY_DDR3_USER_AUTO_STARTING_VREFIN_EN</ipxact:name> + <ipxact:displayName>Use recommended initial Vrefin</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR3_USER_STARTING_VREFIN" type="real"> + <ipxact:name>PHY_DDR3_USER_STARTING_VREFIN</ipxact:name> + <ipxact:displayName>Initial Vrefin</ipxact:displayName> + <ipxact:value>70.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR3_USER_PLL_REF_CLK_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_DDR3_USER_PLL_REF_CLK_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>PLL reference clock I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR3_USER_RZQ_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_DDR3_USER_RZQ_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>RZQ I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR3_AC_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_DDR3_AC_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR3_AC_MODE_ENUM" type="string"> + <ipxact:name>PHY_DDR3_AC_MODE_ENUM</ipxact:name> + <ipxact:displayName>Output mode</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR3_AC_SLEW_RATE_ENUM" type="string"> + <ipxact:name>PHY_DDR3_AC_SLEW_RATE_ENUM</ipxact:name> + <ipxact:displayName>Slew rate</ipxact:displayName> + <ipxact:value>SLEW_RATE_FAST</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR3_CK_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_DDR3_CK_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR3_CK_MODE_ENUM" type="string"> + <ipxact:name>PHY_DDR3_CK_MODE_ENUM</ipxact:name> + <ipxact:displayName>Output mode</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR3_CK_SLEW_RATE_ENUM" type="string"> + <ipxact:name>PHY_DDR3_CK_SLEW_RATE_ENUM</ipxact:name> + <ipxact:displayName>Slew rate</ipxact:displayName> + <ipxact:value>SLEW_RATE_FAST</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR3_DATA_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_DDR3_DATA_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR3_DATA_OUT_MODE_ENUM" type="string"> + <ipxact:name>PHY_DDR3_DATA_OUT_MODE_ENUM</ipxact:name> + <ipxact:displayName>Output mode</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR3_DATA_IN_MODE_ENUM" type="string"> + <ipxact:name>PHY_DDR3_DATA_IN_MODE_ENUM</ipxact:name> + <ipxact:displayName>Input mode</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR3_AUTO_STARTING_VREFIN_EN" type="bit"> + <ipxact:name>PHY_DDR3_AUTO_STARTING_VREFIN_EN</ipxact:name> + <ipxact:displayName>Use recommended initial Vrefin</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR3_STARTING_VREFIN" type="real"> + <ipxact:name>PHY_DDR3_STARTING_VREFIN</ipxact:name> + <ipxact:displayName>Initial Vrefin</ipxact:displayName> + <ipxact:value>70.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR3_PLL_REF_CLK_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_DDR3_PLL_REF_CLK_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>PLL reference clock I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR3_RZQ_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_DDR3_RZQ_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>RZQ I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR3_CAL_ADDR0" type="int"> + <ipxact:name>PHY_DDR3_CAL_ADDR0</ipxact:name> + <ipxact:displayName>PARAM_PHY_DDR3_CAL_ADDR0_NAME</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR3_CAL_ADDR1" type="int"> + <ipxact:name>PHY_DDR3_CAL_ADDR1</ipxact:name> + <ipxact:displayName>PARAM_PHY_DDR3_CAL_ADDR1_NAME</ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR3_CAL_ENABLE_NON_DES" type="bit"> + <ipxact:name>PHY_DDR3_CAL_ENABLE_NON_DES</ipxact:name> + <ipxact:displayName>PARAM_PHY_DDR3_CAL_ENABLE_NON_DES_NAME</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR4_CONFIG_ENUM" type="string"> + <ipxact:name>PHY_DDR4_CONFIG_ENUM</ipxact:name> + <ipxact:displayName>Configuration</ipxact:displayName> + <ipxact:value>CONFIG_PHY_AND_HARD_CTRL</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR4_USER_PING_PONG_EN" type="bit"> + <ipxact:name>PHY_DDR4_USER_PING_PONG_EN</ipxact:name> + <ipxact:displayName>Instantiate two controllers sharing a Ping Pong PHY</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR4_USER_CLAMSHELL_EN" type="bit"> + <ipxact:name>PHY_DDR4_USER_CLAMSHELL_EN</ipxact:name> + <ipxact:displayName>Use clamshell layout </ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR4_USER_DLL_CORE_UPDN_EN" type="bit"> + <ipxact:name>PHY_DDR4_USER_DLL_CORE_UPDN_EN</ipxact:name> + <ipxact:displayName>Use linear search for DLL lock</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR4_MEM_CLK_FREQ_MHZ" type="real"> + <ipxact:name>PHY_DDR4_MEM_CLK_FREQ_MHZ</ipxact:name> + <ipxact:displayName>Memory clock frequency</ipxact:displayName> + <ipxact:value>1200.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR4_DEFAULT_REF_CLK_FREQ" type="bit"> + <ipxact:name>PHY_DDR4_DEFAULT_REF_CLK_FREQ</ipxact:name> + <ipxact:displayName>Use recommended PLL reference clock frequency</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR4_USER_REF_CLK_FREQ_MHZ" type="real"> + <ipxact:name>PHY_DDR4_USER_REF_CLK_FREQ_MHZ</ipxact:name> + <ipxact:displayName>PLL reference clock frequency</ipxact:displayName> + <ipxact:value>25.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR4_REF_CLK_JITTER_PS" type="real"> + <ipxact:name>PHY_DDR4_REF_CLK_JITTER_PS</ipxact:name> + <ipxact:displayName>PLL reference clock jitter</ipxact:displayName> + <ipxact:value>10.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR4_RATE_ENUM" type="string"> + <ipxact:name>PHY_DDR4_RATE_ENUM</ipxact:name> + <ipxact:displayName>Clock rate of user logic</ipxact:displayName> + <ipxact:value>RATE_QUARTER</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR4_CORE_CLKS_SHARING_ENUM" type="string"> + <ipxact:name>PHY_DDR4_CORE_CLKS_SHARING_ENUM</ipxact:name> + <ipxact:displayName>Core clocks sharing</ipxact:displayName> + <ipxact:value>CORE_CLKS_SHARING_DISABLED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR4_CORE_CLKS_SHARING_EXPOSE_SLAVE_OUT" type="bit"> + <ipxact:name>PHY_DDR4_CORE_CLKS_SHARING_EXPOSE_SLAVE_OUT</ipxact:name> + <ipxact:displayName>Export clks_sharing_slave_out to facilitate multi-slave connectivity</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR4_IO_VOLTAGE" type="real"> + <ipxact:name>PHY_DDR4_IO_VOLTAGE</ipxact:name> + <ipxact:displayName>Voltage</ipxact:displayName> + <ipxact:value>1.2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR4_DEFAULT_IO" type="bit"> + <ipxact:name>PHY_DDR4_DEFAULT_IO</ipxact:name> + <ipxact:displayName>Use default I/O settings</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR4_HPS_ENABLE_EARLY_RELEASE" type="bit"> + <ipxact:name>PHY_DDR4_HPS_ENABLE_EARLY_RELEASE</ipxact:name> + <ipxact:displayName>Enable HPS Early Release Mode</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR4_USER_PERIODIC_OCT_RECAL_ENUM" type="string"> + <ipxact:name>PHY_DDR4_USER_PERIODIC_OCT_RECAL_ENUM</ipxact:name> + <ipxact:displayName>Periodic OCT re-calibration</ipxact:displayName> + <ipxact:value>PERIODIC_OCT_RECAL_AUTO</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR4_MIMIC_HPS_EMIF" type="bit"> + <ipxact:name>PHY_DDR4_MIMIC_HPS_EMIF</ipxact:name> + <ipxact:displayName>Mimic HPS EMIF</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR4_REF_CLK_FREQ_MHZ" type="real"> + <ipxact:name>PHY_DDR4_REF_CLK_FREQ_MHZ</ipxact:name> + <ipxact:displayName>PLL reference clock frequency</ipxact:displayName> + <ipxact:value>25.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR4_PING_PONG_EN" type="bit"> + <ipxact:name>PHY_DDR4_PING_PONG_EN</ipxact:name> + <ipxact:displayName>Instantiate two controllers sharing a Ping Pong PHY</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR4_CLAMSHELL_EN" type="bit"> + <ipxact:name>PHY_DDR4_CLAMSHELL_EN</ipxact:name> + <ipxact:displayName>Use clamshell layout </ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR4_USER_AC_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_DDR4_USER_AC_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR4_USER_AC_MODE_ENUM" type="string"> + <ipxact:name>PHY_DDR4_USER_AC_MODE_ENUM</ipxact:name> + <ipxact:displayName>Output mode</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR4_USER_AC_SLEW_RATE_ENUM" type="string"> + <ipxact:name>PHY_DDR4_USER_AC_SLEW_RATE_ENUM</ipxact:name> + <ipxact:displayName>Slew rate</ipxact:displayName> + <ipxact:value>SLEW_RATE_FAST</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR4_USER_CK_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_DDR4_USER_CK_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR4_USER_CK_MODE_ENUM" type="string"> + <ipxact:name>PHY_DDR4_USER_CK_MODE_ENUM</ipxact:name> + <ipxact:displayName>Output mode</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR4_USER_CK_SLEW_RATE_ENUM" type="string"> + <ipxact:name>PHY_DDR4_USER_CK_SLEW_RATE_ENUM</ipxact:name> + <ipxact:displayName>Slew rate</ipxact:displayName> + <ipxact:value>SLEW_RATE_FAST</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR4_USER_DATA_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_DDR4_USER_DATA_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR4_USER_DATA_OUT_MODE_ENUM" type="string"> + <ipxact:name>PHY_DDR4_USER_DATA_OUT_MODE_ENUM</ipxact:name> + <ipxact:displayName>Output mode</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR4_USER_DATA_IN_MODE_ENUM" type="string"> + <ipxact:name>PHY_DDR4_USER_DATA_IN_MODE_ENUM</ipxact:name> + <ipxact:displayName>Input mode</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR4_USER_AUTO_STARTING_VREFIN_EN" type="bit"> + <ipxact:name>PHY_DDR4_USER_AUTO_STARTING_VREFIN_EN</ipxact:name> + <ipxact:displayName>Use recommended initial Vrefin</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR4_USER_STARTING_VREFIN" type="real"> + <ipxact:name>PHY_DDR4_USER_STARTING_VREFIN</ipxact:name> + <ipxact:displayName>Initial Vrefin</ipxact:displayName> + <ipxact:value>70.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR4_USER_PLL_REF_CLK_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_DDR4_USER_PLL_REF_CLK_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>PLL reference clock I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR4_USER_RZQ_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_DDR4_USER_RZQ_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>RZQ I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR4_AC_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_DDR4_AC_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>I/O standard</ipxact:displayName> + <ipxact:value>IO_STD_SSTL_12</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR4_AC_MODE_ENUM" type="string"> + <ipxact:name>PHY_DDR4_AC_MODE_ENUM</ipxact:name> + <ipxact:displayName>Output mode</ipxact:displayName> + <ipxact:value>OUT_OCT_40_CAL</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR4_AC_SLEW_RATE_ENUM" type="string"> + <ipxact:name>PHY_DDR4_AC_SLEW_RATE_ENUM</ipxact:name> + <ipxact:displayName>Slew rate</ipxact:displayName> + <ipxact:value>SLEW_RATE_FAST</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR4_CK_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_DDR4_CK_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>I/O standard</ipxact:displayName> + <ipxact:value>IO_STD_SSTL_12</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR4_CK_MODE_ENUM" type="string"> + <ipxact:name>PHY_DDR4_CK_MODE_ENUM</ipxact:name> + <ipxact:displayName>Output mode</ipxact:displayName> + <ipxact:value>OUT_OCT_40_CAL</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR4_CK_SLEW_RATE_ENUM" type="string"> + <ipxact:name>PHY_DDR4_CK_SLEW_RATE_ENUM</ipxact:name> + <ipxact:displayName>Slew rate</ipxact:displayName> + <ipxact:value>SLEW_RATE_FAST</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR4_DATA_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_DDR4_DATA_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>I/O standard</ipxact:displayName> + <ipxact:value>IO_STD_POD_12</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR4_DATA_OUT_MODE_ENUM" type="string"> + <ipxact:name>PHY_DDR4_DATA_OUT_MODE_ENUM</ipxact:name> + <ipxact:displayName>Output mode</ipxact:displayName> + <ipxact:value>OUT_OCT_34_CAL</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR4_DATA_IN_MODE_ENUM" type="string"> + <ipxact:name>PHY_DDR4_DATA_IN_MODE_ENUM</ipxact:name> + <ipxact:displayName>Input mode</ipxact:displayName> + <ipxact:value>IN_OCT_120_CAL</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR4_AUTO_STARTING_VREFIN_EN" type="bit"> + <ipxact:name>PHY_DDR4_AUTO_STARTING_VREFIN_EN</ipxact:name> + <ipxact:displayName>Use recommended initial Vrefin</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR4_STARTING_VREFIN" type="real"> + <ipxact:name>PHY_DDR4_STARTING_VREFIN</ipxact:name> + <ipxact:displayName>Initial Vrefin</ipxact:displayName> + <ipxact:value>61.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR4_PLL_REF_CLK_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_DDR4_PLL_REF_CLK_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>PLL reference clock I/O standard</ipxact:displayName> + <ipxact:value>IO_STD_LVDS</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_DDR4_RZQ_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_DDR4_RZQ_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>RZQ I/O standard</ipxact:displayName> + <ipxact:value>IO_STD_CMOS_12</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR2_CONFIG_ENUM" type="string"> + <ipxact:name>PHY_QDR2_CONFIG_ENUM</ipxact:name> + <ipxact:displayName>Configuration</ipxact:displayName> + <ipxact:value>CONFIG_PHY_AND_SOFT_CTRL</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR2_USER_PING_PONG_EN" type="bit"> + <ipxact:name>PHY_QDR2_USER_PING_PONG_EN</ipxact:name> + <ipxact:displayName>Instantiate two controllers sharing a Ping Pong PHY</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR2_MEM_CLK_FREQ_MHZ" type="real"> + <ipxact:name>PHY_QDR2_MEM_CLK_FREQ_MHZ</ipxact:name> + <ipxact:displayName>Memory clock frequency</ipxact:displayName> + <ipxact:value>633.333</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR2_DEFAULT_REF_CLK_FREQ" type="bit"> + <ipxact:name>PHY_QDR2_DEFAULT_REF_CLK_FREQ</ipxact:name> + <ipxact:displayName>Use recommended PLL reference clock frequency</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR2_USER_REF_CLK_FREQ_MHZ" type="real"> + <ipxact:name>PHY_QDR2_USER_REF_CLK_FREQ_MHZ</ipxact:name> + <ipxact:displayName>PLL reference clock frequency</ipxact:displayName> + <ipxact:value>-1.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR2_REF_CLK_JITTER_PS" type="real"> + <ipxact:name>PHY_QDR2_REF_CLK_JITTER_PS</ipxact:name> + <ipxact:displayName>PLL reference clock jitter</ipxact:displayName> + <ipxact:value>10.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR2_RATE_ENUM" type="string"> + <ipxact:name>PHY_QDR2_RATE_ENUM</ipxact:name> + <ipxact:displayName>Clock rate of user logic</ipxact:displayName> + <ipxact:value>RATE_HALF</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR2_CORE_CLKS_SHARING_ENUM" type="string"> + <ipxact:name>PHY_QDR2_CORE_CLKS_SHARING_ENUM</ipxact:name> + <ipxact:displayName>Core clocks sharing</ipxact:displayName> + <ipxact:value>CORE_CLKS_SHARING_DISABLED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR2_CORE_CLKS_SHARING_EXPOSE_SLAVE_OUT" type="bit"> + <ipxact:name>PHY_QDR2_CORE_CLKS_SHARING_EXPOSE_SLAVE_OUT</ipxact:name> + <ipxact:displayName>Export clks_sharing_slave_out to facilitate multi-slave connectivity</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR2_IO_VOLTAGE" type="real"> + <ipxact:name>PHY_QDR2_IO_VOLTAGE</ipxact:name> + <ipxact:displayName>Voltage</ipxact:displayName> + <ipxact:value>1.5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR2_DEFAULT_IO" type="bit"> + <ipxact:name>PHY_QDR2_DEFAULT_IO</ipxact:name> + <ipxact:displayName>Use default I/O settings</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR2_HPS_ENABLE_EARLY_RELEASE" type="bit"> + <ipxact:name>PHY_QDR2_HPS_ENABLE_EARLY_RELEASE</ipxact:name> + <ipxact:displayName>Enable HPS Early Release Mode</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR2_USER_PERIODIC_OCT_RECAL_ENUM" type="string"> + <ipxact:name>PHY_QDR2_USER_PERIODIC_OCT_RECAL_ENUM</ipxact:name> + <ipxact:displayName>Periodic OCT re-calibration</ipxact:displayName> + <ipxact:value>PERIODIC_OCT_RECAL_AUTO</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR2_USER_DLL_CORE_UPDN_EN" type="bit"> + <ipxact:name>PHY_QDR2_USER_DLL_CORE_UPDN_EN</ipxact:name> + <ipxact:displayName>Use linear search for DLL lock</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR2_MIMIC_HPS_EMIF" type="bit"> + <ipxact:name>PHY_QDR2_MIMIC_HPS_EMIF</ipxact:name> + <ipxact:displayName>Mimic HPS EMIF</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR2_REF_CLK_FREQ_MHZ" type="real"> + <ipxact:name>PHY_QDR2_REF_CLK_FREQ_MHZ</ipxact:name> + <ipxact:displayName>PLL reference clock frequency</ipxact:displayName> + <ipxact:value>-1.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR2_PING_PONG_EN" type="bit"> + <ipxact:name>PHY_QDR2_PING_PONG_EN</ipxact:name> + <ipxact:displayName>Instantiate two controllers sharing a Ping Pong PHY</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR2_USER_AC_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_QDR2_USER_AC_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR2_USER_AC_MODE_ENUM" type="string"> + <ipxact:name>PHY_QDR2_USER_AC_MODE_ENUM</ipxact:name> + <ipxact:displayName>Output mode</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR2_USER_AC_SLEW_RATE_ENUM" type="string"> + <ipxact:name>PHY_QDR2_USER_AC_SLEW_RATE_ENUM</ipxact:name> + <ipxact:displayName>Slew rate</ipxact:displayName> + <ipxact:value>SLEW_RATE_FAST</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR2_USER_CK_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_QDR2_USER_CK_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR2_USER_CK_MODE_ENUM" type="string"> + <ipxact:name>PHY_QDR2_USER_CK_MODE_ENUM</ipxact:name> + <ipxact:displayName>Output mode</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR2_USER_CK_SLEW_RATE_ENUM" type="string"> + <ipxact:name>PHY_QDR2_USER_CK_SLEW_RATE_ENUM</ipxact:name> + <ipxact:displayName>Slew rate</ipxact:displayName> + <ipxact:value>SLEW_RATE_FAST</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR2_USER_DATA_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_QDR2_USER_DATA_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR2_USER_DATA_OUT_MODE_ENUM" type="string"> + <ipxact:name>PHY_QDR2_USER_DATA_OUT_MODE_ENUM</ipxact:name> + <ipxact:displayName>Output mode</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR2_USER_DATA_IN_MODE_ENUM" type="string"> + <ipxact:name>PHY_QDR2_USER_DATA_IN_MODE_ENUM</ipxact:name> + <ipxact:displayName>Input mode</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR2_USER_AUTO_STARTING_VREFIN_EN" type="bit"> + <ipxact:name>PHY_QDR2_USER_AUTO_STARTING_VREFIN_EN</ipxact:name> + <ipxact:displayName>Use recommended initial Vrefin</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR2_USER_STARTING_VREFIN" type="real"> + <ipxact:name>PHY_QDR2_USER_STARTING_VREFIN</ipxact:name> + <ipxact:displayName>Initial Vrefin</ipxact:displayName> + <ipxact:value>70.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR2_USER_PLL_REF_CLK_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_QDR2_USER_PLL_REF_CLK_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>PLL reference clock I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR2_USER_RZQ_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_QDR2_USER_RZQ_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>RZQ I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR2_AC_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_QDR2_AC_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR2_AC_MODE_ENUM" type="string"> + <ipxact:name>PHY_QDR2_AC_MODE_ENUM</ipxact:name> + <ipxact:displayName>Output mode</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR2_AC_SLEW_RATE_ENUM" type="string"> + <ipxact:name>PHY_QDR2_AC_SLEW_RATE_ENUM</ipxact:name> + <ipxact:displayName>Slew rate</ipxact:displayName> + <ipxact:value>SLEW_RATE_FAST</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR2_CK_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_QDR2_CK_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR2_CK_MODE_ENUM" type="string"> + <ipxact:name>PHY_QDR2_CK_MODE_ENUM</ipxact:name> + <ipxact:displayName>Output mode</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR2_CK_SLEW_RATE_ENUM" type="string"> + <ipxact:name>PHY_QDR2_CK_SLEW_RATE_ENUM</ipxact:name> + <ipxact:displayName>Slew rate</ipxact:displayName> + <ipxact:value>SLEW_RATE_FAST</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR2_DATA_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_QDR2_DATA_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR2_DATA_OUT_MODE_ENUM" type="string"> + <ipxact:name>PHY_QDR2_DATA_OUT_MODE_ENUM</ipxact:name> + <ipxact:displayName>Output mode</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR2_DATA_IN_MODE_ENUM" type="string"> + <ipxact:name>PHY_QDR2_DATA_IN_MODE_ENUM</ipxact:name> + <ipxact:displayName>Input mode</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR2_AUTO_STARTING_VREFIN_EN" type="bit"> + <ipxact:name>PHY_QDR2_AUTO_STARTING_VREFIN_EN</ipxact:name> + <ipxact:displayName>Use recommended initial Vrefin</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR2_STARTING_VREFIN" type="real"> + <ipxact:name>PHY_QDR2_STARTING_VREFIN</ipxact:name> + <ipxact:displayName>Initial Vrefin</ipxact:displayName> + <ipxact:value>70.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR2_PLL_REF_CLK_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_QDR2_PLL_REF_CLK_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>PLL reference clock I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR2_RZQ_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_QDR2_RZQ_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>RZQ I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR4_CONFIG_ENUM" type="string"> + <ipxact:name>PHY_QDR4_CONFIG_ENUM</ipxact:name> + <ipxact:displayName>Configuration</ipxact:displayName> + <ipxact:value>CONFIG_PHY_AND_SOFT_CTRL</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR4_USER_PING_PONG_EN" type="bit"> + <ipxact:name>PHY_QDR4_USER_PING_PONG_EN</ipxact:name> + <ipxact:displayName>Instantiate two controllers sharing a Ping Pong PHY</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR4_MEM_CLK_FREQ_MHZ" type="real"> + <ipxact:name>PHY_QDR4_MEM_CLK_FREQ_MHZ</ipxact:name> + <ipxact:displayName>Memory clock frequency</ipxact:displayName> + <ipxact:value>1066.667</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR4_DEFAULT_REF_CLK_FREQ" type="bit"> + <ipxact:name>PHY_QDR4_DEFAULT_REF_CLK_FREQ</ipxact:name> + <ipxact:displayName>Use recommended PLL reference clock frequency</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR4_USER_REF_CLK_FREQ_MHZ" type="real"> + <ipxact:name>PHY_QDR4_USER_REF_CLK_FREQ_MHZ</ipxact:name> + <ipxact:displayName>PLL reference clock frequency</ipxact:displayName> + <ipxact:value>-1.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR4_REF_CLK_JITTER_PS" type="real"> + <ipxact:name>PHY_QDR4_REF_CLK_JITTER_PS</ipxact:name> + <ipxact:displayName>PLL reference clock jitter</ipxact:displayName> + <ipxact:value>10.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR4_RATE_ENUM" type="string"> + <ipxact:name>PHY_QDR4_RATE_ENUM</ipxact:name> + <ipxact:displayName>Clock rate of user logic</ipxact:displayName> + <ipxact:value>RATE_QUARTER</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR4_CORE_CLKS_SHARING_ENUM" type="string"> + <ipxact:name>PHY_QDR4_CORE_CLKS_SHARING_ENUM</ipxact:name> + <ipxact:displayName>Core clocks sharing</ipxact:displayName> + <ipxact:value>CORE_CLKS_SHARING_DISABLED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR4_CORE_CLKS_SHARING_EXPOSE_SLAVE_OUT" type="bit"> + <ipxact:name>PHY_QDR4_CORE_CLKS_SHARING_EXPOSE_SLAVE_OUT</ipxact:name> + <ipxact:displayName>Export clks_sharing_slave_out to facilitate multi-slave connectivity</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR4_IO_VOLTAGE" type="real"> + <ipxact:name>PHY_QDR4_IO_VOLTAGE</ipxact:name> + <ipxact:displayName>Voltage</ipxact:displayName> + <ipxact:value>1.2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR4_DEFAULT_IO" type="bit"> + <ipxact:name>PHY_QDR4_DEFAULT_IO</ipxact:name> + <ipxact:displayName>Use default I/O settings</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR4_HPS_ENABLE_EARLY_RELEASE" type="bit"> + <ipxact:name>PHY_QDR4_HPS_ENABLE_EARLY_RELEASE</ipxact:name> + <ipxact:displayName>Enable HPS Early Release Mode</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR4_USER_PERIODIC_OCT_RECAL_ENUM" type="string"> + <ipxact:name>PHY_QDR4_USER_PERIODIC_OCT_RECAL_ENUM</ipxact:name> + <ipxact:displayName>Periodic OCT re-calibration</ipxact:displayName> + <ipxact:value>PERIODIC_OCT_RECAL_AUTO</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR4_USER_DLL_CORE_UPDN_EN" type="bit"> + <ipxact:name>PHY_QDR4_USER_DLL_CORE_UPDN_EN</ipxact:name> + <ipxact:displayName>Use linear search for DLL lock</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR4_MIMIC_HPS_EMIF" type="bit"> + <ipxact:name>PHY_QDR4_MIMIC_HPS_EMIF</ipxact:name> + <ipxact:displayName>Mimic HPS EMIF</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR4_REF_CLK_FREQ_MHZ" type="real"> + <ipxact:name>PHY_QDR4_REF_CLK_FREQ_MHZ</ipxact:name> + <ipxact:displayName>PLL reference clock frequency</ipxact:displayName> + <ipxact:value>-1.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR4_PING_PONG_EN" type="bit"> + <ipxact:name>PHY_QDR4_PING_PONG_EN</ipxact:name> + <ipxact:displayName>Instantiate two controllers sharing a Ping Pong PHY</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR4_USER_AC_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_QDR4_USER_AC_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR4_USER_AC_MODE_ENUM" type="string"> + <ipxact:name>PHY_QDR4_USER_AC_MODE_ENUM</ipxact:name> + <ipxact:displayName>Output mode</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR4_USER_AC_SLEW_RATE_ENUM" type="string"> + <ipxact:name>PHY_QDR4_USER_AC_SLEW_RATE_ENUM</ipxact:name> + <ipxact:displayName>Slew rate</ipxact:displayName> + <ipxact:value>SLEW_RATE_FAST</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR4_USER_CK_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_QDR4_USER_CK_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR4_USER_CK_MODE_ENUM" type="string"> + <ipxact:name>PHY_QDR4_USER_CK_MODE_ENUM</ipxact:name> + <ipxact:displayName>Output mode</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR4_USER_CK_SLEW_RATE_ENUM" type="string"> + <ipxact:name>PHY_QDR4_USER_CK_SLEW_RATE_ENUM</ipxact:name> + <ipxact:displayName>Slew rate</ipxact:displayName> + <ipxact:value>SLEW_RATE_FAST</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR4_USER_DATA_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_QDR4_USER_DATA_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR4_USER_DATA_OUT_MODE_ENUM" type="string"> + <ipxact:name>PHY_QDR4_USER_DATA_OUT_MODE_ENUM</ipxact:name> + <ipxact:displayName>Output mode</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR4_USER_DATA_IN_MODE_ENUM" type="string"> + <ipxact:name>PHY_QDR4_USER_DATA_IN_MODE_ENUM</ipxact:name> + <ipxact:displayName>Input mode</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR4_USER_AUTO_STARTING_VREFIN_EN" type="bit"> + <ipxact:name>PHY_QDR4_USER_AUTO_STARTING_VREFIN_EN</ipxact:name> + <ipxact:displayName>Use recommended initial Vrefin</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR4_USER_STARTING_VREFIN" type="real"> + <ipxact:name>PHY_QDR4_USER_STARTING_VREFIN</ipxact:name> + <ipxact:displayName>Initial Vrefin</ipxact:displayName> + <ipxact:value>70.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR4_USER_PLL_REF_CLK_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_QDR4_USER_PLL_REF_CLK_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>PLL reference clock I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR4_USER_RZQ_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_QDR4_USER_RZQ_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>RZQ I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR4_AC_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_QDR4_AC_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR4_AC_MODE_ENUM" type="string"> + <ipxact:name>PHY_QDR4_AC_MODE_ENUM</ipxact:name> + <ipxact:displayName>Output mode</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR4_AC_SLEW_RATE_ENUM" type="string"> + <ipxact:name>PHY_QDR4_AC_SLEW_RATE_ENUM</ipxact:name> + <ipxact:displayName>Slew rate</ipxact:displayName> + <ipxact:value>SLEW_RATE_FAST</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR4_CK_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_QDR4_CK_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR4_CK_MODE_ENUM" type="string"> + <ipxact:name>PHY_QDR4_CK_MODE_ENUM</ipxact:name> + <ipxact:displayName>Output mode</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR4_CK_SLEW_RATE_ENUM" type="string"> + <ipxact:name>PHY_QDR4_CK_SLEW_RATE_ENUM</ipxact:name> + <ipxact:displayName>Slew rate</ipxact:displayName> + <ipxact:value>SLEW_RATE_FAST</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR4_DATA_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_QDR4_DATA_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR4_DATA_OUT_MODE_ENUM" type="string"> + <ipxact:name>PHY_QDR4_DATA_OUT_MODE_ENUM</ipxact:name> + <ipxact:displayName>Output mode</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR4_DATA_IN_MODE_ENUM" type="string"> + <ipxact:name>PHY_QDR4_DATA_IN_MODE_ENUM</ipxact:name> + <ipxact:displayName>Input mode</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR4_AUTO_STARTING_VREFIN_EN" type="bit"> + <ipxact:name>PHY_QDR4_AUTO_STARTING_VREFIN_EN</ipxact:name> + <ipxact:displayName>Use recommended initial Vrefin</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR4_STARTING_VREFIN" type="real"> + <ipxact:name>PHY_QDR4_STARTING_VREFIN</ipxact:name> + <ipxact:displayName>Initial Vrefin</ipxact:displayName> + <ipxact:value>70.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR4_PLL_REF_CLK_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_QDR4_PLL_REF_CLK_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>PLL reference clock I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_QDR4_RZQ_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_QDR4_RZQ_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>RZQ I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD2_CONFIG_ENUM" type="string"> + <ipxact:name>PHY_RLD2_CONFIG_ENUM</ipxact:name> + <ipxact:displayName>Configuration</ipxact:displayName> + <ipxact:value>CONFIG_PHY_AND_SOFT_CTRL</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD2_USER_PING_PONG_EN" type="bit"> + <ipxact:name>PHY_RLD2_USER_PING_PONG_EN</ipxact:name> + <ipxact:displayName>Instantiate two controllers sharing a Ping Pong PHY</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD2_MEM_CLK_FREQ_MHZ" type="real"> + <ipxact:name>PHY_RLD2_MEM_CLK_FREQ_MHZ</ipxact:name> + <ipxact:displayName>Memory clock frequency</ipxact:displayName> + <ipxact:value>533.333</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD2_DEFAULT_REF_CLK_FREQ" type="bit"> + <ipxact:name>PHY_RLD2_DEFAULT_REF_CLK_FREQ</ipxact:name> + <ipxact:displayName>Use recommended PLL reference clock frequency</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD2_USER_REF_CLK_FREQ_MHZ" type="real"> + <ipxact:name>PHY_RLD2_USER_REF_CLK_FREQ_MHZ</ipxact:name> + <ipxact:displayName>PLL reference clock frequency</ipxact:displayName> + <ipxact:value>-1.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD2_REF_CLK_JITTER_PS" type="real"> + <ipxact:name>PHY_RLD2_REF_CLK_JITTER_PS</ipxact:name> + <ipxact:displayName>PLL reference clock jitter</ipxact:displayName> + <ipxact:value>10.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD2_RATE_ENUM" type="string"> + <ipxact:name>PHY_RLD2_RATE_ENUM</ipxact:name> + <ipxact:displayName>Clock rate of user logic</ipxact:displayName> + <ipxact:value>RATE_HALF</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD2_CORE_CLKS_SHARING_ENUM" type="string"> + <ipxact:name>PHY_RLD2_CORE_CLKS_SHARING_ENUM</ipxact:name> + <ipxact:displayName>Core clocks sharing</ipxact:displayName> + <ipxact:value>CORE_CLKS_SHARING_DISABLED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD2_CORE_CLKS_SHARING_EXPOSE_SLAVE_OUT" type="bit"> + <ipxact:name>PHY_RLD2_CORE_CLKS_SHARING_EXPOSE_SLAVE_OUT</ipxact:name> + <ipxact:displayName>Export clks_sharing_slave_out to facilitate multi-slave connectivity</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD2_IO_VOLTAGE" type="real"> + <ipxact:name>PHY_RLD2_IO_VOLTAGE</ipxact:name> + <ipxact:displayName>Voltage</ipxact:displayName> + <ipxact:value>1.8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD2_DEFAULT_IO" type="bit"> + <ipxact:name>PHY_RLD2_DEFAULT_IO</ipxact:name> + <ipxact:displayName>Use default I/O settings</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD2_HPS_ENABLE_EARLY_RELEASE" type="bit"> + <ipxact:name>PHY_RLD2_HPS_ENABLE_EARLY_RELEASE</ipxact:name> + <ipxact:displayName>Enable HPS Early Release Mode</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD2_USER_PERIODIC_OCT_RECAL_ENUM" type="string"> + <ipxact:name>PHY_RLD2_USER_PERIODIC_OCT_RECAL_ENUM</ipxact:name> + <ipxact:displayName>Periodic OCT re-calibration</ipxact:displayName> + <ipxact:value>PERIODIC_OCT_RECAL_AUTO</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD2_USER_DLL_CORE_UPDN_EN" type="bit"> + <ipxact:name>PHY_RLD2_USER_DLL_CORE_UPDN_EN</ipxact:name> + <ipxact:displayName>Use linear search for DLL lock</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD2_MIMIC_HPS_EMIF" type="bit"> + <ipxact:name>PHY_RLD2_MIMIC_HPS_EMIF</ipxact:name> + <ipxact:displayName>Mimic HPS EMIF</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD2_REF_CLK_FREQ_MHZ" type="real"> + <ipxact:name>PHY_RLD2_REF_CLK_FREQ_MHZ</ipxact:name> + <ipxact:displayName>PLL reference clock frequency</ipxact:displayName> + <ipxact:value>-1.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD2_PING_PONG_EN" type="bit"> + <ipxact:name>PHY_RLD2_PING_PONG_EN</ipxact:name> + <ipxact:displayName>Instantiate two controllers sharing a Ping Pong PHY</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD2_USER_AC_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_RLD2_USER_AC_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD2_USER_AC_MODE_ENUM" type="string"> + <ipxact:name>PHY_RLD2_USER_AC_MODE_ENUM</ipxact:name> + <ipxact:displayName>Output mode</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD2_USER_AC_SLEW_RATE_ENUM" type="string"> + <ipxact:name>PHY_RLD2_USER_AC_SLEW_RATE_ENUM</ipxact:name> + <ipxact:displayName>Slew rate</ipxact:displayName> + <ipxact:value>SLEW_RATE_FAST</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD2_USER_CK_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_RLD2_USER_CK_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD2_USER_CK_MODE_ENUM" type="string"> + <ipxact:name>PHY_RLD2_USER_CK_MODE_ENUM</ipxact:name> + <ipxact:displayName>Output mode</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD2_USER_CK_SLEW_RATE_ENUM" type="string"> + <ipxact:name>PHY_RLD2_USER_CK_SLEW_RATE_ENUM</ipxact:name> + <ipxact:displayName>Slew rate</ipxact:displayName> + <ipxact:value>SLEW_RATE_FAST</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD2_USER_DATA_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_RLD2_USER_DATA_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD2_USER_DATA_OUT_MODE_ENUM" type="string"> + <ipxact:name>PHY_RLD2_USER_DATA_OUT_MODE_ENUM</ipxact:name> + <ipxact:displayName>Output mode</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD2_USER_DATA_IN_MODE_ENUM" type="string"> + <ipxact:name>PHY_RLD2_USER_DATA_IN_MODE_ENUM</ipxact:name> + <ipxact:displayName>Input mode</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD2_USER_AUTO_STARTING_VREFIN_EN" type="bit"> + <ipxact:name>PHY_RLD2_USER_AUTO_STARTING_VREFIN_EN</ipxact:name> + <ipxact:displayName>Use recommended initial Vrefin</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD2_USER_STARTING_VREFIN" type="real"> + <ipxact:name>PHY_RLD2_USER_STARTING_VREFIN</ipxact:name> + <ipxact:displayName>Initial Vrefin</ipxact:displayName> + <ipxact:value>70.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD2_USER_PLL_REF_CLK_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_RLD2_USER_PLL_REF_CLK_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>PLL reference clock I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD2_USER_RZQ_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_RLD2_USER_RZQ_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>RZQ I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD2_AC_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_RLD2_AC_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD2_AC_MODE_ENUM" type="string"> + <ipxact:name>PHY_RLD2_AC_MODE_ENUM</ipxact:name> + <ipxact:displayName>Output mode</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD2_AC_SLEW_RATE_ENUM" type="string"> + <ipxact:name>PHY_RLD2_AC_SLEW_RATE_ENUM</ipxact:name> + <ipxact:displayName>Slew rate</ipxact:displayName> + <ipxact:value>SLEW_RATE_FAST</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD2_CK_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_RLD2_CK_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD2_CK_MODE_ENUM" type="string"> + <ipxact:name>PHY_RLD2_CK_MODE_ENUM</ipxact:name> + <ipxact:displayName>Output mode</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD2_CK_SLEW_RATE_ENUM" type="string"> + <ipxact:name>PHY_RLD2_CK_SLEW_RATE_ENUM</ipxact:name> + <ipxact:displayName>Slew rate</ipxact:displayName> + <ipxact:value>SLEW_RATE_FAST</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD2_DATA_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_RLD2_DATA_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD2_DATA_OUT_MODE_ENUM" type="string"> + <ipxact:name>PHY_RLD2_DATA_OUT_MODE_ENUM</ipxact:name> + <ipxact:displayName>Output mode</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD2_DATA_IN_MODE_ENUM" type="string"> + <ipxact:name>PHY_RLD2_DATA_IN_MODE_ENUM</ipxact:name> + <ipxact:displayName>Input mode</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD2_AUTO_STARTING_VREFIN_EN" type="bit"> + <ipxact:name>PHY_RLD2_AUTO_STARTING_VREFIN_EN</ipxact:name> + <ipxact:displayName>Use recommended initial Vrefin</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD2_STARTING_VREFIN" type="real"> + <ipxact:name>PHY_RLD2_STARTING_VREFIN</ipxact:name> + <ipxact:displayName>Initial Vrefin</ipxact:displayName> + <ipxact:value>70.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD2_PLL_REF_CLK_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_RLD2_PLL_REF_CLK_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>PLL reference clock I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD2_RZQ_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_RLD2_RZQ_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>RZQ I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD3_CONFIG_ENUM" type="string"> + <ipxact:name>PHY_RLD3_CONFIG_ENUM</ipxact:name> + <ipxact:displayName>Configuration</ipxact:displayName> + <ipxact:value>CONFIG_PHY_ONLY</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD3_USER_PING_PONG_EN" type="bit"> + <ipxact:name>PHY_RLD3_USER_PING_PONG_EN</ipxact:name> + <ipxact:displayName>Instantiate two controllers sharing a Ping Pong PHY</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD3_MEM_CLK_FREQ_MHZ" type="real"> + <ipxact:name>PHY_RLD3_MEM_CLK_FREQ_MHZ</ipxact:name> + <ipxact:displayName>Memory clock frequency</ipxact:displayName> + <ipxact:value>1066.667</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD3_DEFAULT_REF_CLK_FREQ" type="bit"> + <ipxact:name>PHY_RLD3_DEFAULT_REF_CLK_FREQ</ipxact:name> + <ipxact:displayName>Use recommended PLL reference clock frequency</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD3_USER_REF_CLK_FREQ_MHZ" type="real"> + <ipxact:name>PHY_RLD3_USER_REF_CLK_FREQ_MHZ</ipxact:name> + <ipxact:displayName>PLL reference clock frequency</ipxact:displayName> + <ipxact:value>-1.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD3_REF_CLK_JITTER_PS" type="real"> + <ipxact:name>PHY_RLD3_REF_CLK_JITTER_PS</ipxact:name> + <ipxact:displayName>PLL reference clock jitter</ipxact:displayName> + <ipxact:value>10.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD3_RATE_ENUM" type="string"> + <ipxact:name>PHY_RLD3_RATE_ENUM</ipxact:name> + <ipxact:displayName>Clock rate of user logic</ipxact:displayName> + <ipxact:value>RATE_QUARTER</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD3_CORE_CLKS_SHARING_ENUM" type="string"> + <ipxact:name>PHY_RLD3_CORE_CLKS_SHARING_ENUM</ipxact:name> + <ipxact:displayName>Core clocks sharing</ipxact:displayName> + <ipxact:value>CORE_CLKS_SHARING_DISABLED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD3_CORE_CLKS_SHARING_EXPOSE_SLAVE_OUT" type="bit"> + <ipxact:name>PHY_RLD3_CORE_CLKS_SHARING_EXPOSE_SLAVE_OUT</ipxact:name> + <ipxact:displayName>Export clks_sharing_slave_out to facilitate multi-slave connectivity</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD3_IO_VOLTAGE" type="real"> + <ipxact:name>PHY_RLD3_IO_VOLTAGE</ipxact:name> + <ipxact:displayName>Voltage</ipxact:displayName> + <ipxact:value>1.2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD3_DEFAULT_IO" type="bit"> + <ipxact:name>PHY_RLD3_DEFAULT_IO</ipxact:name> + <ipxact:displayName>Use default I/O settings</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD3_HPS_ENABLE_EARLY_RELEASE" type="bit"> + <ipxact:name>PHY_RLD3_HPS_ENABLE_EARLY_RELEASE</ipxact:name> + <ipxact:displayName>Enable HPS Early Release Mode</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD3_USER_PERIODIC_OCT_RECAL_ENUM" type="string"> + <ipxact:name>PHY_RLD3_USER_PERIODIC_OCT_RECAL_ENUM</ipxact:name> + <ipxact:displayName>Periodic OCT re-calibration</ipxact:displayName> + <ipxact:value>PERIODIC_OCT_RECAL_AUTO</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD3_USER_DLL_CORE_UPDN_EN" type="bit"> + <ipxact:name>PHY_RLD3_USER_DLL_CORE_UPDN_EN</ipxact:name> + <ipxact:displayName>Use linear search for DLL lock</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD3_MIMIC_HPS_EMIF" type="bit"> + <ipxact:name>PHY_RLD3_MIMIC_HPS_EMIF</ipxact:name> + <ipxact:displayName>Mimic HPS EMIF</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD3_REF_CLK_FREQ_MHZ" type="real"> + <ipxact:name>PHY_RLD3_REF_CLK_FREQ_MHZ</ipxact:name> + <ipxact:displayName>PLL reference clock frequency</ipxact:displayName> + <ipxact:value>-1.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD3_PING_PONG_EN" type="bit"> + <ipxact:name>PHY_RLD3_PING_PONG_EN</ipxact:name> + <ipxact:displayName>Instantiate two controllers sharing a Ping Pong PHY</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD3_USER_AC_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_RLD3_USER_AC_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD3_USER_AC_MODE_ENUM" type="string"> + <ipxact:name>PHY_RLD3_USER_AC_MODE_ENUM</ipxact:name> + <ipxact:displayName>Output mode</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD3_USER_AC_SLEW_RATE_ENUM" type="string"> + <ipxact:name>PHY_RLD3_USER_AC_SLEW_RATE_ENUM</ipxact:name> + <ipxact:displayName>Slew rate</ipxact:displayName> + <ipxact:value>SLEW_RATE_FAST</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD3_USER_CK_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_RLD3_USER_CK_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD3_USER_CK_MODE_ENUM" type="string"> + <ipxact:name>PHY_RLD3_USER_CK_MODE_ENUM</ipxact:name> + <ipxact:displayName>Output mode</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD3_USER_CK_SLEW_RATE_ENUM" type="string"> + <ipxact:name>PHY_RLD3_USER_CK_SLEW_RATE_ENUM</ipxact:name> + <ipxact:displayName>Slew rate</ipxact:displayName> + <ipxact:value>SLEW_RATE_FAST</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD3_USER_DATA_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_RLD3_USER_DATA_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD3_USER_DATA_OUT_MODE_ENUM" type="string"> + <ipxact:name>PHY_RLD3_USER_DATA_OUT_MODE_ENUM</ipxact:name> + <ipxact:displayName>Output mode</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD3_USER_DATA_IN_MODE_ENUM" type="string"> + <ipxact:name>PHY_RLD3_USER_DATA_IN_MODE_ENUM</ipxact:name> + <ipxact:displayName>Input mode</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD3_USER_AUTO_STARTING_VREFIN_EN" type="bit"> + <ipxact:name>PHY_RLD3_USER_AUTO_STARTING_VREFIN_EN</ipxact:name> + <ipxact:displayName>Use recommended initial Vrefin</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD3_USER_STARTING_VREFIN" type="real"> + <ipxact:name>PHY_RLD3_USER_STARTING_VREFIN</ipxact:name> + <ipxact:displayName>Initial Vrefin</ipxact:displayName> + <ipxact:value>70.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD3_USER_PLL_REF_CLK_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_RLD3_USER_PLL_REF_CLK_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>PLL reference clock I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD3_USER_RZQ_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_RLD3_USER_RZQ_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>RZQ I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD3_AC_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_RLD3_AC_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD3_AC_MODE_ENUM" type="string"> + <ipxact:name>PHY_RLD3_AC_MODE_ENUM</ipxact:name> + <ipxact:displayName>Output mode</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD3_AC_SLEW_RATE_ENUM" type="string"> + <ipxact:name>PHY_RLD3_AC_SLEW_RATE_ENUM</ipxact:name> + <ipxact:displayName>Slew rate</ipxact:displayName> + <ipxact:value>SLEW_RATE_FAST</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD3_CK_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_RLD3_CK_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD3_CK_MODE_ENUM" type="string"> + <ipxact:name>PHY_RLD3_CK_MODE_ENUM</ipxact:name> + <ipxact:displayName>Output mode</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD3_CK_SLEW_RATE_ENUM" type="string"> + <ipxact:name>PHY_RLD3_CK_SLEW_RATE_ENUM</ipxact:name> + <ipxact:displayName>Slew rate</ipxact:displayName> + <ipxact:value>SLEW_RATE_FAST</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD3_DATA_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_RLD3_DATA_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD3_DATA_OUT_MODE_ENUM" type="string"> + <ipxact:name>PHY_RLD3_DATA_OUT_MODE_ENUM</ipxact:name> + <ipxact:displayName>Output mode</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD3_DATA_IN_MODE_ENUM" type="string"> + <ipxact:name>PHY_RLD3_DATA_IN_MODE_ENUM</ipxact:name> + <ipxact:displayName>Input mode</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD3_AUTO_STARTING_VREFIN_EN" type="bit"> + <ipxact:name>PHY_RLD3_AUTO_STARTING_VREFIN_EN</ipxact:name> + <ipxact:displayName>Use recommended initial Vrefin</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD3_STARTING_VREFIN" type="real"> + <ipxact:name>PHY_RLD3_STARTING_VREFIN</ipxact:name> + <ipxact:displayName>Initial Vrefin</ipxact:displayName> + <ipxact:value>70.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD3_PLL_REF_CLK_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_RLD3_PLL_REF_CLK_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>PLL reference clock I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_RLD3_RZQ_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_RLD3_RZQ_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>RZQ I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_LPDDR3_CONFIG_ENUM" type="string"> + <ipxact:name>PHY_LPDDR3_CONFIG_ENUM</ipxact:name> + <ipxact:displayName>Configuration</ipxact:displayName> + <ipxact:value>CONFIG_PHY_AND_HARD_CTRL</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_LPDDR3_USER_PING_PONG_EN" type="bit"> + <ipxact:name>PHY_LPDDR3_USER_PING_PONG_EN</ipxact:name> + <ipxact:displayName>Instantiate two controllers sharing a Ping Pong PHY</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_LPDDR3_MEM_CLK_FREQ_MHZ" type="real"> + <ipxact:name>PHY_LPDDR3_MEM_CLK_FREQ_MHZ</ipxact:name> + <ipxact:displayName>Memory clock frequency</ipxact:displayName> + <ipxact:value>800.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_LPDDR3_DEFAULT_REF_CLK_FREQ" type="bit"> + <ipxact:name>PHY_LPDDR3_DEFAULT_REF_CLK_FREQ</ipxact:name> + <ipxact:displayName>Use recommended PLL reference clock frequency</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_LPDDR3_USER_REF_CLK_FREQ_MHZ" type="real"> + <ipxact:name>PHY_LPDDR3_USER_REF_CLK_FREQ_MHZ</ipxact:name> + <ipxact:displayName>PLL reference clock frequency</ipxact:displayName> + <ipxact:value>-1.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_LPDDR3_REF_CLK_JITTER_PS" type="real"> + <ipxact:name>PHY_LPDDR3_REF_CLK_JITTER_PS</ipxact:name> + <ipxact:displayName>PLL reference clock jitter</ipxact:displayName> + <ipxact:value>10.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_LPDDR3_RATE_ENUM" type="string"> + <ipxact:name>PHY_LPDDR3_RATE_ENUM</ipxact:name> + <ipxact:displayName>Clock rate of user logic</ipxact:displayName> + <ipxact:value>RATE_QUARTER</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_LPDDR3_CORE_CLKS_SHARING_ENUM" type="string"> + <ipxact:name>PHY_LPDDR3_CORE_CLKS_SHARING_ENUM</ipxact:name> + <ipxact:displayName>Core clocks sharing</ipxact:displayName> + <ipxact:value>CORE_CLKS_SHARING_DISABLED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_LPDDR3_CORE_CLKS_SHARING_EXPOSE_SLAVE_OUT" type="bit"> + <ipxact:name>PHY_LPDDR3_CORE_CLKS_SHARING_EXPOSE_SLAVE_OUT</ipxact:name> + <ipxact:displayName>Export clks_sharing_slave_out to facilitate multi-slave connectivity</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_LPDDR3_IO_VOLTAGE" type="real"> + <ipxact:name>PHY_LPDDR3_IO_VOLTAGE</ipxact:name> + <ipxact:displayName>Voltage</ipxact:displayName> + <ipxact:value>1.2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_LPDDR3_DEFAULT_IO" type="bit"> + <ipxact:name>PHY_LPDDR3_DEFAULT_IO</ipxact:name> + <ipxact:displayName>Use default I/O settings</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_LPDDR3_HPS_ENABLE_EARLY_RELEASE" type="bit"> + <ipxact:name>PHY_LPDDR3_HPS_ENABLE_EARLY_RELEASE</ipxact:name> + <ipxact:displayName>Enable HPS Early Release Mode</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_LPDDR3_USER_PERIODIC_OCT_RECAL_ENUM" type="string"> + <ipxact:name>PHY_LPDDR3_USER_PERIODIC_OCT_RECAL_ENUM</ipxact:name> + <ipxact:displayName>Periodic OCT re-calibration</ipxact:displayName> + <ipxact:value>PERIODIC_OCT_RECAL_AUTO</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_LPDDR3_USER_DLL_CORE_UPDN_EN" type="bit"> + <ipxact:name>PHY_LPDDR3_USER_DLL_CORE_UPDN_EN</ipxact:name> + <ipxact:displayName>Use linear search for DLL lock</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_LPDDR3_MIMIC_HPS_EMIF" type="bit"> + <ipxact:name>PHY_LPDDR3_MIMIC_HPS_EMIF</ipxact:name> + <ipxact:displayName>Mimic HPS EMIF</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_LPDDR3_REF_CLK_FREQ_MHZ" type="real"> + <ipxact:name>PHY_LPDDR3_REF_CLK_FREQ_MHZ</ipxact:name> + <ipxact:displayName>PLL reference clock frequency</ipxact:displayName> + <ipxact:value>-1.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_LPDDR3_PING_PONG_EN" type="bit"> + <ipxact:name>PHY_LPDDR3_PING_PONG_EN</ipxact:name> + <ipxact:displayName>Instantiate two controllers sharing a Ping Pong PHY</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_LPDDR3_USER_AC_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_LPDDR3_USER_AC_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_LPDDR3_USER_AC_MODE_ENUM" type="string"> + <ipxact:name>PHY_LPDDR3_USER_AC_MODE_ENUM</ipxact:name> + <ipxact:displayName>Output mode</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_LPDDR3_USER_AC_SLEW_RATE_ENUM" type="string"> + <ipxact:name>PHY_LPDDR3_USER_AC_SLEW_RATE_ENUM</ipxact:name> + <ipxact:displayName>Slew rate</ipxact:displayName> + <ipxact:value>SLEW_RATE_FAST</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_LPDDR3_USER_CK_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_LPDDR3_USER_CK_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_LPDDR3_USER_CK_MODE_ENUM" type="string"> + <ipxact:name>PHY_LPDDR3_USER_CK_MODE_ENUM</ipxact:name> + <ipxact:displayName>Output mode</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_LPDDR3_USER_CK_SLEW_RATE_ENUM" type="string"> + <ipxact:name>PHY_LPDDR3_USER_CK_SLEW_RATE_ENUM</ipxact:name> + <ipxact:displayName>Slew rate</ipxact:displayName> + <ipxact:value>SLEW_RATE_FAST</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_LPDDR3_USER_DATA_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_LPDDR3_USER_DATA_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_LPDDR3_USER_DATA_OUT_MODE_ENUM" type="string"> + <ipxact:name>PHY_LPDDR3_USER_DATA_OUT_MODE_ENUM</ipxact:name> + <ipxact:displayName>Output mode</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_LPDDR3_USER_DATA_IN_MODE_ENUM" type="string"> + <ipxact:name>PHY_LPDDR3_USER_DATA_IN_MODE_ENUM</ipxact:name> + <ipxact:displayName>Input mode</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_LPDDR3_USER_AUTO_STARTING_VREFIN_EN" type="bit"> + <ipxact:name>PHY_LPDDR3_USER_AUTO_STARTING_VREFIN_EN</ipxact:name> + <ipxact:displayName>Use recommended initial Vrefin</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_LPDDR3_USER_STARTING_VREFIN" type="real"> + <ipxact:name>PHY_LPDDR3_USER_STARTING_VREFIN</ipxact:name> + <ipxact:displayName>Initial Vrefin</ipxact:displayName> + <ipxact:value>70.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_LPDDR3_USER_PLL_REF_CLK_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_LPDDR3_USER_PLL_REF_CLK_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>PLL reference clock I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_LPDDR3_USER_RZQ_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_LPDDR3_USER_RZQ_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>RZQ I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_LPDDR3_AC_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_LPDDR3_AC_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_LPDDR3_AC_MODE_ENUM" type="string"> + <ipxact:name>PHY_LPDDR3_AC_MODE_ENUM</ipxact:name> + <ipxact:displayName>Output mode</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_LPDDR3_AC_SLEW_RATE_ENUM" type="string"> + <ipxact:name>PHY_LPDDR3_AC_SLEW_RATE_ENUM</ipxact:name> + <ipxact:displayName>Slew rate</ipxact:displayName> + <ipxact:value>SLEW_RATE_FAST</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_LPDDR3_CK_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_LPDDR3_CK_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_LPDDR3_CK_MODE_ENUM" type="string"> + <ipxact:name>PHY_LPDDR3_CK_MODE_ENUM</ipxact:name> + <ipxact:displayName>Output mode</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_LPDDR3_CK_SLEW_RATE_ENUM" type="string"> + <ipxact:name>PHY_LPDDR3_CK_SLEW_RATE_ENUM</ipxact:name> + <ipxact:displayName>Slew rate</ipxact:displayName> + <ipxact:value>SLEW_RATE_FAST</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_LPDDR3_DATA_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_LPDDR3_DATA_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_LPDDR3_DATA_OUT_MODE_ENUM" type="string"> + <ipxact:name>PHY_LPDDR3_DATA_OUT_MODE_ENUM</ipxact:name> + <ipxact:displayName>Output mode</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_LPDDR3_DATA_IN_MODE_ENUM" type="string"> + <ipxact:name>PHY_LPDDR3_DATA_IN_MODE_ENUM</ipxact:name> + <ipxact:displayName>Input mode</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_LPDDR3_AUTO_STARTING_VREFIN_EN" type="bit"> + <ipxact:name>PHY_LPDDR3_AUTO_STARTING_VREFIN_EN</ipxact:name> + <ipxact:displayName>Use recommended initial Vrefin</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_LPDDR3_STARTING_VREFIN" type="real"> + <ipxact:name>PHY_LPDDR3_STARTING_VREFIN</ipxact:name> + <ipxact:displayName>Initial Vrefin</ipxact:displayName> + <ipxact:value>70.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_LPDDR3_PLL_REF_CLK_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_LPDDR3_PLL_REF_CLK_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>PLL reference clock I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHY_LPDDR3_RZQ_IO_STD_ENUM" type="string"> + <ipxact:name>PHY_LPDDR3_RZQ_IO_STD_ENUM</ipxact:name> + <ipxact:displayName>RZQ I/O standard</ipxact:displayName> + <ipxact:value>unset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_FORMAT_ENUM" type="string"> + <ipxact:name>MEM_FORMAT_ENUM</ipxact:name> + <ipxact:displayName>PARAM_MEM_FORMAT_ENUM_NAME</ipxact:displayName> + <ipxact:value>MEM_FORMAT_SODIMM</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_READ_LATENCY" type="real"> + <ipxact:name>MEM_READ_LATENCY</ipxact:name> + <ipxact:displayName>PARAM_MEM_READ_LATENCY_NAME</ipxact:displayName> + <ipxact:value>18.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_WRITE_LATENCY" type="int"> + <ipxact:name>MEM_WRITE_LATENCY</ipxact:name> + <ipxact:displayName>PARAM_MEM_WRITE_LATENCY_NAME</ipxact:displayName> + <ipxact:value>12</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_BURST_LENGTH" type="int"> + <ipxact:name>MEM_BURST_LENGTH</ipxact:name> + <ipxact:displayName>PARAM_MEM_BURST_LENGTH_NAME</ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DATA_MASK_EN" type="bit"> + <ipxact:name>MEM_DATA_MASK_EN</ipxact:name> + <ipxact:displayName>PARAM_MEM_DATA_MASK_EN_NAME</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_HAS_SIM_SUPPORT" type="bit"> + <ipxact:name>MEM_HAS_SIM_SUPPORT</ipxact:name> + <ipxact:displayName>PARAM_MEM_HAS_SIM_SUPPORT_NAME</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_NUM_OF_PHYSICAL_RANKS" type="int"> + <ipxact:name>MEM_NUM_OF_PHYSICAL_RANKS</ipxact:name> + <ipxact:displayName>PARAM_MEM_NUM_OF_PHYSICAL_RANKS_NAME</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_NUM_OF_LOGICAL_RANKS" type="int"> + <ipxact:name>MEM_NUM_OF_LOGICAL_RANKS</ipxact:name> + <ipxact:displayName>PARAM_MEM_NUM_OF_LOGICAL_RANKS_NAME</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_NUM_OF_DATA_ENDPOINTS" type="int"> + <ipxact:name>MEM_NUM_OF_DATA_ENDPOINTS</ipxact:name> + <ipxact:displayName>PARAM_MEM_NUM_OF_DATA_ENDPOINTS_NAME</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_TTL_DATA_WIDTH" type="int"> + <ipxact:name>MEM_TTL_DATA_WIDTH</ipxact:name> + <ipxact:displayName>PARAM_MEM_TTL_DATA_WIDTH_NAME</ipxact:displayName> + <ipxact:value>72</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_TTL_NUM_OF_READ_GROUPS" type="int"> + <ipxact:name>MEM_TTL_NUM_OF_READ_GROUPS</ipxact:name> + <ipxact:displayName>PARAM_MEM_TTL_NUM_OF_READ_GROUPS_NAME</ipxact:displayName> + <ipxact:value>9</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_TTL_NUM_OF_WRITE_GROUPS" type="int"> + <ipxact:name>MEM_TTL_NUM_OF_WRITE_GROUPS</ipxact:name> + <ipxact:displayName>PARAM_MEM_TTL_NUM_OF_WRITE_GROUPS_NAME</ipxact:displayName> + <ipxact:value>9</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_FORMAT_ENUM" type="string"> + <ipxact:name>MEM_DDR3_FORMAT_ENUM</ipxact:name> + <ipxact:displayName>Memory format</ipxact:displayName> + <ipxact:value>MEM_FORMAT_UDIMM</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_DQ_WIDTH" type="int"> + <ipxact:name>MEM_DDR3_DQ_WIDTH</ipxact:name> + <ipxact:displayName>DQ width</ipxact:displayName> + <ipxact:value>72</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_DQ_PER_DQS" type="int"> + <ipxact:name>MEM_DDR3_DQ_PER_DQS</ipxact:name> + <ipxact:displayName>DQ pins per DQS group</ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_DISCRETE_CS_WIDTH" type="int"> + <ipxact:name>MEM_DDR3_DISCRETE_CS_WIDTH</ipxact:name> + <ipxact:displayName>Number of chip selects</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_NUM_OF_DIMMS" type="int"> + <ipxact:name>MEM_DDR3_NUM_OF_DIMMS</ipxact:name> + <ipxact:displayName>Number of DIMMs</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_RANKS_PER_DIMM" type="int"> + <ipxact:name>MEM_DDR3_RANKS_PER_DIMM</ipxact:name> + <ipxact:displayName>Number of physical ranks per DIMM</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_CKE_PER_DIMM" type="int"> + <ipxact:name>MEM_DDR3_CKE_PER_DIMM</ipxact:name> + <ipxact:displayName>Number of clock enables per DIMM</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_CK_WIDTH" type="int"> + <ipxact:name>MEM_DDR3_CK_WIDTH</ipxact:name> + <ipxact:displayName>Number of clocks</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_ROW_ADDR_WIDTH" type="int"> + <ipxact:name>MEM_DDR3_ROW_ADDR_WIDTH</ipxact:name> + <ipxact:displayName>Row address width</ipxact:displayName> + <ipxact:value>15</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_COL_ADDR_WIDTH" type="int"> + <ipxact:name>MEM_DDR3_COL_ADDR_WIDTH</ipxact:name> + <ipxact:displayName>Column address width</ipxact:displayName> + <ipxact:value>10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_BANK_ADDR_WIDTH" type="int"> + <ipxact:name>MEM_DDR3_BANK_ADDR_WIDTH</ipxact:name> + <ipxact:displayName>Bank address width</ipxact:displayName> + <ipxact:value>3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_DM_EN" type="bit"> + <ipxact:name>MEM_DDR3_DM_EN</ipxact:name> + <ipxact:displayName>Enable DM pins</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_DISCRETE_MIRROR_ADDRESSING_EN" type="bit"> + <ipxact:name>MEM_DDR3_DISCRETE_MIRROR_ADDRESSING_EN</ipxact:name> + <ipxact:displayName>Enable address mirroring for odd chip-selects</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_MIRROR_ADDRESSING_EN" type="bit"> + <ipxact:name>MEM_DDR3_MIRROR_ADDRESSING_EN</ipxact:name> + <ipxact:displayName>Enable address mirroring for odd ranks</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_HIDE_ADV_MR_SETTINGS" type="bit"> + <ipxact:name>MEM_DDR3_HIDE_ADV_MR_SETTINGS</ipxact:name> + <ipxact:displayName>Hide advanced mode register settings</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_RDIMM_CONFIG" type="string"> + <ipxact:name>MEM_DDR3_RDIMM_CONFIG</ipxact:name> + <ipxact:displayName>DDR3 RDIMM/LRDIMM control words</ipxact:displayName> + <ipxact:value>0000000000000000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_LRDIMM_EXTENDED_CONFIG" type="string"> + <ipxact:name>MEM_DDR3_LRDIMM_EXTENDED_CONFIG</ipxact:name> + <ipxact:displayName>DDR3 LRDIMM additional control words</ipxact:displayName> + <ipxact:value>000000000000000000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_ALERT_N_PLACEMENT_ENUM" type="string"> + <ipxact:name>MEM_DDR3_ALERT_N_PLACEMENT_ENUM</ipxact:name> + <ipxact:displayName>ALERT# pin placement</ipxact:displayName> + <ipxact:value>DDR3_ALERT_N_PLACEMENT_AC_LANES</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_ALERT_N_DQS_GROUP" type="int"> + <ipxact:name>MEM_DDR3_ALERT_N_DQS_GROUP</ipxact:name> + <ipxact:displayName>DQS group of ALERT#</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_DQS_WIDTH" type="int"> + <ipxact:name>MEM_DDR3_DQS_WIDTH</ipxact:name> + <ipxact:displayName>Number of DQS groups</ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_DM_WIDTH" type="int"> + <ipxact:name>MEM_DDR3_DM_WIDTH</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR3_DM_WIDTH_NAME</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_CS_WIDTH" type="int"> + <ipxact:name>MEM_DDR3_CS_WIDTH</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR3_CS_WIDTH_NAME</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_CS_PER_DIMM" type="int"> + <ipxact:name>MEM_DDR3_CS_PER_DIMM</ipxact:name> + <ipxact:displayName>Number of chip selects per DIMM</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_CKE_WIDTH" type="int"> + <ipxact:name>MEM_DDR3_CKE_WIDTH</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR3_CKE_WIDTH_NAME</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_ODT_WIDTH" type="int"> + <ipxact:name>MEM_DDR3_ODT_WIDTH</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR3_ODT_WIDTH_NAME</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_ADDR_WIDTH" type="int"> + <ipxact:name>MEM_DDR3_ADDR_WIDTH</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR3_ADDR_WIDTH_NAME</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_RM_WIDTH" type="int"> + <ipxact:name>MEM_DDR3_RM_WIDTH</ipxact:name> + <ipxact:displayName>Number of rank multiplication pins</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_AC_PAR_EN" type="bit"> + <ipxact:name>MEM_DDR3_AC_PAR_EN</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR3_AC_PAR_EN_NAME</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_NUM_OF_PHYSICAL_RANKS" type="int"> + <ipxact:name>MEM_DDR3_NUM_OF_PHYSICAL_RANKS</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR3_NUM_OF_PHYSICAL_RANKS_NAME</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_NUM_OF_LOGICAL_RANKS" type="int"> + <ipxact:name>MEM_DDR3_NUM_OF_LOGICAL_RANKS</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR3_NUM_OF_LOGICAL_RANKS_NAME</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_TTL_DQS_WIDTH" type="int"> + <ipxact:name>MEM_DDR3_TTL_DQS_WIDTH</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR3_TTL_DQS_WIDTH_NAME</ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_TTL_DQ_WIDTH" type="int"> + <ipxact:name>MEM_DDR3_TTL_DQ_WIDTH</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR3_TTL_DQ_WIDTH_NAME</ipxact:displayName> + <ipxact:value>72</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_TTL_DM_WIDTH" type="int"> + <ipxact:name>MEM_DDR3_TTL_DM_WIDTH</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR3_TTL_DM_WIDTH_NAME</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_TTL_CS_WIDTH" type="int"> + <ipxact:name>MEM_DDR3_TTL_CS_WIDTH</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR3_TTL_CS_WIDTH_NAME</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_TTL_CK_WIDTH" type="int"> + <ipxact:name>MEM_DDR3_TTL_CK_WIDTH</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR3_TTL_CK_WIDTH_NAME</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_TTL_CKE_WIDTH" type="int"> + <ipxact:name>MEM_DDR3_TTL_CKE_WIDTH</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR3_TTL_CKE_WIDTH_NAME</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_TTL_ODT_WIDTH" type="int"> + <ipxact:name>MEM_DDR3_TTL_ODT_WIDTH</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR3_TTL_ODT_WIDTH_NAME</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_TTL_BANK_ADDR_WIDTH" type="int"> + <ipxact:name>MEM_DDR3_TTL_BANK_ADDR_WIDTH</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR3_TTL_BANK_ADDR_WIDTH_NAME</ipxact:displayName> + <ipxact:value>3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_TTL_ADDR_WIDTH" type="int"> + <ipxact:name>MEM_DDR3_TTL_ADDR_WIDTH</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR3_TTL_ADDR_WIDTH_NAME</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_TTL_RM_WIDTH" type="int"> + <ipxact:name>MEM_DDR3_TTL_RM_WIDTH</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR3_TTL_RM_WIDTH_NAME</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_TTL_NUM_OF_DIMMS" type="int"> + <ipxact:name>MEM_DDR3_TTL_NUM_OF_DIMMS</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR3_TTL_NUM_OF_DIMMS_NAME</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_TTL_NUM_OF_PHYSICAL_RANKS" type="int"> + <ipxact:name>MEM_DDR3_TTL_NUM_OF_PHYSICAL_RANKS</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR3_TTL_NUM_OF_PHYSICAL_RANKS_NAME</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_TTL_NUM_OF_LOGICAL_RANKS" type="int"> + <ipxact:name>MEM_DDR3_TTL_NUM_OF_LOGICAL_RANKS</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR3_TTL_NUM_OF_LOGICAL_RANKS_NAME</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_MR0" type="int"> + <ipxact:name>MEM_DDR3_MR0</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR3_MR0_NAME</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_MR1" type="int"> + <ipxact:name>MEM_DDR3_MR1</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR3_MR1_NAME</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_MR2" type="int"> + <ipxact:name>MEM_DDR3_MR2</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR3_MR2_NAME</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_MR3" type="int"> + <ipxact:name>MEM_DDR3_MR3</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR3_MR3_NAME</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_ADDRESS_MIRROR_BITVEC" type="int"> + <ipxact:name>MEM_DDR3_ADDRESS_MIRROR_BITVEC</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR3_ADDRESS_MIRROR_BITVEC_NAME</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_BL_ENUM" type="string"> + <ipxact:name>MEM_DDR3_BL_ENUM</ipxact:name> + <ipxact:displayName>Burst Length</ipxact:displayName> + <ipxact:value>DDR3_BL_BL8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_BT_ENUM" type="string"> + <ipxact:name>MEM_DDR3_BT_ENUM</ipxact:name> + <ipxact:displayName>Read Burst Type</ipxact:displayName> + <ipxact:value>DDR3_BT_SEQUENTIAL</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_ASR_ENUM" type="string"> + <ipxact:name>MEM_DDR3_ASR_ENUM</ipxact:name> + <ipxact:displayName>Auto self-refresh method</ipxact:displayName> + <ipxact:value>DDR3_ASR_MANUAL</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_SRT_ENUM" type="string"> + <ipxact:name>MEM_DDR3_SRT_ENUM</ipxact:name> + <ipxact:displayName>Self-refresh temperature</ipxact:displayName> + <ipxact:value>DDR3_SRT_NORMAL</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_PD_ENUM" type="string"> + <ipxact:name>MEM_DDR3_PD_ENUM</ipxact:name> + <ipxact:displayName>DLL precharge power down</ipxact:displayName> + <ipxact:value>DDR3_PD_OFF</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_DRV_STR_ENUM" type="string"> + <ipxact:name>MEM_DDR3_DRV_STR_ENUM</ipxact:name> + <ipxact:displayName>Output drive strength setting</ipxact:displayName> + <ipxact:value>DDR3_DRV_STR_RZQ_7</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_DLL_EN" type="bit"> + <ipxact:name>MEM_DDR3_DLL_EN</ipxact:name> + <ipxact:displayName>Enable the DLL in memory device</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_RTT_NOM_ENUM" type="string"> + <ipxact:name>MEM_DDR3_RTT_NOM_ENUM</ipxact:name> + <ipxact:displayName>ODT Rtt nominal value</ipxact:displayName> + <ipxact:value>DDR3_RTT_NOM_ODT_DISABLED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_RTT_WR_ENUM" type="string"> + <ipxact:name>MEM_DDR3_RTT_WR_ENUM</ipxact:name> + <ipxact:displayName>Dynamic ODT (Rtt_WR) value</ipxact:displayName> + <ipxact:value>DDR3_RTT_WR_RZQ_4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_WTCL" type="int"> + <ipxact:name>MEM_DDR3_WTCL</ipxact:name> + <ipxact:displayName>Memory write CAS latency setting</ipxact:displayName> + <ipxact:value>10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_ATCL_ENUM" type="string"> + <ipxact:name>MEM_DDR3_ATCL_ENUM</ipxact:name> + <ipxact:displayName>Memory additive CAS latency setting</ipxact:displayName> + <ipxact:value>DDR3_ATCL_DISABLED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_TCL" type="int"> + <ipxact:name>MEM_DDR3_TCL</ipxact:name> + <ipxact:displayName>Memory CAS latency setting</ipxact:displayName> + <ipxact:value>14</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_USE_DEFAULT_ODT" type="bit"> + <ipxact:name>MEM_DDR3_USE_DEFAULT_ODT</ipxact:name> + <ipxact:displayName>Use Default ODT Assertion Tables</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_R_ODTN_1X1" type="string"> + <ipxact:name>MEM_DDR3_R_ODTN_1X1</ipxact:name> + <ipxact:displayName>Read Target</ipxact:displayName> + <ipxact:value>Rank 0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_R_ODT0_1X1" type="string"> + <ipxact:name>MEM_DDR3_R_ODT0_1X1</ipxact:name> + <ipxact:displayName>ODT0</ipxact:displayName> + <ipxact:value>off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_W_ODTN_1X1" type="string"> + <ipxact:name>MEM_DDR3_W_ODTN_1X1</ipxact:name> + <ipxact:displayName>Write Target</ipxact:displayName> + <ipxact:value>Rank 0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_W_ODT0_1X1" type="string"> + <ipxact:name>MEM_DDR3_W_ODT0_1X1</ipxact:name> + <ipxact:displayName>ODT0</ipxact:displayName> + <ipxact:value>on</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_R_ODTN_2X2" type="string"> + <ipxact:name>MEM_DDR3_R_ODTN_2X2</ipxact:name> + <ipxact:displayName>Read Target</ipxact:displayName> + <ipxact:value>Rank 0,Rank 1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_R_ODT0_2X2" type="string"> + <ipxact:name>MEM_DDR3_R_ODT0_2X2</ipxact:name> + <ipxact:displayName>ODT0</ipxact:displayName> + <ipxact:value>off,off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_R_ODT1_2X2" type="string"> + <ipxact:name>MEM_DDR3_R_ODT1_2X2</ipxact:name> + <ipxact:displayName>ODT1</ipxact:displayName> + <ipxact:value>off,off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_W_ODTN_2X2" type="string"> + <ipxact:name>MEM_DDR3_W_ODTN_2X2</ipxact:name> + <ipxact:displayName>Write Target</ipxact:displayName> + <ipxact:value>Rank 0,Rank 1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_W_ODT0_2X2" type="string"> + <ipxact:name>MEM_DDR3_W_ODT0_2X2</ipxact:name> + <ipxact:displayName>ODT0</ipxact:displayName> + <ipxact:value>on,off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_W_ODT1_2X2" type="string"> + <ipxact:name>MEM_DDR3_W_ODT1_2X2</ipxact:name> + <ipxact:displayName>ODT1</ipxact:displayName> + <ipxact:value>off,on</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_R_ODTN_4X2" type="string"> + <ipxact:name>MEM_DDR3_R_ODTN_4X2</ipxact:name> + <ipxact:displayName>Read Target</ipxact:displayName> + <ipxact:value>Rank 0,Rank 1,Rank 2,Rank 3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_R_ODT0_4X2" type="string"> + <ipxact:name>MEM_DDR3_R_ODT0_4X2</ipxact:name> + <ipxact:displayName>ODT0</ipxact:displayName> + <ipxact:value>off,off,on,on</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_R_ODT1_4X2" type="string"> + <ipxact:name>MEM_DDR3_R_ODT1_4X2</ipxact:name> + <ipxact:displayName>ODT1</ipxact:displayName> + <ipxact:value>on,on,off,off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_W_ODTN_4X2" type="string"> + <ipxact:name>MEM_DDR3_W_ODTN_4X2</ipxact:name> + <ipxact:displayName>Write Target</ipxact:displayName> + <ipxact:value>Rank 0,Rank 1,Rank 2,Rank 3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_W_ODT0_4X2" type="string"> + <ipxact:name>MEM_DDR3_W_ODT0_4X2</ipxact:name> + <ipxact:displayName>ODT0</ipxact:displayName> + <ipxact:value>off,off,on,on</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_W_ODT1_4X2" type="string"> + <ipxact:name>MEM_DDR3_W_ODT1_4X2</ipxact:name> + <ipxact:displayName>ODT1</ipxact:displayName> + <ipxact:value>on,on,off,off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_R_ODTN_4X4" type="string"> + <ipxact:name>MEM_DDR3_R_ODTN_4X4</ipxact:name> + <ipxact:displayName>Read Target</ipxact:displayName> + <ipxact:value>Rank 0,Rank 1,Rank 2,Rank 3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_R_ODT0_4X4" type="string"> + <ipxact:name>MEM_DDR3_R_ODT0_4X4</ipxact:name> + <ipxact:displayName>ODT0</ipxact:displayName> + <ipxact:value>off,off,on,off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_R_ODT1_4X4" type="string"> + <ipxact:name>MEM_DDR3_R_ODT1_4X4</ipxact:name> + <ipxact:displayName>ODT1</ipxact:displayName> + <ipxact:value>off,off,off,on</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_R_ODT2_4X4" type="string"> + <ipxact:name>MEM_DDR3_R_ODT2_4X4</ipxact:name> + <ipxact:displayName>ODT2</ipxact:displayName> + <ipxact:value>on,off,off,off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_R_ODT3_4X4" type="string"> + <ipxact:name>MEM_DDR3_R_ODT3_4X4</ipxact:name> + <ipxact:displayName>ODT3</ipxact:displayName> + <ipxact:value>off,on,off,off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_W_ODTN_4X4" type="string"> + <ipxact:name>MEM_DDR3_W_ODTN_4X4</ipxact:name> + <ipxact:displayName>Write Target</ipxact:displayName> + <ipxact:value>Rank 0,Rank 1,Rank 2,Rank 3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_W_ODT0_4X4" type="string"> + <ipxact:name>MEM_DDR3_W_ODT0_4X4</ipxact:name> + <ipxact:displayName>ODT0</ipxact:displayName> + <ipxact:value>on,off,on,off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_W_ODT1_4X4" type="string"> + <ipxact:name>MEM_DDR3_W_ODT1_4X4</ipxact:name> + <ipxact:displayName>ODT1</ipxact:displayName> + <ipxact:value>off,on,off,on</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_W_ODT2_4X4" type="string"> + <ipxact:name>MEM_DDR3_W_ODT2_4X4</ipxact:name> + <ipxact:displayName>ODT2</ipxact:displayName> + <ipxact:value>on,off,on,off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_W_ODT3_4X4" type="string"> + <ipxact:name>MEM_DDR3_W_ODT3_4X4</ipxact:name> + <ipxact:displayName>ODT3</ipxact:displayName> + <ipxact:value>off,on,off,on</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_R_DERIVED_ODTN" type="string"> + <ipxact:name>MEM_DDR3_R_DERIVED_ODTN</ipxact:name> + <ipxact:displayName>Read Target</ipxact:displayName> + <ipxact:value>,,</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_R_DERIVED_ODT0" type="string"> + <ipxact:name>MEM_DDR3_R_DERIVED_ODT0</ipxact:name> + <ipxact:displayName>ODT0 Value</ipxact:displayName> + <ipxact:value>,,</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_R_DERIVED_ODT1" type="string"> + <ipxact:name>MEM_DDR3_R_DERIVED_ODT1</ipxact:name> + <ipxact:displayName>ODT1 Value</ipxact:displayName> + <ipxact:value>,,</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_R_DERIVED_ODT2" type="string"> + <ipxact:name>MEM_DDR3_R_DERIVED_ODT2</ipxact:name> + <ipxact:displayName>ODT2 Value</ipxact:displayName> + <ipxact:value>,,</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_R_DERIVED_ODT3" type="string"> + <ipxact:name>MEM_DDR3_R_DERIVED_ODT3</ipxact:name> + <ipxact:displayName>ODT3 Value</ipxact:displayName> + <ipxact:value>,,</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_W_DERIVED_ODTN" type="string"> + <ipxact:name>MEM_DDR3_W_DERIVED_ODTN</ipxact:name> + <ipxact:displayName>Write Target</ipxact:displayName> + <ipxact:value>,,</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_W_DERIVED_ODT0" type="string"> + <ipxact:name>MEM_DDR3_W_DERIVED_ODT0</ipxact:name> + <ipxact:displayName>ODT0 Value</ipxact:displayName> + <ipxact:value>,,</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_W_DERIVED_ODT1" type="string"> + <ipxact:name>MEM_DDR3_W_DERIVED_ODT1</ipxact:name> + <ipxact:displayName>ODT1 Value</ipxact:displayName> + <ipxact:value>,,</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_W_DERIVED_ODT2" type="string"> + <ipxact:name>MEM_DDR3_W_DERIVED_ODT2</ipxact:name> + <ipxact:displayName>ODT2 Value</ipxact:displayName> + <ipxact:value>,,</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_W_DERIVED_ODT3" type="string"> + <ipxact:name>MEM_DDR3_W_DERIVED_ODT3</ipxact:name> + <ipxact:displayName>ODT3 Value</ipxact:displayName> + <ipxact:value>,,</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_SEQ_ODT_TABLE_LO" type="int"> + <ipxact:name>MEM_DDR3_SEQ_ODT_TABLE_LO</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR3_SEQ_ODT_TABLE_LO_NAME</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_SEQ_ODT_TABLE_HI" type="int"> + <ipxact:name>MEM_DDR3_SEQ_ODT_TABLE_HI</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR3_SEQ_ODT_TABLE_HI_NAME</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_CTRL_CFG_READ_ODT_CHIP" type="int"> + <ipxact:name>MEM_DDR3_CTRL_CFG_READ_ODT_CHIP</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR3_CTRL_CFG_READ_ODT_CHIP_NAME</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_CTRL_CFG_WRITE_ODT_CHIP" type="int"> + <ipxact:name>MEM_DDR3_CTRL_CFG_WRITE_ODT_CHIP</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR3_CTRL_CFG_WRITE_ODT_CHIP_NAME</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_CTRL_CFG_READ_ODT_RANK" type="int"> + <ipxact:name>MEM_DDR3_CTRL_CFG_READ_ODT_RANK</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR3_CTRL_CFG_READ_ODT_RANK_NAME</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_CTRL_CFG_WRITE_ODT_RANK" type="int"> + <ipxact:name>MEM_DDR3_CTRL_CFG_WRITE_ODT_RANK</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR3_CTRL_CFG_WRITE_ODT_RANK_NAME</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_SPEEDBIN_ENUM" type="string"> + <ipxact:name>MEM_DDR3_SPEEDBIN_ENUM</ipxact:name> + <ipxact:displayName>Speed bin</ipxact:displayName> + <ipxact:value>DDR3_SPEEDBIN_2133</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_TIS_PS" type="int"> + <ipxact:name>MEM_DDR3_TIS_PS</ipxact:name> + <ipxact:displayName>tIS (base)</ipxact:displayName> + <ipxact:value>60</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_TIS_AC_MV" type="int"> + <ipxact:name>MEM_DDR3_TIS_AC_MV</ipxact:name> + <ipxact:displayName>tIS (base) AC level</ipxact:displayName> + <ipxact:value>135</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_TIH_PS" type="int"> + <ipxact:name>MEM_DDR3_TIH_PS</ipxact:name> + <ipxact:displayName>tIH (base)</ipxact:displayName> + <ipxact:value>95</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_TIH_DC_MV" type="int"> + <ipxact:name>MEM_DDR3_TIH_DC_MV</ipxact:name> + <ipxact:displayName>tIH (base) DC level</ipxact:displayName> + <ipxact:value>100</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_TDS_PS" type="int"> + <ipxact:name>MEM_DDR3_TDS_PS</ipxact:name> + <ipxact:displayName>tDS (base)</ipxact:displayName> + <ipxact:value>53</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_TDS_AC_MV" type="int"> + <ipxact:name>MEM_DDR3_TDS_AC_MV</ipxact:name> + <ipxact:displayName>tDS (base) AC level</ipxact:displayName> + <ipxact:value>135</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_TDH_PS" type="int"> + <ipxact:name>MEM_DDR3_TDH_PS</ipxact:name> + <ipxact:displayName>tDH (base)</ipxact:displayName> + <ipxact:value>55</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_TDH_DC_MV" type="int"> + <ipxact:name>MEM_DDR3_TDH_DC_MV</ipxact:name> + <ipxact:displayName>tDH (base) DC level</ipxact:displayName> + <ipxact:value>100</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_TDQSQ_PS" type="int"> + <ipxact:name>MEM_DDR3_TDQSQ_PS</ipxact:name> + <ipxact:displayName>tDQSQ</ipxact:displayName> + <ipxact:value>75</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_TQH_CYC" type="real"> + <ipxact:name>MEM_DDR3_TQH_CYC</ipxact:name> + <ipxact:displayName>tQH</ipxact:displayName> + <ipxact:value>0.38</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_TDQSCK_PS" type="int"> + <ipxact:name>MEM_DDR3_TDQSCK_PS</ipxact:name> + <ipxact:displayName>tDQSCK</ipxact:displayName> + <ipxact:value>180</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_TDQSS_CYC" type="real"> + <ipxact:name>MEM_DDR3_TDQSS_CYC</ipxact:name> + <ipxact:displayName>tDQSS</ipxact:displayName> + <ipxact:value>0.27</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_TQSH_CYC" type="real"> + <ipxact:name>MEM_DDR3_TQSH_CYC</ipxact:name> + <ipxact:displayName>tQSH</ipxact:displayName> + <ipxact:value>0.4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_TDSH_CYC" type="real"> + <ipxact:name>MEM_DDR3_TDSH_CYC</ipxact:name> + <ipxact:displayName>tDSH</ipxact:displayName> + <ipxact:value>0.18</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_TWLS_PS" type="real"> + <ipxact:name>MEM_DDR3_TWLS_PS</ipxact:name> + <ipxact:displayName>tWLS</ipxact:displayName> + <ipxact:value>125.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_TWLH_PS" type="real"> + <ipxact:name>MEM_DDR3_TWLH_PS</ipxact:name> + <ipxact:displayName>tWLH</ipxact:displayName> + <ipxact:value>125.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_TDSS_CYC" type="real"> + <ipxact:name>MEM_DDR3_TDSS_CYC</ipxact:name> + <ipxact:displayName>tDSS</ipxact:displayName> + <ipxact:value>0.18</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_TINIT_US" type="int"> + <ipxact:name>MEM_DDR3_TINIT_US</ipxact:name> + <ipxact:displayName>tINIT</ipxact:displayName> + <ipxact:value>500</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_TMRD_CK_CYC" type="int"> + <ipxact:name>MEM_DDR3_TMRD_CK_CYC</ipxact:name> + <ipxact:displayName>tMRD</ipxact:displayName> + <ipxact:value>4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_TRAS_NS" type="real"> + <ipxact:name>MEM_DDR3_TRAS_NS</ipxact:name> + <ipxact:displayName>tRAS</ipxact:displayName> + <ipxact:value>33.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_TRCD_NS" type="real"> + <ipxact:name>MEM_DDR3_TRCD_NS</ipxact:name> + <ipxact:displayName>tRCD</ipxact:displayName> + <ipxact:value>13.09</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_TRP_NS" type="real"> + <ipxact:name>MEM_DDR3_TRP_NS</ipxact:name> + <ipxact:displayName>tRP</ipxact:displayName> + <ipxact:value>13.09</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_TREFI_US" type="real"> + <ipxact:name>MEM_DDR3_TREFI_US</ipxact:name> + <ipxact:displayName>tREFI</ipxact:displayName> + <ipxact:value>7.8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_TRFC_NS" type="real"> + <ipxact:name>MEM_DDR3_TRFC_NS</ipxact:name> + <ipxact:displayName>tRFC</ipxact:displayName> + <ipxact:value>160.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_TWR_NS" type="real"> + <ipxact:name>MEM_DDR3_TWR_NS</ipxact:name> + <ipxact:displayName>tWR</ipxact:displayName> + <ipxact:value>15.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_TWTR_CYC" type="int"> + <ipxact:name>MEM_DDR3_TWTR_CYC</ipxact:name> + <ipxact:displayName>tWTR</ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_TFAW_NS" type="real"> + <ipxact:name>MEM_DDR3_TFAW_NS</ipxact:name> + <ipxact:displayName>tFAW</ipxact:displayName> + <ipxact:value>25.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_TRRD_CYC" type="int"> + <ipxact:name>MEM_DDR3_TRRD_CYC</ipxact:name> + <ipxact:displayName>tRRD</ipxact:displayName> + <ipxact:value>6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_TRTP_CYC" type="int"> + <ipxact:name>MEM_DDR3_TRTP_CYC</ipxact:name> + <ipxact:displayName>tRTP</ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_TINIT_CK" type="int"> + <ipxact:name>MEM_DDR3_TINIT_CK</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR3_TINIT_CK_NAME</ipxact:displayName> + <ipxact:value>499</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_TDQSCK_DERV_PS" type="int"> + <ipxact:name>MEM_DDR3_TDQSCK_DERV_PS</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR3_TDQSCK_DERV_PS_NAME</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_TDQSCKDS" type="int"> + <ipxact:name>MEM_DDR3_TDQSCKDS</ipxact:name> + <ipxact:displayName>tDQSCK Delta Short</ipxact:displayName> + <ipxact:value>450</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_TDQSCKDM" type="int"> + <ipxact:name>MEM_DDR3_TDQSCKDM</ipxact:name> + <ipxact:displayName>tDQSCK Delta Medium</ipxact:displayName> + <ipxact:value>900</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_TDQSCKDL" type="int"> + <ipxact:name>MEM_DDR3_TDQSCKDL</ipxact:name> + <ipxact:displayName>tDQSCK Delta Long</ipxact:displayName> + <ipxact:value>1200</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_TRAS_CYC" type="int"> + <ipxact:name>MEM_DDR3_TRAS_CYC</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR3_TRAS_CYC_NAME</ipxact:displayName> + <ipxact:value>36</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_TRCD_CYC" type="int"> + <ipxact:name>MEM_DDR3_TRCD_CYC</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR3_TRCD_CYC_NAME</ipxact:displayName> + <ipxact:value>14</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_TRP_CYC" type="int"> + <ipxact:name>MEM_DDR3_TRP_CYC</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR3_TRP_CYC_NAME</ipxact:displayName> + <ipxact:value>14</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_TRFC_CYC" type="int"> + <ipxact:name>MEM_DDR3_TRFC_CYC</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR3_TRFC_CYC_NAME</ipxact:displayName> + <ipxact:value>171</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_TWR_CYC" type="int"> + <ipxact:name>MEM_DDR3_TWR_CYC</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR3_TWR_CYC_NAME</ipxact:displayName> + <ipxact:value>16</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_TFAW_CYC" type="int"> + <ipxact:name>MEM_DDR3_TFAW_CYC</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR3_TFAW_CYC_NAME</ipxact:displayName> + <ipxact:value>27</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_TREFI_CYC" type="int"> + <ipxact:name>MEM_DDR3_TREFI_CYC</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR3_TREFI_CYC_NAME</ipxact:displayName> + <ipxact:value>8320</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_CFG_GEN_SBE" type="bit"> + <ipxact:name>MEM_DDR3_CFG_GEN_SBE</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR3_CFG_GEN_SBE_NAME</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR3_CFG_GEN_DBE" type="bit"> + <ipxact:name>MEM_DDR3_CFG_GEN_DBE</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR3_CFG_GEN_DBE_NAME</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_FORMAT_ENUM" type="string"> + <ipxact:name>MEM_DDR4_FORMAT_ENUM</ipxact:name> + <ipxact:displayName>Memory format</ipxact:displayName> + <ipxact:value>MEM_FORMAT_SODIMM</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_DQ_WIDTH" type="int"> + <ipxact:name>MEM_DDR4_DQ_WIDTH</ipxact:name> + <ipxact:displayName>DQ width</ipxact:displayName> + <ipxact:value>72</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_DQ_PER_DQS" type="int"> + <ipxact:name>MEM_DDR4_DQ_PER_DQS</ipxact:name> + <ipxact:displayName>DQ pins per DQS group</ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_DISCRETE_CS_WIDTH" type="int"> + <ipxact:name>MEM_DDR4_DISCRETE_CS_WIDTH</ipxact:name> + <ipxact:displayName>Number of chip selects</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_NUM_OF_DIMMS" type="int"> + <ipxact:name>MEM_DDR4_NUM_OF_DIMMS</ipxact:name> + <ipxact:displayName>Number of DIMMs</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_CHIP_ID_WIDTH" type="int"> + <ipxact:name>MEM_DDR4_CHIP_ID_WIDTH</ipxact:name> + <ipxact:displayName>Chip ID width</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_RANKS_PER_DIMM" type="int"> + <ipxact:name>MEM_DDR4_RANKS_PER_DIMM</ipxact:name> + <ipxact:displayName>Number of physical ranks per DIMM</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_CKE_PER_DIMM" type="int"> + <ipxact:name>MEM_DDR4_CKE_PER_DIMM</ipxact:name> + <ipxact:displayName>Number of clock enables per DIMM</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_CK_WIDTH" type="int"> + <ipxact:name>MEM_DDR4_CK_WIDTH</ipxact:name> + <ipxact:displayName>Number of clocks</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_ROW_ADDR_WIDTH" type="int"> + <ipxact:name>MEM_DDR4_ROW_ADDR_WIDTH</ipxact:name> + <ipxact:displayName>Row address width</ipxact:displayName> + <ipxact:value>15</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_COL_ADDR_WIDTH" type="int"> + <ipxact:name>MEM_DDR4_COL_ADDR_WIDTH</ipxact:name> + <ipxact:displayName>Column address width</ipxact:displayName> + <ipxact:value>10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_BANK_ADDR_WIDTH" type="int"> + <ipxact:name>MEM_DDR4_BANK_ADDR_WIDTH</ipxact:name> + <ipxact:displayName>Bank address width</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_BANK_GROUP_WIDTH" type="int"> + <ipxact:name>MEM_DDR4_BANK_GROUP_WIDTH</ipxact:name> + <ipxact:displayName>Bank group width</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_DM_EN" type="bit"> + <ipxact:name>MEM_DDR4_DM_EN</ipxact:name> + <ipxact:displayName>Data mask</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_ALERT_PAR_EN" type="bit"> + <ipxact:name>MEM_DDR4_ALERT_PAR_EN</ipxact:name> + <ipxact:displayName>Enable ALERT#/PAR pins</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_ALERT_N_PLACEMENT_ENUM" type="string"> + <ipxact:name>MEM_DDR4_ALERT_N_PLACEMENT_ENUM</ipxact:name> + <ipxact:displayName>ALERT# pin placement</ipxact:displayName> + <ipxact:value>DDR4_ALERT_N_PLACEMENT_DATA_LANES</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_ALERT_N_DQS_GROUP" type="int"> + <ipxact:name>MEM_DDR4_ALERT_N_DQS_GROUP</ipxact:name> + <ipxact:displayName>DQS group of ALERT#</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_ALERT_N_AC_LANE" type="int"> + <ipxact:name>MEM_DDR4_ALERT_N_AC_LANE</ipxact:name> + <ipxact:displayName>Address/command I/O lane of ALERT#</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_ALERT_N_AC_PIN" type="int"> + <ipxact:name>MEM_DDR4_ALERT_N_AC_PIN</ipxact:name> + <ipxact:displayName>Pin index of ALERT#</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_DISCRETE_MIRROR_ADDRESSING_EN" type="bit"> + <ipxact:name>MEM_DDR4_DISCRETE_MIRROR_ADDRESSING_EN</ipxact:name> + <ipxact:displayName>Enable address mirroring for odd chip-selects</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_MIRROR_ADDRESSING_EN" type="bit"> + <ipxact:name>MEM_DDR4_MIRROR_ADDRESSING_EN</ipxact:name> + <ipxact:displayName>Enable address mirroring for odd ranks</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_HIDE_ADV_MR_SETTINGS" type="bit"> + <ipxact:name>MEM_DDR4_HIDE_ADV_MR_SETTINGS</ipxact:name> + <ipxact:displayName>Hide advanced mode register settings</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_BL_ENUM" type="string"> + <ipxact:name>MEM_DDR4_BL_ENUM</ipxact:name> + <ipxact:displayName>Burst Length</ipxact:displayName> + <ipxact:value>DDR4_BL_BL8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_BT_ENUM" type="string"> + <ipxact:name>MEM_DDR4_BT_ENUM</ipxact:name> + <ipxact:displayName>Read Burst Type</ipxact:displayName> + <ipxact:value>DDR4_BT_SEQUENTIAL</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TCL" type="int"> + <ipxact:name>MEM_DDR4_TCL</ipxact:name> + <ipxact:displayName>Memory CAS latency setting</ipxact:displayName> + <ipxact:value>18</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_RTT_NOM_ENUM" type="string"> + <ipxact:name>MEM_DDR4_RTT_NOM_ENUM</ipxact:name> + <ipxact:displayName>ODT Rtt nominal value</ipxact:displayName> + <ipxact:value>DDR4_RTT_NOM_ODT_DISABLED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_DLL_EN" type="bit"> + <ipxact:name>MEM_DDR4_DLL_EN</ipxact:name> + <ipxact:displayName>Enable the DLL in memory device</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_ATCL_ENUM" type="string"> + <ipxact:name>MEM_DDR4_ATCL_ENUM</ipxact:name> + <ipxact:displayName>Memory additive CAS latency setting</ipxact:displayName> + <ipxact:value>DDR4_ATCL_DISABLED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_DRV_STR_ENUM" type="string"> + <ipxact:name>MEM_DDR4_DRV_STR_ENUM</ipxact:name> + <ipxact:displayName>Output drive strength setting</ipxact:displayName> + <ipxact:value>DDR4_DRV_STR_RZQ_7</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_ASR_ENUM" type="string"> + <ipxact:name>MEM_DDR4_ASR_ENUM</ipxact:name> + <ipxact:displayName>Auto self-refresh method</ipxact:displayName> + <ipxact:value>DDR4_ASR_MANUAL_NORMAL</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_RTT_WR_ENUM" type="string"> + <ipxact:name>MEM_DDR4_RTT_WR_ENUM</ipxact:name> + <ipxact:displayName>Dynamic ODT (Rtt_WR) value</ipxact:displayName> + <ipxact:value>DDR4_RTT_WR_ODT_DISABLED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_WTCL" type="int"> + <ipxact:name>MEM_DDR4_WTCL</ipxact:name> + <ipxact:displayName>Memory write CAS latency setting</ipxact:displayName> + <ipxact:value>18</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_WRITE_CRC" type="bit"> + <ipxact:name>MEM_DDR4_WRITE_CRC</ipxact:name> + <ipxact:displayName>Write CRC enable</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_GEARDOWN" type="string"> + <ipxact:name>MEM_DDR4_GEARDOWN</ipxact:name> + <ipxact:displayName>DDR4 geardown mode</ipxact:displayName> + <ipxact:value>DDR4_GEARDOWN_HR</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_PER_DRAM_ADDR" type="bit"> + <ipxact:name>MEM_DDR4_PER_DRAM_ADDR</ipxact:name> + <ipxact:displayName>Per-DRAM addressability</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TEMP_SENSOR_READOUT" type="bit"> + <ipxact:name>MEM_DDR4_TEMP_SENSOR_READOUT</ipxact:name> + <ipxact:displayName>Temperature sensor readout</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_FINE_GRANULARITY_REFRESH" type="string"> + <ipxact:name>MEM_DDR4_FINE_GRANULARITY_REFRESH</ipxact:name> + <ipxact:displayName>Fine granularity refresh</ipxact:displayName> + <ipxact:value>DDR4_FINE_REFRESH_FIXED_1X</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_MPR_READ_FORMAT" type="string"> + <ipxact:name>MEM_DDR4_MPR_READ_FORMAT</ipxact:name> + <ipxact:displayName>MPR read format</ipxact:displayName> + <ipxact:value>DDR4_MPR_READ_FORMAT_SERIAL</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_MAX_POWERDOWN" type="bit"> + <ipxact:name>MEM_DDR4_MAX_POWERDOWN</ipxact:name> + <ipxact:displayName>Maximum power down mode</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TEMP_CONTROLLED_RFSH_RANGE" type="string"> + <ipxact:name>MEM_DDR4_TEMP_CONTROLLED_RFSH_RANGE</ipxact:name> + <ipxact:displayName>Temperature controlled refresh range</ipxact:displayName> + <ipxact:value>DDR4_TEMP_CONTROLLED_RFSH_NORMAL</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TEMP_CONTROLLED_RFSH_ENA" type="bit"> + <ipxact:name>MEM_DDR4_TEMP_CONTROLLED_RFSH_ENA</ipxact:name> + <ipxact:displayName>Temperature controlled refresh enable</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_INTERNAL_VREFDQ_MONITOR" type="bit"> + <ipxact:name>MEM_DDR4_INTERNAL_VREFDQ_MONITOR</ipxact:name> + <ipxact:displayName>Internal VrefDQ monitor</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_CAL_MODE" type="int"> + <ipxact:name>MEM_DDR4_CAL_MODE</ipxact:name> + <ipxact:displayName>CS to Addr/CMD Latency</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_SELF_RFSH_ABORT" type="bit"> + <ipxact:name>MEM_DDR4_SELF_RFSH_ABORT</ipxact:name> + <ipxact:displayName>Self refresh abort</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_READ_PREAMBLE_TRAINING" type="bit"> + <ipxact:name>MEM_DDR4_READ_PREAMBLE_TRAINING</ipxact:name> + <ipxact:displayName>Read preamble training mode enable</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_READ_PREAMBLE" type="int"> + <ipxact:name>MEM_DDR4_READ_PREAMBLE</ipxact:name> + <ipxact:displayName>Read preamble</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_WRITE_PREAMBLE" type="int"> + <ipxact:name>MEM_DDR4_WRITE_PREAMBLE</ipxact:name> + <ipxact:displayName>Write preamble</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_AC_PARITY_LATENCY" type="string"> + <ipxact:name>MEM_DDR4_AC_PARITY_LATENCY</ipxact:name> + <ipxact:displayName>Addr/CMD parity latency</ipxact:displayName> + <ipxact:value>DDR4_AC_PARITY_LATENCY_DISABLE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_ODT_IN_POWERDOWN" type="bit"> + <ipxact:name>MEM_DDR4_ODT_IN_POWERDOWN</ipxact:name> + <ipxact:displayName>ODT input buffer during powerdown mode</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_RTT_PARK" type="string"> + <ipxact:name>MEM_DDR4_RTT_PARK</ipxact:name> + <ipxact:displayName>RTT PARK</ipxact:displayName> + <ipxact:value>DDR4_RTT_PARK_ODT_DISABLED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_AC_PERSISTENT_ERROR" type="bit"> + <ipxact:name>MEM_DDR4_AC_PERSISTENT_ERROR</ipxact:name> + <ipxact:displayName>Addr/CMD persistent error</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_WRITE_DBI" type="bit"> + <ipxact:name>MEM_DDR4_WRITE_DBI</ipxact:name> + <ipxact:displayName>Write DBI</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_READ_DBI" type="bit"> + <ipxact:name>MEM_DDR4_READ_DBI</ipxact:name> + <ipxact:displayName>Read DBI</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_DEFAULT_VREFOUT" type="bit"> + <ipxact:name>MEM_DDR4_DEFAULT_VREFOUT</ipxact:name> + <ipxact:displayName>Use recommended initial VrefDQ value</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_USER_VREFDQ_TRAINING_VALUE" type="real"> + <ipxact:name>MEM_DDR4_USER_VREFDQ_TRAINING_VALUE</ipxact:name> + <ipxact:displayName>VrefDQ training value</ipxact:displayName> + <ipxact:value>60.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_USER_VREFDQ_TRAINING_RANGE" type="string"> + <ipxact:name>MEM_DDR4_USER_VREFDQ_TRAINING_RANGE</ipxact:name> + <ipxact:displayName>VrefDQ training range</ipxact:displayName> + <ipxact:value>DDR4_VREFDQ_TRAINING_RANGE_1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_RCD_CA_IBT_ENUM" type="string"> + <ipxact:name>MEM_DDR4_RCD_CA_IBT_ENUM</ipxact:name> + <ipxact:displayName>RCD CA Input Bus Termination</ipxact:displayName> + <ipxact:value>DDR4_RCD_CA_IBT_100</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_RCD_CS_IBT_ENUM" type="string"> + <ipxact:name>MEM_DDR4_RCD_CS_IBT_ENUM</ipxact:name> + <ipxact:displayName>RCD DCS[3:0]_n Input Bus Termination</ipxact:displayName> + <ipxact:value>DDR4_RCD_CS_IBT_100</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_RCD_CKE_IBT_ENUM" type="string"> + <ipxact:name>MEM_DDR4_RCD_CKE_IBT_ENUM</ipxact:name> + <ipxact:displayName>RCD DCKE Input Bus Termination</ipxact:displayName> + <ipxact:value>DDR4_RCD_CKE_IBT_100</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_RCD_ODT_IBT_ENUM" type="string"> + <ipxact:name>MEM_DDR4_RCD_ODT_IBT_ENUM</ipxact:name> + <ipxact:displayName>RCD DODT Input Bus Termination</ipxact:displayName> + <ipxact:value>DDR4_RCD_ODT_IBT_100</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_DB_RTT_NOM_ENUM" type="string"> + <ipxact:name>MEM_DDR4_DB_RTT_NOM_ENUM</ipxact:name> + <ipxact:displayName>DB Host Interface DQ RTT_NOM</ipxact:displayName> + <ipxact:value>DDR4_DB_RTT_NOM_ODT_DISABLED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_DB_RTT_WR_ENUM" type="string"> + <ipxact:name>MEM_DDR4_DB_RTT_WR_ENUM</ipxact:name> + <ipxact:displayName>DB Host Interface DQ RTT_WR</ipxact:displayName> + <ipxact:value>DDR4_DB_RTT_WR_RZQ_3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_DB_RTT_PARK_ENUM" type="string"> + <ipxact:name>MEM_DDR4_DB_RTT_PARK_ENUM</ipxact:name> + <ipxact:displayName>DB Host Interface DQ RTT_PARK</ipxact:displayName> + <ipxact:value>DDR4_DB_RTT_PARK_ODT_DISABLED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_DB_DQ_DRV_ENUM" type="string"> + <ipxact:name>MEM_DDR4_DB_DQ_DRV_ENUM</ipxact:name> + <ipxact:displayName>DB Host Interface DQ Driver</ipxact:displayName> + <ipxact:value>DDR4_DB_DRV_STR_RZQ_7</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_SPD_137_RCD_CA_DRV" type="int"> + <ipxact:name>MEM_DDR4_SPD_137_RCD_CA_DRV</ipxact:name> + <ipxact:displayName>SPD Byte 137 - RCD Drive Strength for Command/Address</ipxact:displayName> + <ipxact:value>101</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_SPD_138_RCD_CK_DRV" type="int"> + <ipxact:name>MEM_DDR4_SPD_138_RCD_CK_DRV</ipxact:name> + <ipxact:displayName>SPD Byte 138 - RCD Drive Strength for CK</ipxact:displayName> + <ipxact:value>5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_SPD_140_DRAM_VREFDQ_R0" type="int"> + <ipxact:name>MEM_DDR4_SPD_140_DRAM_VREFDQ_R0</ipxact:name> + <ipxact:displayName>SPD Byte 140 - DRAM VrefDQ for Package Rank 0</ipxact:displayName> + <ipxact:value>29</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_SPD_141_DRAM_VREFDQ_R1" type="int"> + <ipxact:name>MEM_DDR4_SPD_141_DRAM_VREFDQ_R1</ipxact:name> + <ipxact:displayName>SPD Byte 141 - DRAM VrefDQ for Package Rank 1</ipxact:displayName> + <ipxact:value>29</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_SPD_142_DRAM_VREFDQ_R2" type="int"> + <ipxact:name>MEM_DDR4_SPD_142_DRAM_VREFDQ_R2</ipxact:name> + <ipxact:displayName>SPD Byte 142 - DRAM VrefDQ for Package Rank 2</ipxact:displayName> + <ipxact:value>29</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_SPD_143_DRAM_VREFDQ_R3" type="int"> + <ipxact:name>MEM_DDR4_SPD_143_DRAM_VREFDQ_R3</ipxact:name> + <ipxact:displayName>SPD Byte 143 - DRAM VrefDQ for Package Rank 3</ipxact:displayName> + <ipxact:value>29</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_SPD_144_DB_VREFDQ" type="int"> + <ipxact:name>MEM_DDR4_SPD_144_DB_VREFDQ</ipxact:name> + <ipxact:displayName>SPD Byte 144 - DB VrefDQ for DRAM Interface</ipxact:displayName> + <ipxact:value>37</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_SPD_145_DB_MDQ_DRV" type="int"> + <ipxact:name>MEM_DDR4_SPD_145_DB_MDQ_DRV</ipxact:name> + <ipxact:displayName>SPD Byte 145-147 - DB MDQ Drive Strength and RTT</ipxact:displayName> + <ipxact:value>21</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_SPD_148_DRAM_DRV" type="int"> + <ipxact:name>MEM_DDR4_SPD_148_DRAM_DRV</ipxact:name> + <ipxact:displayName>SPD Byte 148 - DRAM Drive Strength</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_SPD_149_DRAM_RTT_WR_NOM" type="int"> + <ipxact:name>MEM_DDR4_SPD_149_DRAM_RTT_WR_NOM</ipxact:name> + <ipxact:displayName>SPD Byte 149-151 - DRAM ODT (RTT_WR and RTT_NOM)</ipxact:displayName> + <ipxact:value>20</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_SPD_152_DRAM_RTT_PARK" type="int"> + <ipxact:name>MEM_DDR4_SPD_152_DRAM_RTT_PARK</ipxact:name> + <ipxact:displayName>SPD Byte 152-154 - DRAM ODT (RTT_PARK)</ipxact:displayName> + <ipxact:value>39</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_SPD_133_RCD_DB_VENDOR_LSB" type="int"> + <ipxact:name>MEM_DDR4_SPD_133_RCD_DB_VENDOR_LSB</ipxact:name> + <ipxact:displayName>RCD and DB Manufacturer (LSB)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_SPD_134_RCD_DB_VENDOR_MSB" type="int"> + <ipxact:name>MEM_DDR4_SPD_134_RCD_DB_VENDOR_MSB</ipxact:name> + <ipxact:displayName>RCD and DB Manufacturer (MSB)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_SPD_135_RCD_REV" type="int"> + <ipxact:name>MEM_DDR4_SPD_135_RCD_REV</ipxact:name> + <ipxact:displayName>RCD Revision Number</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_SPD_139_DB_REV" type="int"> + <ipxact:name>MEM_DDR4_SPD_139_DB_REV</ipxact:name> + <ipxact:displayName>DB Revision Number</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_LRDIMM_ODT_LESS_BS" type="bit"> + <ipxact:name>MEM_DDR4_LRDIMM_ODT_LESS_BS</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_LRDIMM_ODT_LESS_BS_NAME</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_LRDIMM_ODT_LESS_BS_PARK_OHM" type="int"> + <ipxact:name>MEM_DDR4_LRDIMM_ODT_LESS_BS_PARK_OHM</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_LRDIMM_ODT_LESS_BS_PARK_OHM_NAME</ipxact:displayName> + <ipxact:value>240</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_DQS_WIDTH" type="int"> + <ipxact:name>MEM_DDR4_DQS_WIDTH</ipxact:name> + <ipxact:displayName>Number of DQS groups</ipxact:displayName> + <ipxact:value>9</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_CS_WIDTH" type="int"> + <ipxact:name>MEM_DDR4_CS_WIDTH</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_CS_WIDTH_NAME</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_CS_PER_DIMM" type="int"> + <ipxact:name>MEM_DDR4_CS_PER_DIMM</ipxact:name> + <ipxact:displayName>Number of chip selects per DIMM</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_CKE_WIDTH" type="int"> + <ipxact:name>MEM_DDR4_CKE_WIDTH</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_CKE_WIDTH_NAME</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_ODT_WIDTH" type="int"> + <ipxact:name>MEM_DDR4_ODT_WIDTH</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_ODT_WIDTH_NAME</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_ADDR_WIDTH" type="int"> + <ipxact:name>MEM_DDR4_ADDR_WIDTH</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_ADDR_WIDTH_NAME</ipxact:displayName> + <ipxact:value>17</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_RM_WIDTH" type="int"> + <ipxact:name>MEM_DDR4_RM_WIDTH</ipxact:name> + <ipxact:displayName>Number of rank multiplication pins</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_NUM_OF_PHYSICAL_RANKS" type="int"> + <ipxact:name>MEM_DDR4_NUM_OF_PHYSICAL_RANKS</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_NUM_OF_PHYSICAL_RANKS_NAME</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_NUM_OF_LOGICAL_RANKS" type="int"> + <ipxact:name>MEM_DDR4_NUM_OF_LOGICAL_RANKS</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_NUM_OF_LOGICAL_RANKS_NAME</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_IDEAL_VREF_IN_PCT" type="real"> + <ipxact:name>MEM_DDR4_IDEAL_VREF_IN_PCT</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_IDEAL_VREF_IN_PCT_NAME</ipxact:displayName> + <ipxact:value>61.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_IDEAL_VREF_OUT_PCT" type="real"> + <ipxact:name>MEM_DDR4_IDEAL_VREF_OUT_PCT</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_IDEAL_VREF_OUT_PCT_NAME</ipxact:displayName> + <ipxact:value>68.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_VREFDQ_TRAINING_VALUE" type="real"> + <ipxact:name>MEM_DDR4_VREFDQ_TRAINING_VALUE</ipxact:name> + <ipxact:displayName>VrefDQ training value</ipxact:displayName> + <ipxact:value>60.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_VREFDQ_TRAINING_RANGE" type="string"> + <ipxact:name>MEM_DDR4_VREFDQ_TRAINING_RANGE</ipxact:name> + <ipxact:displayName>VrefDQ training range</ipxact:displayName> + <ipxact:value>DDR4_VREFDQ_TRAINING_RANGE_1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_VREFDQ_TRAINING_RANGE_DISP" type="string"> + <ipxact:name>MEM_DDR4_VREFDQ_TRAINING_RANGE_DISP</ipxact:name> + <ipxact:displayName>VrefDQ training range</ipxact:displayName> + <ipxact:value>Range 2 - 45% to 77.5%</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TTL_DQS_WIDTH" type="int"> + <ipxact:name>MEM_DDR4_TTL_DQS_WIDTH</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_TTL_DQS_WIDTH_NAME</ipxact:displayName> + <ipxact:value>9</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TTL_DQ_WIDTH" type="int"> + <ipxact:name>MEM_DDR4_TTL_DQ_WIDTH</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_TTL_DQ_WIDTH_NAME</ipxact:displayName> + <ipxact:value>72</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TTL_CS_WIDTH" type="int"> + <ipxact:name>MEM_DDR4_TTL_CS_WIDTH</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_TTL_CS_WIDTH_NAME</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TTL_CK_WIDTH" type="int"> + <ipxact:name>MEM_DDR4_TTL_CK_WIDTH</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_TTL_CK_WIDTH_NAME</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TTL_CKE_WIDTH" type="int"> + <ipxact:name>MEM_DDR4_TTL_CKE_WIDTH</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_TTL_CKE_WIDTH_NAME</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TTL_ODT_WIDTH" type="int"> + <ipxact:name>MEM_DDR4_TTL_ODT_WIDTH</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_TTL_ODT_WIDTH_NAME</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TTL_BANK_ADDR_WIDTH" type="int"> + <ipxact:name>MEM_DDR4_TTL_BANK_ADDR_WIDTH</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_TTL_BANK_ADDR_WIDTH_NAME</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TTL_BANK_GROUP_WIDTH" type="int"> + <ipxact:name>MEM_DDR4_TTL_BANK_GROUP_WIDTH</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_TTL_BANK_GROUP_WIDTH_NAME</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TTL_CHIP_ID_WIDTH" type="int"> + <ipxact:name>MEM_DDR4_TTL_CHIP_ID_WIDTH</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_TTL_CHIP_ID_WIDTH_NAME</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TTL_ADDR_WIDTH" type="int"> + <ipxact:name>MEM_DDR4_TTL_ADDR_WIDTH</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_TTL_ADDR_WIDTH_NAME</ipxact:displayName> + <ipxact:value>17</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TTL_RM_WIDTH" type="int"> + <ipxact:name>MEM_DDR4_TTL_RM_WIDTH</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_TTL_RM_WIDTH_NAME</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TTL_NUM_OF_DIMMS" type="int"> + <ipxact:name>MEM_DDR4_TTL_NUM_OF_DIMMS</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_TTL_NUM_OF_DIMMS_NAME</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TTL_NUM_OF_PHYSICAL_RANKS" type="int"> + <ipxact:name>MEM_DDR4_TTL_NUM_OF_PHYSICAL_RANKS</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_TTL_NUM_OF_PHYSICAL_RANKS_NAME</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TTL_NUM_OF_LOGICAL_RANKS" type="int"> + <ipxact:name>MEM_DDR4_TTL_NUM_OF_LOGICAL_RANKS</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_TTL_NUM_OF_LOGICAL_RANKS_NAME</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_MR0" type="int"> + <ipxact:name>MEM_DDR4_MR0</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_MR0_NAME</ipxact:displayName> + <ipxact:value>2112</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_MR1" type="int"> + <ipxact:name>MEM_DDR4_MR1</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_MR1_NAME</ipxact:displayName> + <ipxact:value>65793</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_MR2" type="int"> + <ipxact:name>MEM_DDR4_MR2</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_MR2_NAME</ipxact:displayName> + <ipxact:value>131096</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_MR3" type="int"> + <ipxact:name>MEM_DDR4_MR3</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_MR3_NAME</ipxact:displayName> + <ipxact:value>197120</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_MR4" type="int"> + <ipxact:name>MEM_DDR4_MR4</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_MR4_NAME</ipxact:displayName> + <ipxact:value>264192</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_MR5" type="int"> + <ipxact:name>MEM_DDR4_MR5</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_MR5_NAME</ipxact:displayName> + <ipxact:value>328736</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_MR6" type="int"> + <ipxact:name>MEM_DDR4_MR6</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_MR6_NAME</ipxact:displayName> + <ipxact:value>395363</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_RDIMM_CONFIG" type="string"> + <ipxact:name>MEM_DDR4_RDIMM_CONFIG</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_RDIMM_CONFIG_NAME</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_LRDIMM_EXTENDED_CONFIG" type="string"> + <ipxact:name>MEM_DDR4_LRDIMM_EXTENDED_CONFIG</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_LRDIMM_EXTENDED_CONFIG_NAME</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_ADDRESS_MIRROR_BITVEC" type="int"> + <ipxact:name>MEM_DDR4_ADDRESS_MIRROR_BITVEC</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_ADDRESS_MIRROR_BITVEC_NAME</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_RCD_PARITY_CONTROL_WORD" type="int"> + <ipxact:name>MEM_DDR4_RCD_PARITY_CONTROL_WORD</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_RCD_PARITY_CONTROL_WORD_NAME</ipxact:displayName> + <ipxact:value>13</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_RCD_COMMAND_LATENCY" type="int"> + <ipxact:name>MEM_DDR4_RCD_COMMAND_LATENCY</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_RCD_COMMAND_LATENCY_NAME</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_USE_DEFAULT_ODT" type="bit"> + <ipxact:name>MEM_DDR4_USE_DEFAULT_ODT</ipxact:name> + <ipxact:displayName>Use Default ODT Assertion Tables</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_R_ODTN_1X1" type="string"> + <ipxact:name>MEM_DDR4_R_ODTN_1X1</ipxact:name> + <ipxact:displayName>Read Target</ipxact:displayName> + <ipxact:value>Rank 0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_R_ODT0_1X1" type="string"> + <ipxact:name>MEM_DDR4_R_ODT0_1X1</ipxact:name> + <ipxact:displayName>ODT0</ipxact:displayName> + <ipxact:value>off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_W_ODTN_1X1" type="string"> + <ipxact:name>MEM_DDR4_W_ODTN_1X1</ipxact:name> + <ipxact:displayName>Write Target</ipxact:displayName> + <ipxact:value>Rank 0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_W_ODT0_1X1" type="string"> + <ipxact:name>MEM_DDR4_W_ODT0_1X1</ipxact:name> + <ipxact:displayName>ODT0</ipxact:displayName> + <ipxact:value>on</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_R_ODTN_2X2" type="string"> + <ipxact:name>MEM_DDR4_R_ODTN_2X2</ipxact:name> + <ipxact:displayName>Read Target</ipxact:displayName> + <ipxact:value>Rank 0,Rank 1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_R_ODT0_2X2" type="string"> + <ipxact:name>MEM_DDR4_R_ODT0_2X2</ipxact:name> + <ipxact:displayName>ODT0</ipxact:displayName> + <ipxact:value>off,off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_R_ODT1_2X2" type="string"> + <ipxact:name>MEM_DDR4_R_ODT1_2X2</ipxact:name> + <ipxact:displayName>ODT1</ipxact:displayName> + <ipxact:value>off,off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_W_ODTN_2X2" type="string"> + <ipxact:name>MEM_DDR4_W_ODTN_2X2</ipxact:name> + <ipxact:displayName>Write Target</ipxact:displayName> + <ipxact:value>Rank 0,Rank 1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_W_ODT0_2X2" type="string"> + <ipxact:name>MEM_DDR4_W_ODT0_2X2</ipxact:name> + <ipxact:displayName>ODT0</ipxact:displayName> + <ipxact:value>on,off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_W_ODT1_2X2" type="string"> + <ipxact:name>MEM_DDR4_W_ODT1_2X2</ipxact:name> + <ipxact:displayName>ODT1</ipxact:displayName> + <ipxact:value>off,on</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_R_ODTN_4X2" type="string"> + <ipxact:name>MEM_DDR4_R_ODTN_4X2</ipxact:name> + <ipxact:displayName>Read Target</ipxact:displayName> + <ipxact:value>Rank 0,Rank 1,Rank 2,Rank 3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_R_ODT0_4X2" type="string"> + <ipxact:name>MEM_DDR4_R_ODT0_4X2</ipxact:name> + <ipxact:displayName>ODT0</ipxact:displayName> + <ipxact:value>off,off,on,on</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_R_ODT1_4X2" type="string"> + <ipxact:name>MEM_DDR4_R_ODT1_4X2</ipxact:name> + <ipxact:displayName>ODT1</ipxact:displayName> + <ipxact:value>on,on,off,off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_W_ODTN_4X2" type="string"> + <ipxact:name>MEM_DDR4_W_ODTN_4X2</ipxact:name> + <ipxact:displayName>Write Target</ipxact:displayName> + <ipxact:value>Rank 0,Rank 1,Rank 2,Rank 3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_W_ODT0_4X2" type="string"> + <ipxact:name>MEM_DDR4_W_ODT0_4X2</ipxact:name> + <ipxact:displayName>ODT0</ipxact:displayName> + <ipxact:value>off,off,on,on</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_W_ODT1_4X2" type="string"> + <ipxact:name>MEM_DDR4_W_ODT1_4X2</ipxact:name> + <ipxact:displayName>ODT1</ipxact:displayName> + <ipxact:value>on,on,off,off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_R_ODTN_4X4" type="string"> + <ipxact:name>MEM_DDR4_R_ODTN_4X4</ipxact:name> + <ipxact:displayName>Read Target</ipxact:displayName> + <ipxact:value>Rank 0,Rank 1,Rank 2,Rank 3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_R_ODT0_4X4" type="string"> + <ipxact:name>MEM_DDR4_R_ODT0_4X4</ipxact:name> + <ipxact:displayName>ODT0</ipxact:displayName> + <ipxact:value>off,off,on,off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_R_ODT1_4X4" type="string"> + <ipxact:name>MEM_DDR4_R_ODT1_4X4</ipxact:name> + <ipxact:displayName>ODT1</ipxact:displayName> + <ipxact:value>off,off,off,on</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_R_ODT2_4X4" type="string"> + <ipxact:name>MEM_DDR4_R_ODT2_4X4</ipxact:name> + <ipxact:displayName>ODT2</ipxact:displayName> + <ipxact:value>on,off,off,off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_R_ODT3_4X4" type="string"> + <ipxact:name>MEM_DDR4_R_ODT3_4X4</ipxact:name> + <ipxact:displayName>ODT3</ipxact:displayName> + <ipxact:value>off,on,off,off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_W_ODTN_4X4" type="string"> + <ipxact:name>MEM_DDR4_W_ODTN_4X4</ipxact:name> + <ipxact:displayName>Write Target</ipxact:displayName> + <ipxact:value>Rank 0,Rank 1,Rank 2,Rank 3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_W_ODT0_4X4" type="string"> + <ipxact:name>MEM_DDR4_W_ODT0_4X4</ipxact:name> + <ipxact:displayName>ODT0</ipxact:displayName> + <ipxact:value>on,off,on,off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_W_ODT1_4X4" type="string"> + <ipxact:name>MEM_DDR4_W_ODT1_4X4</ipxact:name> + <ipxact:displayName>ODT1</ipxact:displayName> + <ipxact:value>off,on,off,on</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_W_ODT2_4X4" type="string"> + <ipxact:name>MEM_DDR4_W_ODT2_4X4</ipxact:name> + <ipxact:displayName>ODT2</ipxact:displayName> + <ipxact:value>on,off,on,off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_W_ODT3_4X4" type="string"> + <ipxact:name>MEM_DDR4_W_ODT3_4X4</ipxact:name> + <ipxact:displayName>ODT3</ipxact:displayName> + <ipxact:value>off,on,off,on</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_R_DERIVED_ODTN" type="string"> + <ipxact:name>MEM_DDR4_R_DERIVED_ODTN</ipxact:name> + <ipxact:displayName>Read Target</ipxact:displayName> + <ipxact:value>Rank 0,Rank 1,-,-</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_R_DERIVED_ODT0" type="string"> + <ipxact:name>MEM_DDR4_R_DERIVED_ODT0</ipxact:name> + <ipxact:displayName>ODT0 Value</ipxact:displayName> + <ipxact:value>(Drive) RZQ/7 (34 Ohm),ODT Disabled,-,-</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_R_DERIVED_ODT1" type="string"> + <ipxact:name>MEM_DDR4_R_DERIVED_ODT1</ipxact:name> + <ipxact:displayName>ODT1 Value</ipxact:displayName> + <ipxact:value>ODT Disabled,(Drive) RZQ/7 (34 Ohm),-,-</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_R_DERIVED_ODT2" type="string"> + <ipxact:name>MEM_DDR4_R_DERIVED_ODT2</ipxact:name> + <ipxact:displayName>ODT2 Value</ipxact:displayName> + <ipxact:value>-,-,-,-</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_R_DERIVED_ODT3" type="string"> + <ipxact:name>MEM_DDR4_R_DERIVED_ODT3</ipxact:name> + <ipxact:displayName>ODT3 Value</ipxact:displayName> + <ipxact:value>-,-,-,-</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_W_DERIVED_ODTN" type="string"> + <ipxact:name>MEM_DDR4_W_DERIVED_ODTN</ipxact:name> + <ipxact:displayName>Write Target</ipxact:displayName> + <ipxact:value>Rank 0,Rank 1,-,-</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_W_DERIVED_ODT0" type="string"> + <ipxact:name>MEM_DDR4_W_DERIVED_ODT0</ipxact:name> + <ipxact:displayName>ODT0 Value</ipxact:displayName> + <ipxact:value>(Nominal) RZQ/4 (60 Ohm),ODT Disabled,-,-</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_W_DERIVED_ODT1" type="string"> + <ipxact:name>MEM_DDR4_W_DERIVED_ODT1</ipxact:name> + <ipxact:displayName>ODT1 Value</ipxact:displayName> + <ipxact:value>ODT Disabled,(Nominal) RZQ/4 (60 Ohm),-,-</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_W_DERIVED_ODT2" type="string"> + <ipxact:name>MEM_DDR4_W_DERIVED_ODT2</ipxact:name> + <ipxact:displayName>ODT2 Value</ipxact:displayName> + <ipxact:value>-,-,-,-</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_W_DERIVED_ODT3" type="string"> + <ipxact:name>MEM_DDR4_W_DERIVED_ODT3</ipxact:name> + <ipxact:displayName>ODT3 Value</ipxact:displayName> + <ipxact:value>-,-,-,-</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_SEQ_ODT_TABLE_LO" type="int"> + <ipxact:name>MEM_DDR4_SEQ_ODT_TABLE_LO</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_SEQ_ODT_TABLE_LO_NAME</ipxact:displayName> + <ipxact:value>4194308</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_SEQ_ODT_TABLE_HI" type="int"> + <ipxact:name>MEM_DDR4_SEQ_ODT_TABLE_HI</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_SEQ_ODT_TABLE_HI_NAME</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_CTRL_CFG_READ_ODT_CHIP" type="int"> + <ipxact:name>MEM_DDR4_CTRL_CFG_READ_ODT_CHIP</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_CTRL_CFG_READ_ODT_CHIP_NAME</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_CTRL_CFG_WRITE_ODT_CHIP" type="int"> + <ipxact:name>MEM_DDR4_CTRL_CFG_WRITE_ODT_CHIP</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_CTRL_CFG_WRITE_ODT_CHIP_NAME</ipxact:displayName> + <ipxact:value>33</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_CTRL_CFG_READ_ODT_RANK" type="int"> + <ipxact:name>MEM_DDR4_CTRL_CFG_READ_ODT_RANK</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_CTRL_CFG_READ_ODT_RANK_NAME</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_CTRL_CFG_WRITE_ODT_RANK" type="int"> + <ipxact:name>MEM_DDR4_CTRL_CFG_WRITE_ODT_RANK</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_CTRL_CFG_WRITE_ODT_RANK_NAME</ipxact:displayName> + <ipxact:value>33</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_SPEEDBIN_ENUM" type="string"> + <ipxact:name>MEM_DDR4_SPEEDBIN_ENUM</ipxact:name> + <ipxact:displayName>Speed bin</ipxact:displayName> + <ipxact:value>DDR4_SPEEDBIN_2400</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TIS_PS" type="int"> + <ipxact:name>MEM_DDR4_TIS_PS</ipxact:name> + <ipxact:displayName>tIS (base)</ipxact:displayName> + <ipxact:value>60</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TIS_AC_MV" type="int"> + <ipxact:name>MEM_DDR4_TIS_AC_MV</ipxact:name> + <ipxact:displayName>tIS (base) AC level</ipxact:displayName> + <ipxact:value>100</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TIH_PS" type="int"> + <ipxact:name>MEM_DDR4_TIH_PS</ipxact:name> + <ipxact:displayName>tIH (base)</ipxact:displayName> + <ipxact:value>95</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TIH_DC_MV" type="int"> + <ipxact:name>MEM_DDR4_TIH_DC_MV</ipxact:name> + <ipxact:displayName>tIH (base) DC level</ipxact:displayName> + <ipxact:value>75</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TDIVW_TOTAL_UI" type="real"> + <ipxact:name>MEM_DDR4_TDIVW_TOTAL_UI</ipxact:name> + <ipxact:displayName>TdiVW_total</ipxact:displayName> + <ipxact:value>0.2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_VDIVW_TOTAL" type="int"> + <ipxact:name>MEM_DDR4_VDIVW_TOTAL</ipxact:name> + <ipxact:displayName>VdiVW_total</ipxact:displayName> + <ipxact:value>136</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TDQSQ_UI" type="real"> + <ipxact:name>MEM_DDR4_TDQSQ_UI</ipxact:name> + <ipxact:displayName>tDQSQ</ipxact:displayName> + <ipxact:value>0.16</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TQH_UI" type="real"> + <ipxact:name>MEM_DDR4_TQH_UI</ipxact:name> + <ipxact:displayName>tQH</ipxact:displayName> + <ipxact:value>0.76</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TDVWP_UI" type="real"> + <ipxact:name>MEM_DDR4_TDVWP_UI</ipxact:name> + <ipxact:displayName>tDVWp</ipxact:displayName> + <ipxact:value>0.72</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TDQSCK_PS" type="int"> + <ipxact:name>MEM_DDR4_TDQSCK_PS</ipxact:name> + <ipxact:displayName>tDQSCK</ipxact:displayName> + <ipxact:value>180</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TDQSS_CYC" type="real"> + <ipxact:name>MEM_DDR4_TDQSS_CYC</ipxact:name> + <ipxact:displayName>tDQSS</ipxact:displayName> + <ipxact:value>0.27</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TQSH_CYC" type="real"> + <ipxact:name>MEM_DDR4_TQSH_CYC</ipxact:name> + <ipxact:displayName>tQSH</ipxact:displayName> + <ipxact:value>0.38</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TDSH_CYC" type="real"> + <ipxact:name>MEM_DDR4_TDSH_CYC</ipxact:name> + <ipxact:displayName>tDSH</ipxact:displayName> + <ipxact:value>0.18</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TDSS_CYC" type="real"> + <ipxact:name>MEM_DDR4_TDSS_CYC</ipxact:name> + <ipxact:displayName>tDSS</ipxact:displayName> + <ipxact:value>0.18</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TWLS_CYC" type="real"> + <ipxact:name>MEM_DDR4_TWLS_CYC</ipxact:name> + <ipxact:displayName>tWLS</ipxact:displayName> + <ipxact:value>0.13</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TWLH_CYC" type="real"> + <ipxact:name>MEM_DDR4_TWLH_CYC</ipxact:name> + <ipxact:displayName>tWLH</ipxact:displayName> + <ipxact:value>0.13</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TINIT_US" type="int"> + <ipxact:name>MEM_DDR4_TINIT_US</ipxact:name> + <ipxact:displayName>tINIT</ipxact:displayName> + <ipxact:value>500</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TMRD_CK_CYC" type="int"> + <ipxact:name>MEM_DDR4_TMRD_CK_CYC</ipxact:name> + <ipxact:displayName>tMRD</ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TRAS_NS" type="real"> + <ipxact:name>MEM_DDR4_TRAS_NS</ipxact:name> + <ipxact:displayName>tRAS</ipxact:displayName> + <ipxact:value>33.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TRCD_NS" type="real"> + <ipxact:name>MEM_DDR4_TRCD_NS</ipxact:name> + <ipxact:displayName>tRCD</ipxact:displayName> + <ipxact:value>14.06</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TRP_NS" type="real"> + <ipxact:name>MEM_DDR4_TRP_NS</ipxact:name> + <ipxact:displayName>tRP</ipxact:displayName> + <ipxact:value>14.06</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TREFI_US" type="real"> + <ipxact:name>MEM_DDR4_TREFI_US</ipxact:name> + <ipxact:displayName>tREFI</ipxact:displayName> + <ipxact:value>7.8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TRFC_NS" type="real"> + <ipxact:name>MEM_DDR4_TRFC_NS</ipxact:name> + <ipxact:displayName>tRFC</ipxact:displayName> + <ipxact:value>160.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TWR_NS" type="real"> + <ipxact:name>MEM_DDR4_TWR_NS</ipxact:name> + <ipxact:displayName>tWR</ipxact:displayName> + <ipxact:value>15.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TWTR_L_CYC" type="int"> + <ipxact:name>MEM_DDR4_TWTR_L_CYC</ipxact:name> + <ipxact:displayName>tWTR_L</ipxact:displayName> + <ipxact:value>4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TWTR_S_CYC" type="int"> + <ipxact:name>MEM_DDR4_TWTR_S_CYC</ipxact:name> + <ipxact:displayName>tWTR_S</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TFAW_NS" type="real"> + <ipxact:name>MEM_DDR4_TFAW_NS</ipxact:name> + <ipxact:displayName>tFAW</ipxact:displayName> + <ipxact:value>25.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TRRD_L_CYC" type="int"> + <ipxact:name>MEM_DDR4_TRRD_L_CYC</ipxact:name> + <ipxact:displayName>tRRD_L</ipxact:displayName> + <ipxact:value>5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TRRD_S_CYC" type="int"> + <ipxact:name>MEM_DDR4_TRRD_S_CYC</ipxact:name> + <ipxact:displayName>tRRD_S</ipxact:displayName> + <ipxact:value>4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TCCD_L_CYC" type="int"> + <ipxact:name>MEM_DDR4_TCCD_L_CYC</ipxact:name> + <ipxact:displayName>tCCD_L</ipxact:displayName> + <ipxact:value>5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TCCD_S_CYC" type="int"> + <ipxact:name>MEM_DDR4_TCCD_S_CYC</ipxact:name> + <ipxact:displayName>tCCD_S</ipxact:displayName> + <ipxact:value>4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TRFC_DLR_NS" type="real"> + <ipxact:name>MEM_DDR4_TRFC_DLR_NS</ipxact:name> + <ipxact:displayName>tRFC_dlr</ipxact:displayName> + <ipxact:value>90.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TFAW_DLR_CYC" type="int"> + <ipxact:name>MEM_DDR4_TFAW_DLR_CYC</ipxact:name> + <ipxact:displayName>tFAW_dlr</ipxact:displayName> + <ipxact:value>16</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TRRD_DLR_CYC" type="int"> + <ipxact:name>MEM_DDR4_TRRD_DLR_CYC</ipxact:name> + <ipxact:displayName>tRRD_dlr</ipxact:displayName> + <ipxact:value>4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TDIVW_DJ_CYC" type="real"> + <ipxact:name>MEM_DDR4_TDIVW_DJ_CYC</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_TDIVW_DJ_CYC_NAME</ipxact:displayName> + <ipxact:value>0.1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TDQSQ_PS" type="int"> + <ipxact:name>MEM_DDR4_TDQSQ_PS</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_TDQSQ_PS_NAME</ipxact:displayName> + <ipxact:value>66</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TQH_CYC" type="real"> + <ipxact:name>MEM_DDR4_TQH_CYC</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_TQH_CYC_NAME</ipxact:displayName> + <ipxact:value>0.38</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TINIT_CK" type="int"> + <ipxact:name>MEM_DDR4_TINIT_CK</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_TINIT_CK_NAME</ipxact:displayName> + <ipxact:value>600000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TDQSCK_DERV_PS" type="int"> + <ipxact:name>MEM_DDR4_TDQSCK_DERV_PS</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_TDQSCK_DERV_PS_NAME</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TDQSCKDS" type="int"> + <ipxact:name>MEM_DDR4_TDQSCKDS</ipxact:name> + <ipxact:displayName>tDQSCK Delta Short</ipxact:displayName> + <ipxact:value>450</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TDQSCKDM" type="int"> + <ipxact:name>MEM_DDR4_TDQSCKDM</ipxact:name> + <ipxact:displayName>tDQSCK Delta Medium</ipxact:displayName> + <ipxact:value>900</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TDQSCKDL" type="int"> + <ipxact:name>MEM_DDR4_TDQSCKDL</ipxact:name> + <ipxact:displayName>tDQSCK Delta Long</ipxact:displayName> + <ipxact:value>1200</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TRAS_CYC" type="int"> + <ipxact:name>MEM_DDR4_TRAS_CYC</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_TRAS_CYC_NAME</ipxact:displayName> + <ipxact:value>39</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TRCD_CYC" type="int"> + <ipxact:name>MEM_DDR4_TRCD_CYC</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_TRCD_CYC_NAME</ipxact:displayName> + <ipxact:value>18</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TRP_CYC" type="int"> + <ipxact:name>MEM_DDR4_TRP_CYC</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_TRP_CYC_NAME</ipxact:displayName> + <ipxact:value>18</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TRFC_CYC" type="int"> + <ipxact:name>MEM_DDR4_TRFC_CYC</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_TRFC_CYC_NAME</ipxact:displayName> + <ipxact:value>312</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TWR_CYC" type="int"> + <ipxact:name>MEM_DDR4_TWR_CYC</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_TWR_CYC_NAME</ipxact:displayName> + <ipxact:value>18</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TRTP_CYC" type="int"> + <ipxact:name>MEM_DDR4_TRTP_CYC</ipxact:name> + <ipxact:displayName>tRTP</ipxact:displayName> + <ipxact:value>9</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TFAW_CYC" type="int"> + <ipxact:name>MEM_DDR4_TFAW_CYC</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_TFAW_CYC_NAME</ipxact:displayName> + <ipxact:value>26</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TREFI_CYC" type="int"> + <ipxact:name>MEM_DDR4_TREFI_CYC</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_TREFI_CYC_NAME</ipxact:displayName> + <ipxact:value>9360</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_WRITE_CMD_LATENCY" type="int"> + <ipxact:name>MEM_DDR4_WRITE_CMD_LATENCY</ipxact:name> + <ipxact:displayName>Write CMD latency for CRC/DM enable</ipxact:displayName> + <ipxact:value>5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TRFC_DLR_CYC" type="int"> + <ipxact:name>MEM_DDR4_TRFC_DLR_CYC</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_TRFC_DLR_CYC_NAME</ipxact:displayName> + <ipxact:value>108</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_CFG_GEN_SBE" type="bit"> + <ipxact:name>MEM_DDR4_CFG_GEN_SBE</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_CFG_GEN_SBE_NAME</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_CFG_GEN_DBE" type="bit"> + <ipxact:name>MEM_DDR4_CFG_GEN_DBE</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_CFG_GEN_DBE_NAME</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_LRDIMM_VREFDQ_VALUE" type="string"> + <ipxact:name>MEM_DDR4_LRDIMM_VREFDQ_VALUE</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_LRDIMM_VREFDQ_VALUE_NAME</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TWLS_PS" type="real"> + <ipxact:name>MEM_DDR4_TWLS_PS</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_TWLS_PS_NAME</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_DDR4_TWLH_PS" type="real"> + <ipxact:name>MEM_DDR4_TWLH_PS</ipxact:name> + <ipxact:displayName>PARAM_MEM_DDR4_TWLH_PS_NAME</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR2_WIDTH_EXPANDED" type="bit"> + <ipxact:name>MEM_QDR2_WIDTH_EXPANDED</ipxact:name> + <ipxact:displayName>Enable width expansion</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR2_DATA_PER_DEVICE" type="int"> + <ipxact:name>MEM_QDR2_DATA_PER_DEVICE</ipxact:name> + <ipxact:displayName>Data width per device</ipxact:displayName> + <ipxact:value>36</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR2_ADDR_WIDTH" type="int"> + <ipxact:name>MEM_QDR2_ADDR_WIDTH</ipxact:name> + <ipxact:displayName>Address width</ipxact:displayName> + <ipxact:value>19</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR2_BWS_EN" type="bit"> + <ipxact:name>MEM_QDR2_BWS_EN</ipxact:name> + <ipxact:displayName>Enable BWS# pins</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR2_BL" type="int"> + <ipxact:name>MEM_QDR2_BL</ipxact:name> + <ipxact:displayName>Burst length</ipxact:displayName> + <ipxact:value>4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR2_FORMAT_ENUM" type="string"> + <ipxact:name>MEM_QDR2_FORMAT_ENUM</ipxact:name> + <ipxact:displayName>PARAM_MEM_QDR2_FORMAT_ENUM_NAME</ipxact:displayName> + <ipxact:value>MEM_FORMAT_DISCRETE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR2_DEVICE_WIDTH" type="int"> + <ipxact:name>MEM_QDR2_DEVICE_WIDTH</ipxact:name> + <ipxact:displayName>PARAM_MEM_QDR2_DEVICE_WIDTH_NAME</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR2_DATA_WIDTH" type="int"> + <ipxact:name>MEM_QDR2_DATA_WIDTH</ipxact:name> + <ipxact:displayName>Data width</ipxact:displayName> + <ipxact:value>36</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR2_BWS_N_WIDTH" type="int"> + <ipxact:name>MEM_QDR2_BWS_N_WIDTH</ipxact:name> + <ipxact:displayName>BWS# width</ipxact:displayName> + <ipxact:value>4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR2_BWS_N_PER_DEVICE" type="int"> + <ipxact:name>MEM_QDR2_BWS_N_PER_DEVICE</ipxact:name> + <ipxact:displayName>PARAM_MEM_QDR2_BWS_N_PER_DEVICE_NAME</ipxact:displayName> + <ipxact:value>4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR2_CQ_WIDTH" type="int"> + <ipxact:name>MEM_QDR2_CQ_WIDTH</ipxact:name> + <ipxact:displayName>CQ width</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR2_K_WIDTH" type="int"> + <ipxact:name>MEM_QDR2_K_WIDTH</ipxact:name> + <ipxact:displayName>K width</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR2_TWL_CYC" type="int"> + <ipxact:name>MEM_QDR2_TWL_CYC</ipxact:name> + <ipxact:displayName>tWL</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR2_SPEEDBIN_ENUM" type="string"> + <ipxact:name>MEM_QDR2_SPEEDBIN_ENUM</ipxact:name> + <ipxact:displayName>Speed bin</ipxact:displayName> + <ipxact:value>QDR2_SPEEDBIN_633</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR2_TRL_CYC" type="real"> + <ipxact:name>MEM_QDR2_TRL_CYC</ipxact:name> + <ipxact:displayName>tRL</ipxact:displayName> + <ipxact:value>2.5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR2_TSA_NS" type="real"> + <ipxact:name>MEM_QDR2_TSA_NS</ipxact:name> + <ipxact:displayName>tSA</ipxact:displayName> + <ipxact:value>0.23</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR2_THA_NS" type="real"> + <ipxact:name>MEM_QDR2_THA_NS</ipxact:name> + <ipxact:displayName>tHA</ipxact:displayName> + <ipxact:value>0.18</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR2_TSD_NS" type="real"> + <ipxact:name>MEM_QDR2_TSD_NS</ipxact:name> + <ipxact:displayName>tSD</ipxact:displayName> + <ipxact:value>0.23</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR2_THD_NS" type="real"> + <ipxact:name>MEM_QDR2_THD_NS</ipxact:name> + <ipxact:displayName>tHD</ipxact:displayName> + <ipxact:value>0.18</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR2_TCQD_NS" type="real"> + <ipxact:name>MEM_QDR2_TCQD_NS</ipxact:name> + <ipxact:displayName>tCQD</ipxact:displayName> + <ipxact:value>0.09</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR2_TCQDOH_NS" type="real"> + <ipxact:name>MEM_QDR2_TCQDOH_NS</ipxact:name> + <ipxact:displayName>tCQDOH</ipxact:displayName> + <ipxact:value>-0.09</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR2_INTERNAL_JITTER_NS" type="real"> + <ipxact:name>MEM_QDR2_INTERNAL_JITTER_NS</ipxact:name> + <ipxact:displayName>Internal Jitter</ipxact:displayName> + <ipxact:value>0.08</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR2_TCQH_NS" type="real"> + <ipxact:name>MEM_QDR2_TCQH_NS</ipxact:name> + <ipxact:displayName>tCQH</ipxact:displayName> + <ipxact:value>0.71</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR2_TCCQO_NS" type="real"> + <ipxact:name>MEM_QDR2_TCCQO_NS</ipxact:name> + <ipxact:displayName>tCCQO</ipxact:displayName> + <ipxact:value>0.45</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR4_WIDTH_EXPANDED" type="bit"> + <ipxact:name>MEM_QDR4_WIDTH_EXPANDED</ipxact:name> + <ipxact:displayName>Enable width expansion</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR4_DQ_PER_PORT_PER_DEVICE" type="int"> + <ipxact:name>MEM_QDR4_DQ_PER_PORT_PER_DEVICE</ipxact:name> + <ipxact:displayName>DQ width per device</ipxact:displayName> + <ipxact:value>36</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR4_ADDR_WIDTH" type="int"> + <ipxact:name>MEM_QDR4_ADDR_WIDTH</ipxact:name> + <ipxact:displayName>Address width</ipxact:displayName> + <ipxact:value>21</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR4_SKIP_ODT_SWEEPING" type="bit"> + <ipxact:name>MEM_QDR4_SKIP_ODT_SWEEPING</ipxact:name> + <ipxact:displayName>Skip automatic optimization of Clock and Address/Command ODT setting during calibration</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR4_CK_ODT_MODE_ENUM" type="string"> + <ipxact:name>MEM_QDR4_CK_ODT_MODE_ENUM</ipxact:name> + <ipxact:displayName>ODT (Clock)</ipxact:displayName> + <ipxact:value>QDR4_ODT_25_PCT</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR4_AC_ODT_MODE_ENUM" type="string"> + <ipxact:name>MEM_QDR4_AC_ODT_MODE_ENUM</ipxact:name> + <ipxact:displayName>ODT (Address/Command)</ipxact:displayName> + <ipxact:value>QDR4_ODT_25_PCT</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR4_DATA_ODT_MODE_ENUM" type="string"> + <ipxact:name>MEM_QDR4_DATA_ODT_MODE_ENUM</ipxact:name> + <ipxact:displayName>ODT (Data)</ipxact:displayName> + <ipxact:value>QDR4_ODT_25_PCT</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR4_PU_OUTPUT_DRIVE_MODE_ENUM" type="string"> + <ipxact:name>MEM_QDR4_PU_OUTPUT_DRIVE_MODE_ENUM</ipxact:name> + <ipxact:displayName>Output drive (pull-up)</ipxact:displayName> + <ipxact:value>QDR4_OUTPUT_DRIVE_25_PCT</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR4_PD_OUTPUT_DRIVE_MODE_ENUM" type="string"> + <ipxact:name>MEM_QDR4_PD_OUTPUT_DRIVE_MODE_ENUM</ipxact:name> + <ipxact:displayName>Output drive (pull-down)</ipxact:displayName> + <ipxact:value>QDR4_OUTPUT_DRIVE_25_PCT</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR4_MEM_TYPE_ENUM" type="string"> + <ipxact:name>MEM_QDR4_MEM_TYPE_ENUM</ipxact:name> + <ipxact:displayName>Memory Type </ipxact:displayName> + <ipxact:value>MEM_XP</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR4_DATA_INV_ENA" type="bit"> + <ipxact:name>MEM_QDR4_DATA_INV_ENA</ipxact:name> + <ipxact:displayName>Data bus inversion</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR4_ADDR_INV_ENA" type="bit"> + <ipxact:name>MEM_QDR4_ADDR_INV_ENA</ipxact:name> + <ipxact:displayName>Address bus inversion</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR4_USE_ADDR_PARITY" type="bit"> + <ipxact:name>MEM_QDR4_USE_ADDR_PARITY</ipxact:name> + <ipxact:displayName>Use address parity bit</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR4_FORMAT_ENUM" type="string"> + <ipxact:name>MEM_QDR4_FORMAT_ENUM</ipxact:name> + <ipxact:displayName>PARAM_MEM_QDR4_FORMAT_ENUM_NAME</ipxact:displayName> + <ipxact:value>MEM_FORMAT_DISCRETE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR4_DEVICE_WIDTH" type="int"> + <ipxact:name>MEM_QDR4_DEVICE_WIDTH</ipxact:name> + <ipxact:displayName>PARAM_MEM_QDR4_DEVICE_WIDTH_NAME</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR4_DEVICE_DEPTH" type="int"> + <ipxact:name>MEM_QDR4_DEVICE_DEPTH</ipxact:name> + <ipxact:displayName>PARAM_MEM_QDR4_DEVICE_DEPTH_NAME</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR4_DQ_PER_RD_GROUP" type="int"> + <ipxact:name>MEM_QDR4_DQ_PER_RD_GROUP</ipxact:name> + <ipxact:displayName>PARAM_MEM_QDR4_DQ_PER_RD_GROUP_NAME</ipxact:displayName> + <ipxact:value>18</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR4_DQ_PER_WR_GROUP" type="int"> + <ipxact:name>MEM_QDR4_DQ_PER_WR_GROUP</ipxact:name> + <ipxact:displayName>PARAM_MEM_QDR4_DQ_PER_WR_GROUP_NAME</ipxact:displayName> + <ipxact:value>18</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR4_DQ_WIDTH" type="int"> + <ipxact:name>MEM_QDR4_DQ_WIDTH</ipxact:name> + <ipxact:displayName>PARAM_MEM_QDR4_DQ_WIDTH_NAME</ipxact:displayName> + <ipxact:value>72</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR4_QK_WIDTH" type="int"> + <ipxact:name>MEM_QDR4_QK_WIDTH</ipxact:name> + <ipxact:displayName>PARAM_MEM_QDR4_QK_WIDTH_NAME</ipxact:displayName> + <ipxact:value>4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR4_DK_WIDTH" type="int"> + <ipxact:name>MEM_QDR4_DK_WIDTH</ipxact:name> + <ipxact:displayName>PARAM_MEM_QDR4_DK_WIDTH_NAME</ipxact:displayName> + <ipxact:value>4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR4_DINV_WIDTH" type="int"> + <ipxact:name>MEM_QDR4_DINV_WIDTH</ipxact:name> + <ipxact:displayName>PARAM_MEM_QDR4_DINV_WIDTH_NAME</ipxact:displayName> + <ipxact:value>4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR4_DQ_PER_PORT_WIDTH" type="int"> + <ipxact:name>MEM_QDR4_DQ_PER_PORT_WIDTH</ipxact:name> + <ipxact:displayName>DQA / DQB width</ipxact:displayName> + <ipxact:value>36</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR4_QK_PER_PORT_WIDTH" type="int"> + <ipxact:name>MEM_QDR4_QK_PER_PORT_WIDTH</ipxact:name> + <ipxact:displayName>QKA / QKB width</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR4_DK_PER_PORT_WIDTH" type="int"> + <ipxact:name>MEM_QDR4_DK_PER_PORT_WIDTH</ipxact:name> + <ipxact:displayName>DKA / DKB width</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR4_DINV_PER_PORT_WIDTH" type="int"> + <ipxact:name>MEM_QDR4_DINV_PER_PORT_WIDTH</ipxact:name> + <ipxact:displayName>DINVA / DINVB width</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR4_BL" type="int"> + <ipxact:name>MEM_QDR4_BL</ipxact:name> + <ipxact:displayName>PARAM_MEM_QDR4_BL_NAME</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR4_TRL_CYC" type="int"> + <ipxact:name>MEM_QDR4_TRL_CYC</ipxact:name> + <ipxact:displayName>Memory Read latency </ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR4_TWL_CYC" type="int"> + <ipxact:name>MEM_QDR4_TWL_CYC</ipxact:name> + <ipxact:displayName>Memory Write latency </ipxact:displayName> + <ipxact:value>5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR4_AVL_CHNLS" type="int"> + <ipxact:name>MEM_QDR4_AVL_CHNLS</ipxact:name> + <ipxact:displayName>PARAM_MEM_QDR4_AVL_CHNLS_NAME</ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR4_CR0" type="int"> + <ipxact:name>MEM_QDR4_CR0</ipxact:name> + <ipxact:displayName>PARAM_MEM_QDR4_CR0_NAME</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR4_CR1" type="int"> + <ipxact:name>MEM_QDR4_CR1</ipxact:name> + <ipxact:displayName>PARAM_MEM_QDR4_CR1_NAME</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR4_CR2" type="int"> + <ipxact:name>MEM_QDR4_CR2</ipxact:name> + <ipxact:displayName>PARAM_MEM_QDR4_CR2_NAME</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR4_SPEEDBIN_ENUM" type="string"> + <ipxact:name>MEM_QDR4_SPEEDBIN_ENUM</ipxact:name> + <ipxact:displayName>Speed bin</ipxact:displayName> + <ipxact:value>QDR4_SPEEDBIN_2133</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR4_TISH_PS" type="int"> + <ipxact:name>MEM_QDR4_TISH_PS</ipxact:name> + <ipxact:displayName>tISH</ipxact:displayName> + <ipxact:value>150</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR4_TQKQ_MAX_PS" type="int"> + <ipxact:name>MEM_QDR4_TQKQ_MAX_PS</ipxact:name> + <ipxact:displayName>tQKQ_max</ipxact:displayName> + <ipxact:value>75</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR4_TQH_CYC" type="real"> + <ipxact:name>MEM_QDR4_TQH_CYC</ipxact:name> + <ipxact:displayName>tQH</ipxact:displayName> + <ipxact:value>0.4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR4_TCKDK_MAX_PS" type="int"> + <ipxact:name>MEM_QDR4_TCKDK_MAX_PS</ipxact:name> + <ipxact:displayName>tCKDK_max</ipxact:displayName> + <ipxact:value>150</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR4_TCKDK_MIN_PS" type="int"> + <ipxact:name>MEM_QDR4_TCKDK_MIN_PS</ipxact:name> + <ipxact:displayName>tCKDK_min</ipxact:displayName> + <ipxact:value>-150</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR4_TCKQK_MAX_PS" type="int"> + <ipxact:name>MEM_QDR4_TCKQK_MAX_PS</ipxact:name> + <ipxact:displayName>tCKQK_max</ipxact:displayName> + <ipxact:value>225</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR4_TASH_PS" type="int"> + <ipxact:name>MEM_QDR4_TASH_PS</ipxact:name> + <ipxact:displayName>tASH</ipxact:displayName> + <ipxact:value>170</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_QDR4_TCSH_PS" type="int"> + <ipxact:name>MEM_QDR4_TCSH_PS</ipxact:name> + <ipxact:displayName>tCSH</ipxact:displayName> + <ipxact:value>170</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD2_WIDTH_EXPANDED" type="bit"> + <ipxact:name>MEM_RLD2_WIDTH_EXPANDED</ipxact:name> + <ipxact:displayName>Enable width expansion</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD2_DQ_PER_DEVICE" type="int"> + <ipxact:name>MEM_RLD2_DQ_PER_DEVICE</ipxact:name> + <ipxact:displayName>DQ width per device</ipxact:displayName> + <ipxact:value>9</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD2_ADDR_WIDTH" type="int"> + <ipxact:name>MEM_RLD2_ADDR_WIDTH</ipxact:name> + <ipxact:displayName>Address width</ipxact:displayName> + <ipxact:value>21</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD2_BANK_ADDR_WIDTH" type="int"> + <ipxact:name>MEM_RLD2_BANK_ADDR_WIDTH</ipxact:name> + <ipxact:displayName>Bank address width</ipxact:displayName> + <ipxact:value>3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD2_DM_EN" type="bit"> + <ipxact:name>MEM_RLD2_DM_EN</ipxact:name> + <ipxact:displayName>Enable DM pins</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD2_BL" type="int"> + <ipxact:name>MEM_RLD2_BL</ipxact:name> + <ipxact:displayName>Burst length</ipxact:displayName> + <ipxact:value>4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD2_CONFIG_ENUM" type="string"> + <ipxact:name>MEM_RLD2_CONFIG_ENUM</ipxact:name> + <ipxact:displayName>Configuration</ipxact:displayName> + <ipxact:value>RLD2_CONFIG_TRC_8_TRL_8_TWL_9</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD2_DRIVE_IMPEDENCE_ENUM" type="string"> + <ipxact:name>MEM_RLD2_DRIVE_IMPEDENCE_ENUM</ipxact:name> + <ipxact:displayName>Drive Impedance</ipxact:displayName> + <ipxact:value>RLD2_DRIVE_IMPEDENCE_INTERNAL_50</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD2_ODT_MODE_ENUM" type="string"> + <ipxact:name>MEM_RLD2_ODT_MODE_ENUM</ipxact:name> + <ipxact:displayName>On-Die Termination</ipxact:displayName> + <ipxact:value>RLD2_ODT_ON</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD2_FORMAT_ENUM" type="string"> + <ipxact:name>MEM_RLD2_FORMAT_ENUM</ipxact:name> + <ipxact:displayName>PARAM_MEM_RLD2_FORMAT_ENUM_NAME</ipxact:displayName> + <ipxact:value>MEM_FORMAT_DISCRETE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD2_DEVICE_WIDTH" type="int"> + <ipxact:name>MEM_RLD2_DEVICE_WIDTH</ipxact:name> + <ipxact:displayName>PARAM_MEM_RLD2_DEVICE_WIDTH_NAME</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD2_DEVICE_DEPTH" type="int"> + <ipxact:name>MEM_RLD2_DEVICE_DEPTH</ipxact:name> + <ipxact:displayName>PARAM_MEM_RLD2_DEVICE_DEPTH_NAME</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD2_DQ_WIDTH" type="int"> + <ipxact:name>MEM_RLD2_DQ_WIDTH</ipxact:name> + <ipxact:displayName>DQ width</ipxact:displayName> + <ipxact:value>9</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD2_DQ_PER_RD_GROUP" type="int"> + <ipxact:name>MEM_RLD2_DQ_PER_RD_GROUP</ipxact:name> + <ipxact:displayName>PARAM_MEM_RLD2_DQ_PER_RD_GROUP_NAME</ipxact:displayName> + <ipxact:value>9</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD2_DQ_PER_WR_GROUP" type="int"> + <ipxact:name>MEM_RLD2_DQ_PER_WR_GROUP</ipxact:name> + <ipxact:displayName>PARAM_MEM_RLD2_DQ_PER_WR_GROUP_NAME</ipxact:displayName> + <ipxact:value>9</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD2_QK_WIDTH" type="int"> + <ipxact:name>MEM_RLD2_QK_WIDTH</ipxact:name> + <ipxact:displayName>QK width</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD2_DK_WIDTH" type="int"> + <ipxact:name>MEM_RLD2_DK_WIDTH</ipxact:name> + <ipxact:displayName>DK width</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD2_DM_WIDTH" type="int"> + <ipxact:name>MEM_RLD2_DM_WIDTH</ipxact:name> + <ipxact:displayName>PARAM_MEM_RLD2_DM_WIDTH_NAME</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD2_CS_WIDTH" type="int"> + <ipxact:name>MEM_RLD2_CS_WIDTH</ipxact:name> + <ipxact:displayName>CS# width</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD2_TRC" type="int"> + <ipxact:name>MEM_RLD2_TRC</ipxact:name> + <ipxact:displayName>PARAM_MEM_RLD2_TRC_NAME</ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD2_TRL" type="int"> + <ipxact:name>MEM_RLD2_TRL</ipxact:name> + <ipxact:displayName>PARAM_MEM_RLD2_TRL_NAME</ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD2_TWL" type="int"> + <ipxact:name>MEM_RLD2_TWL</ipxact:name> + <ipxact:displayName>PARAM_MEM_RLD2_TWL_NAME</ipxact:displayName> + <ipxact:value>9</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD2_MR" type="int"> + <ipxact:name>MEM_RLD2_MR</ipxact:name> + <ipxact:displayName>PARAM_MEM_RLD2_MR_NAME</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD2_SPEEDBIN_ENUM" type="string"> + <ipxact:name>MEM_RLD2_SPEEDBIN_ENUM</ipxact:name> + <ipxact:displayName>Speed bin</ipxact:displayName> + <ipxact:value>RLD2_SPEEDBIN_18</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD2_REFRESH_INTERVAL_US" type="real"> + <ipxact:name>MEM_RLD2_REFRESH_INTERVAL_US</ipxact:name> + <ipxact:displayName>Refresh Interval</ipxact:displayName> + <ipxact:value>0.24</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD2_TCKH_CYC" type="real"> + <ipxact:name>MEM_RLD2_TCKH_CYC</ipxact:name> + <ipxact:displayName>tCKH</ipxact:displayName> + <ipxact:value>0.45</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD2_TQKH_HCYC" type="real"> + <ipxact:name>MEM_RLD2_TQKH_HCYC</ipxact:name> + <ipxact:displayName>tQKH</ipxact:displayName> + <ipxact:value>0.9</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD2_TAS_NS" type="real"> + <ipxact:name>MEM_RLD2_TAS_NS</ipxact:name> + <ipxact:displayName>tAS</ipxact:displayName> + <ipxact:value>0.3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD2_TAH_NS" type="real"> + <ipxact:name>MEM_RLD2_TAH_NS</ipxact:name> + <ipxact:displayName>tAH</ipxact:displayName> + <ipxact:value>0.3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD2_TDS_NS" type="real"> + <ipxact:name>MEM_RLD2_TDS_NS</ipxact:name> + <ipxact:displayName>tDS</ipxact:displayName> + <ipxact:value>0.17</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD2_TDH_NS" type="real"> + <ipxact:name>MEM_RLD2_TDH_NS</ipxact:name> + <ipxact:displayName>tDH</ipxact:displayName> + <ipxact:value>0.17</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD2_TQKQ_MAX_NS" type="real"> + <ipxact:name>MEM_RLD2_TQKQ_MAX_NS</ipxact:name> + <ipxact:displayName>tQKQ_max</ipxact:displayName> + <ipxact:value>0.12</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD2_TQKQ_MIN_NS" type="real"> + <ipxact:name>MEM_RLD2_TQKQ_MIN_NS</ipxact:name> + <ipxact:displayName>tQKQ_min</ipxact:displayName> + <ipxact:value>-0.12</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD2_TCKDK_MAX_NS" type="real"> + <ipxact:name>MEM_RLD2_TCKDK_MAX_NS</ipxact:name> + <ipxact:displayName>tCKDK_max</ipxact:displayName> + <ipxact:value>0.3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD2_TCKDK_MIN_NS" type="real"> + <ipxact:name>MEM_RLD2_TCKDK_MIN_NS</ipxact:name> + <ipxact:displayName>tCKDK_min</ipxact:displayName> + <ipxact:value>-0.3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD2_TCKQK_MAX_NS" type="real"> + <ipxact:name>MEM_RLD2_TCKQK_MAX_NS</ipxact:name> + <ipxact:displayName>tCKQK_max</ipxact:displayName> + <ipxact:value>0.2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD3_WIDTH_EXPANDED" type="bit"> + <ipxact:name>MEM_RLD3_WIDTH_EXPANDED</ipxact:name> + <ipxact:displayName>Enable width expansion</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD3_DEPTH_EXPANDED" type="bit"> + <ipxact:name>MEM_RLD3_DEPTH_EXPANDED</ipxact:name> + <ipxact:displayName>Enable depth expansion using twin die package</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD3_DQ_PER_DEVICE" type="int"> + <ipxact:name>MEM_RLD3_DQ_PER_DEVICE</ipxact:name> + <ipxact:displayName>DQ width per device</ipxact:displayName> + <ipxact:value>36</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD3_ADDR_WIDTH" type="int"> + <ipxact:name>MEM_RLD3_ADDR_WIDTH</ipxact:name> + <ipxact:displayName>Address width</ipxact:displayName> + <ipxact:value>20</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD3_BANK_ADDR_WIDTH" type="int"> + <ipxact:name>MEM_RLD3_BANK_ADDR_WIDTH</ipxact:name> + <ipxact:displayName>Bank address width</ipxact:displayName> + <ipxact:value>4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD3_DM_EN" type="bit"> + <ipxact:name>MEM_RLD3_DM_EN</ipxact:name> + <ipxact:displayName>Enable DM pins</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD3_BL" type="int"> + <ipxact:name>MEM_RLD3_BL</ipxact:name> + <ipxact:displayName>Burst length</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD3_DATA_LATENCY_MODE_ENUM" type="string"> + <ipxact:name>MEM_RLD3_DATA_LATENCY_MODE_ENUM</ipxact:name> + <ipxact:displayName>Data Latency</ipxact:displayName> + <ipxact:value>RLD3_DL_RL16_WL17</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD3_T_RC_MODE_ENUM" type="string"> + <ipxact:name>MEM_RLD3_T_RC_MODE_ENUM</ipxact:name> + <ipxact:displayName>tRC</ipxact:displayName> + <ipxact:value>RLD3_TRC_9</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD3_OUTPUT_DRIVE_MODE_ENUM" type="string"> + <ipxact:name>MEM_RLD3_OUTPUT_DRIVE_MODE_ENUM</ipxact:name> + <ipxact:displayName>Output drive</ipxact:displayName> + <ipxact:value>RLD3_OUTPUT_DRIVE_40</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD3_ODT_MODE_ENUM" type="string"> + <ipxact:name>MEM_RLD3_ODT_MODE_ENUM</ipxact:name> + <ipxact:displayName>ODT</ipxact:displayName> + <ipxact:value>RLD3_ODT_40</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD3_AREF_PROTOCOL_ENUM" type="string"> + <ipxact:name>MEM_RLD3_AREF_PROTOCOL_ENUM</ipxact:name> + <ipxact:displayName>AREF protocol</ipxact:displayName> + <ipxact:value>RLD3_AREF_BAC</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD3_WRITE_PROTOCOL_ENUM" type="string"> + <ipxact:name>MEM_RLD3_WRITE_PROTOCOL_ENUM</ipxact:name> + <ipxact:displayName>Write protocol</ipxact:displayName> + <ipxact:value>RLD3_WRITE_1BANK</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD3_FORMAT_ENUM" type="string"> + <ipxact:name>MEM_RLD3_FORMAT_ENUM</ipxact:name> + <ipxact:displayName>PARAM_MEM_RLD3_FORMAT_ENUM_NAME</ipxact:displayName> + <ipxact:value>MEM_FORMAT_DISCRETE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD3_DEVICE_WIDTH" type="int"> + <ipxact:name>MEM_RLD3_DEVICE_WIDTH</ipxact:name> + <ipxact:displayName>PARAM_MEM_RLD3_DEVICE_WIDTH_NAME</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD3_DEVICE_DEPTH" type="int"> + <ipxact:name>MEM_RLD3_DEVICE_DEPTH</ipxact:name> + <ipxact:displayName>PARAM_MEM_RLD3_DEVICE_DEPTH_NAME</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD3_DQ_WIDTH" type="int"> + <ipxact:name>MEM_RLD3_DQ_WIDTH</ipxact:name> + <ipxact:displayName>DQ width</ipxact:displayName> + <ipxact:value>36</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD3_DQ_PER_RD_GROUP" type="int"> + <ipxact:name>MEM_RLD3_DQ_PER_RD_GROUP</ipxact:name> + <ipxact:displayName>PARAM_MEM_RLD3_DQ_PER_RD_GROUP_NAME</ipxact:displayName> + <ipxact:value>9</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD3_DQ_PER_WR_GROUP" type="int"> + <ipxact:name>MEM_RLD3_DQ_PER_WR_GROUP</ipxact:name> + <ipxact:displayName>PARAM_MEM_RLD3_DQ_PER_WR_GROUP_NAME</ipxact:displayName> + <ipxact:value>18</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD3_QK_WIDTH" type="int"> + <ipxact:name>MEM_RLD3_QK_WIDTH</ipxact:name> + <ipxact:displayName>QK width</ipxact:displayName> + <ipxact:value>4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD3_DK_WIDTH" type="int"> + <ipxact:name>MEM_RLD3_DK_WIDTH</ipxact:name> + <ipxact:displayName>DK width</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD3_DM_WIDTH" type="int"> + <ipxact:name>MEM_RLD3_DM_WIDTH</ipxact:name> + <ipxact:displayName>PARAM_MEM_RLD3_DM_WIDTH_NAME</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD3_CS_WIDTH" type="int"> + <ipxact:name>MEM_RLD3_CS_WIDTH</ipxact:name> + <ipxact:displayName>CS# width</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD3_MR0" type="int"> + <ipxact:name>MEM_RLD3_MR0</ipxact:name> + <ipxact:displayName>PARAM_MEM_RLD3_MR0_NAME</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD3_MR1" type="int"> + <ipxact:name>MEM_RLD3_MR1</ipxact:name> + <ipxact:displayName>PARAM_MEM_RLD3_MR1_NAME</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD3_MR2" type="int"> + <ipxact:name>MEM_RLD3_MR2</ipxact:name> + <ipxact:displayName>PARAM_MEM_RLD3_MR2_NAME</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD3_SPEEDBIN_ENUM" type="string"> + <ipxact:name>MEM_RLD3_SPEEDBIN_ENUM</ipxact:name> + <ipxact:displayName>Speed bin</ipxact:displayName> + <ipxact:value>RLD3_SPEEDBIN_093E</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD3_TDS_PS" type="int"> + <ipxact:name>MEM_RLD3_TDS_PS</ipxact:name> + <ipxact:displayName>tDS (base)</ipxact:displayName> + <ipxact:value>-30</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD3_TDS_AC_MV" type="int"> + <ipxact:name>MEM_RLD3_TDS_AC_MV</ipxact:name> + <ipxact:displayName>tDS (base) AC level</ipxact:displayName> + <ipxact:value>150</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD3_TDH_PS" type="int"> + <ipxact:name>MEM_RLD3_TDH_PS</ipxact:name> + <ipxact:displayName>tDH (base)</ipxact:displayName> + <ipxact:value>5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD3_TDH_DC_MV" type="int"> + <ipxact:name>MEM_RLD3_TDH_DC_MV</ipxact:name> + <ipxact:displayName>tDH (base) DC level</ipxact:displayName> + <ipxact:value>100</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD3_TQKQ_MAX_PS" type="int"> + <ipxact:name>MEM_RLD3_TQKQ_MAX_PS</ipxact:name> + <ipxact:displayName>tQKQ_max</ipxact:displayName> + <ipxact:value>75</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD3_TQH_CYC" type="real"> + <ipxact:name>MEM_RLD3_TQH_CYC</ipxact:name> + <ipxact:displayName>tQH</ipxact:displayName> + <ipxact:value>0.38</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD3_TCKDK_MAX_CYC" type="real"> + <ipxact:name>MEM_RLD3_TCKDK_MAX_CYC</ipxact:name> + <ipxact:displayName>tCKDK_max</ipxact:displayName> + <ipxact:value>0.27</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD3_TCKDK_MIN_CYC" type="real"> + <ipxact:name>MEM_RLD3_TCKDK_MIN_CYC</ipxact:name> + <ipxact:displayName>tCKDK_min</ipxact:displayName> + <ipxact:value>-0.27</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD3_TCKQK_MAX_PS" type="int"> + <ipxact:name>MEM_RLD3_TCKQK_MAX_PS</ipxact:name> + <ipxact:displayName>tCKQK_max</ipxact:displayName> + <ipxact:value>135</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD3_TIS_PS" type="int"> + <ipxact:name>MEM_RLD3_TIS_PS</ipxact:name> + <ipxact:displayName>tIS (base)</ipxact:displayName> + <ipxact:value>85</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD3_TIS_AC_MV" type="int"> + <ipxact:name>MEM_RLD3_TIS_AC_MV</ipxact:name> + <ipxact:displayName>tIS (base) AC level</ipxact:displayName> + <ipxact:value>150</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD3_TIH_PS" type="int"> + <ipxact:name>MEM_RLD3_TIH_PS</ipxact:name> + <ipxact:displayName>tIH (base)</ipxact:displayName> + <ipxact:value>65</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_RLD3_TIH_DC_MV" type="int"> + <ipxact:name>MEM_RLD3_TIH_DC_MV</ipxact:name> + <ipxact:displayName>tIH (base) DC level</ipxact:displayName> + <ipxact:value>100</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_DQ_WIDTH" type="int"> + <ipxact:name>MEM_LPDDR3_DQ_WIDTH</ipxact:name> + <ipxact:displayName>DQ width</ipxact:displayName> + <ipxact:value>32</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_DISCRETE_CS_WIDTH" type="int"> + <ipxact:name>MEM_LPDDR3_DISCRETE_CS_WIDTH</ipxact:name> + <ipxact:displayName>Number of chip selects</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_CK_WIDTH" type="int"> + <ipxact:name>MEM_LPDDR3_CK_WIDTH</ipxact:name> + <ipxact:displayName>Number of clocks</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_DM_EN" type="bit"> + <ipxact:name>MEM_LPDDR3_DM_EN</ipxact:name> + <ipxact:displayName>Enable DM pins</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_ROW_ADDR_WIDTH" type="int"> + <ipxact:name>MEM_LPDDR3_ROW_ADDR_WIDTH</ipxact:name> + <ipxact:displayName>Row address width</ipxact:displayName> + <ipxact:value>15</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_COL_ADDR_WIDTH" type="int"> + <ipxact:name>MEM_LPDDR3_COL_ADDR_WIDTH</ipxact:name> + <ipxact:displayName>Column address width</ipxact:displayName> + <ipxact:value>10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_BANK_ADDR_WIDTH" type="int"> + <ipxact:name>MEM_LPDDR3_BANK_ADDR_WIDTH</ipxact:name> + <ipxact:displayName>Bank address width</ipxact:displayName> + <ipxact:value>3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_DQS_WIDTH" type="int"> + <ipxact:name>MEM_LPDDR3_DQS_WIDTH</ipxact:name> + <ipxact:displayName>Number of DQS groups</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_DM_WIDTH" type="int"> + <ipxact:name>MEM_LPDDR3_DM_WIDTH</ipxact:name> + <ipxact:displayName>PARAM_MEM_LPDDR3_DM_WIDTH_NAME</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_CS_WIDTH" type="int"> + <ipxact:name>MEM_LPDDR3_CS_WIDTH</ipxact:name> + <ipxact:displayName>PARAM_MEM_LPDDR3_CS_WIDTH_NAME</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_CKE_WIDTH" type="int"> + <ipxact:name>MEM_LPDDR3_CKE_WIDTH</ipxact:name> + <ipxact:displayName>PARAM_MEM_LPDDR3_CKE_WIDTH_NAME</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_ODT_WIDTH" type="int"> + <ipxact:name>MEM_LPDDR3_ODT_WIDTH</ipxact:name> + <ipxact:displayName>PARAM_MEM_LPDDR3_ODT_WIDTH_NAME</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_ADDR_WIDTH" type="int"> + <ipxact:name>MEM_LPDDR3_ADDR_WIDTH</ipxact:name> + <ipxact:displayName>PARAM_MEM_LPDDR3_ADDR_WIDTH_NAME</ipxact:displayName> + <ipxact:value>10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_DQ_PER_DQS" type="int"> + <ipxact:name>MEM_LPDDR3_DQ_PER_DQS</ipxact:name> + <ipxact:displayName>PARAM_MEM_LPDDR3_DQ_PER_DQS_NAME</ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_FORMAT_ENUM" type="string"> + <ipxact:name>MEM_LPDDR3_FORMAT_ENUM</ipxact:name> + <ipxact:displayName>PARAM_MEM_LPDDR3_FORMAT_ENUM_NAME</ipxact:displayName> + <ipxact:value>MEM_FORMAT_DISCRETE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_MR1" type="int"> + <ipxact:name>MEM_LPDDR3_MR1</ipxact:name> + <ipxact:displayName>PARAM_MEM_LPDDR3_MR1_NAME</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_MR2" type="int"> + <ipxact:name>MEM_LPDDR3_MR2</ipxact:name> + <ipxact:displayName>PARAM_MEM_LPDDR3_MR2_NAME</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_MR3" type="int"> + <ipxact:name>MEM_LPDDR3_MR3</ipxact:name> + <ipxact:displayName>PARAM_MEM_LPDDR3_MR3_NAME</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_MR11" type="int"> + <ipxact:name>MEM_LPDDR3_MR11</ipxact:name> + <ipxact:displayName>PARAM_MEM_LPDDR3_MR11_NAME</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_BL" type="string"> + <ipxact:name>MEM_LPDDR3_BL</ipxact:name> + <ipxact:displayName>Burst length</ipxact:displayName> + <ipxact:value>LPDDR3_BL_BL8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_DATA_LATENCY" type="string"> + <ipxact:name>MEM_LPDDR3_DATA_LATENCY</ipxact:name> + <ipxact:displayName>Data latency</ipxact:displayName> + <ipxact:value>LPDDR3_DL_RL12_WL6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_DRV_STR" type="string"> + <ipxact:name>MEM_LPDDR3_DRV_STR</ipxact:name> + <ipxact:displayName>Output drive strength setting</ipxact:displayName> + <ipxact:value>LPDDR3_DRV_STR_40D_40U</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_DQODT" type="string"> + <ipxact:name>MEM_LPDDR3_DQODT</ipxact:name> + <ipxact:displayName>DQ ODT</ipxact:displayName> + <ipxact:value>LPDDR3_DQODT_DISABLE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_PDODT" type="string"> + <ipxact:name>MEM_LPDDR3_PDODT</ipxact:name> + <ipxact:displayName>Power down ODT</ipxact:displayName> + <ipxact:value>LPDDR3_PDODT_DISABLED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_WLSELECT" type="string"> + <ipxact:name>MEM_LPDDR3_WLSELECT</ipxact:name> + <ipxact:displayName>WL set</ipxact:displayName> + <ipxact:value>Set A</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_NWR" type="string"> + <ipxact:name>MEM_LPDDR3_NWR</ipxact:name> + <ipxact:displayName>nWR cycles</ipxact:displayName> + <ipxact:value>LPDDR3_NWR_NWR12</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_NUM_OF_LOGICAL_RANKS" type="int"> + <ipxact:name>MEM_LPDDR3_NUM_OF_LOGICAL_RANKS</ipxact:name> + <ipxact:displayName>PARAM_MEM_LPDDR3_NUM_OF_LOGICAL_RANKS_NAME</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_NUM_OF_PHYSICAL_RANKS" type="int"> + <ipxact:name>MEM_LPDDR3_NUM_OF_PHYSICAL_RANKS</ipxact:name> + <ipxact:displayName>PARAM_MEM_LPDDR3_NUM_OF_PHYSICAL_RANKS_NAME</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_USE_DEFAULT_ODT" type="bit"> + <ipxact:name>MEM_LPDDR3_USE_DEFAULT_ODT</ipxact:name> + <ipxact:displayName>Use Default ODT Assertion Tables</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_R_ODTN_1X1" type="string"> + <ipxact:name>MEM_LPDDR3_R_ODTN_1X1</ipxact:name> + <ipxact:displayName>Read Target</ipxact:displayName> + <ipxact:value>Rank 0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_R_ODT0_1X1" type="string"> + <ipxact:name>MEM_LPDDR3_R_ODT0_1X1</ipxact:name> + <ipxact:displayName>ODT0</ipxact:displayName> + <ipxact:value>off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_W_ODTN_1X1" type="string"> + <ipxact:name>MEM_LPDDR3_W_ODTN_1X1</ipxact:name> + <ipxact:displayName>Write Target</ipxact:displayName> + <ipxact:value>Rank 0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_W_ODT0_1X1" type="string"> + <ipxact:name>MEM_LPDDR3_W_ODT0_1X1</ipxact:name> + <ipxact:displayName>ODT0</ipxact:displayName> + <ipxact:value>on</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_R_ODTN_2X2" type="string"> + <ipxact:name>MEM_LPDDR3_R_ODTN_2X2</ipxact:name> + <ipxact:displayName>Read Target</ipxact:displayName> + <ipxact:value>Rank 0,Rank 1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_R_ODT0_2X2" type="string"> + <ipxact:name>MEM_LPDDR3_R_ODT0_2X2</ipxact:name> + <ipxact:displayName>ODT0</ipxact:displayName> + <ipxact:value>off,off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_R_ODT1_2X2" type="string"> + <ipxact:name>MEM_LPDDR3_R_ODT1_2X2</ipxact:name> + <ipxact:displayName>ODT1</ipxact:displayName> + <ipxact:value>off,off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_W_ODTN_2X2" type="string"> + <ipxact:name>MEM_LPDDR3_W_ODTN_2X2</ipxact:name> + <ipxact:displayName>Write Target</ipxact:displayName> + <ipxact:value>Rank 0,Rank 1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_W_ODT0_2X2" type="string"> + <ipxact:name>MEM_LPDDR3_W_ODT0_2X2</ipxact:name> + <ipxact:displayName>ODT0</ipxact:displayName> + <ipxact:value>on,on</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_W_ODT1_2X2" type="string"> + <ipxact:name>MEM_LPDDR3_W_ODT1_2X2</ipxact:name> + <ipxact:displayName>ODT1</ipxact:displayName> + <ipxact:value>off,off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_R_ODTN_4X4" type="string"> + <ipxact:name>MEM_LPDDR3_R_ODTN_4X4</ipxact:name> + <ipxact:displayName>Read Target</ipxact:displayName> + <ipxact:value>Rank 0,Rank 1,Rank 2,Rank 3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_R_ODT0_4X4" type="string"> + <ipxact:name>MEM_LPDDR3_R_ODT0_4X4</ipxact:name> + <ipxact:displayName>ODT0</ipxact:displayName> + <ipxact:value>off,off,off,off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_R_ODT1_4X4" type="string"> + <ipxact:name>MEM_LPDDR3_R_ODT1_4X4</ipxact:name> + <ipxact:displayName>ODT1</ipxact:displayName> + <ipxact:value>off,off,off,off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_R_ODT2_4X4" type="string"> + <ipxact:name>MEM_LPDDR3_R_ODT2_4X4</ipxact:name> + <ipxact:displayName>ODT2</ipxact:displayName> + <ipxact:value>off,off,off,off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_R_ODT3_4X4" type="string"> + <ipxact:name>MEM_LPDDR3_R_ODT3_4X4</ipxact:name> + <ipxact:displayName>ODT3</ipxact:displayName> + <ipxact:value>off,off,off,off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_W_ODTN_4X4" type="string"> + <ipxact:name>MEM_LPDDR3_W_ODTN_4X4</ipxact:name> + <ipxact:displayName>Write Target</ipxact:displayName> + <ipxact:value>Rank 0,Rank 1,Rank 2,Rank 3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_W_ODT0_4X4" type="string"> + <ipxact:name>MEM_LPDDR3_W_ODT0_4X4</ipxact:name> + <ipxact:displayName>ODT0</ipxact:displayName> + <ipxact:value>on,on,on,on</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_W_ODT1_4X4" type="string"> + <ipxact:name>MEM_LPDDR3_W_ODT1_4X4</ipxact:name> + <ipxact:displayName>ODT1</ipxact:displayName> + <ipxact:value>off,off,off,off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_W_ODT2_4X4" type="string"> + <ipxact:name>MEM_LPDDR3_W_ODT2_4X4</ipxact:name> + <ipxact:displayName>ODT2</ipxact:displayName> + <ipxact:value>off,off,off,off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_W_ODT3_4X4" type="string"> + <ipxact:name>MEM_LPDDR3_W_ODT3_4X4</ipxact:name> + <ipxact:displayName>ODT3</ipxact:displayName> + <ipxact:value>off,off,off,off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_R_DERIVED_ODTN" type="string"> + <ipxact:name>MEM_LPDDR3_R_DERIVED_ODTN</ipxact:name> + <ipxact:displayName>Read Target</ipxact:displayName> + <ipxact:value>,,</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_R_DERIVED_ODT0" type="string"> + <ipxact:name>MEM_LPDDR3_R_DERIVED_ODT0</ipxact:name> + <ipxact:displayName>ODT0 Value</ipxact:displayName> + <ipxact:value>,,</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_R_DERIVED_ODT1" type="string"> + <ipxact:name>MEM_LPDDR3_R_DERIVED_ODT1</ipxact:name> + <ipxact:displayName>ODT1 Value</ipxact:displayName> + <ipxact:value>,,</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_R_DERIVED_ODT2" type="string"> + <ipxact:name>MEM_LPDDR3_R_DERIVED_ODT2</ipxact:name> + <ipxact:displayName>ODT2 Value</ipxact:displayName> + <ipxact:value>,,</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_R_DERIVED_ODT3" type="string"> + <ipxact:name>MEM_LPDDR3_R_DERIVED_ODT3</ipxact:name> + <ipxact:displayName>ODT3 Value</ipxact:displayName> + <ipxact:value>,,</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_W_DERIVED_ODTN" type="string"> + <ipxact:name>MEM_LPDDR3_W_DERIVED_ODTN</ipxact:name> + <ipxact:displayName>Write Target</ipxact:displayName> + <ipxact:value>,,</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_W_DERIVED_ODT0" type="string"> + <ipxact:name>MEM_LPDDR3_W_DERIVED_ODT0</ipxact:name> + <ipxact:displayName>ODT0 Value</ipxact:displayName> + <ipxact:value>,,</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_W_DERIVED_ODT1" type="string"> + <ipxact:name>MEM_LPDDR3_W_DERIVED_ODT1</ipxact:name> + <ipxact:displayName>ODT1 Value</ipxact:displayName> + <ipxact:value>,,</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_W_DERIVED_ODT2" type="string"> + <ipxact:name>MEM_LPDDR3_W_DERIVED_ODT2</ipxact:name> + <ipxact:displayName>ODT2 Value</ipxact:displayName> + <ipxact:value>,,</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_W_DERIVED_ODT3" type="string"> + <ipxact:name>MEM_LPDDR3_W_DERIVED_ODT3</ipxact:name> + <ipxact:displayName>ODT3 Value</ipxact:displayName> + <ipxact:value>,,</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_SEQ_ODT_TABLE_LO" type="int"> + <ipxact:name>MEM_LPDDR3_SEQ_ODT_TABLE_LO</ipxact:name> + <ipxact:displayName>PARAM_MEM_LPDDR3_SEQ_ODT_TABLE_LO_NAME</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_SEQ_ODT_TABLE_HI" type="int"> + <ipxact:name>MEM_LPDDR3_SEQ_ODT_TABLE_HI</ipxact:name> + <ipxact:displayName>PARAM_MEM_LPDDR3_SEQ_ODT_TABLE_HI_NAME</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_CTRL_CFG_READ_ODT_CHIP" type="int"> + <ipxact:name>MEM_LPDDR3_CTRL_CFG_READ_ODT_CHIP</ipxact:name> + <ipxact:displayName>PARAM_MEM_LPDDR3_CTRL_CFG_READ_ODT_CHIP_NAME</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_CTRL_CFG_WRITE_ODT_CHIP" type="int"> + <ipxact:name>MEM_LPDDR3_CTRL_CFG_WRITE_ODT_CHIP</ipxact:name> + <ipxact:displayName>PARAM_MEM_LPDDR3_CTRL_CFG_WRITE_ODT_CHIP_NAME</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_CTRL_CFG_READ_ODT_RANK" type="int"> + <ipxact:name>MEM_LPDDR3_CTRL_CFG_READ_ODT_RANK</ipxact:name> + <ipxact:displayName>PARAM_MEM_LPDDR3_CTRL_CFG_READ_ODT_RANK_NAME</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_CTRL_CFG_WRITE_ODT_RANK" type="int"> + <ipxact:name>MEM_LPDDR3_CTRL_CFG_WRITE_ODT_RANK</ipxact:name> + <ipxact:displayName>PARAM_MEM_LPDDR3_CTRL_CFG_WRITE_ODT_RANK_NAME</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_SPEEDBIN_ENUM" type="string"> + <ipxact:name>MEM_LPDDR3_SPEEDBIN_ENUM</ipxact:name> + <ipxact:displayName>Speed bin</ipxact:displayName> + <ipxact:value>LPDDR3_SPEEDBIN_1600</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_TIS_PS" type="int"> + <ipxact:name>MEM_LPDDR3_TIS_PS</ipxact:name> + <ipxact:displayName>tISCA (base)</ipxact:displayName> + <ipxact:value>75</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_TIS_AC_MV" type="int"> + <ipxact:name>MEM_LPDDR3_TIS_AC_MV</ipxact:name> + <ipxact:displayName>tISCA (base) AC level</ipxact:displayName> + <ipxact:value>150</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_TIH_PS" type="int"> + <ipxact:name>MEM_LPDDR3_TIH_PS</ipxact:name> + <ipxact:displayName>tIHCA (base)</ipxact:displayName> + <ipxact:value>100</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_TIH_DC_MV" type="int"> + <ipxact:name>MEM_LPDDR3_TIH_DC_MV</ipxact:name> + <ipxact:displayName>tIHCA (base) DC level</ipxact:displayName> + <ipxact:value>100</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_TDS_PS" type="int"> + <ipxact:name>MEM_LPDDR3_TDS_PS</ipxact:name> + <ipxact:displayName>tDS (base)</ipxact:displayName> + <ipxact:value>75</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_TDS_AC_MV" type="int"> + <ipxact:name>MEM_LPDDR3_TDS_AC_MV</ipxact:name> + <ipxact:displayName>tDS (base) AC level</ipxact:displayName> + <ipxact:value>150</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_TDH_PS" type="int"> + <ipxact:name>MEM_LPDDR3_TDH_PS</ipxact:name> + <ipxact:displayName>tDH (base)</ipxact:displayName> + <ipxact:value>100</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_TDH_DC_MV" type="int"> + <ipxact:name>MEM_LPDDR3_TDH_DC_MV</ipxact:name> + <ipxact:displayName>tDH (base) DC level</ipxact:displayName> + <ipxact:value>100</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_TDQSQ_PS" type="int"> + <ipxact:name>MEM_LPDDR3_TDQSQ_PS</ipxact:name> + <ipxact:displayName>tDQSQ</ipxact:displayName> + <ipxact:value>135</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_TQH_CYC" type="real"> + <ipxact:name>MEM_LPDDR3_TQH_CYC</ipxact:name> + <ipxact:displayName>tQH</ipxact:displayName> + <ipxact:value>0.38</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_TDQSCKDL" type="int"> + <ipxact:name>MEM_LPDDR3_TDQSCKDL</ipxact:name> + <ipxact:displayName>tDQSCKDL</ipxact:displayName> + <ipxact:value>614</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_TDQSS_CYC" type="real"> + <ipxact:name>MEM_LPDDR3_TDQSS_CYC</ipxact:name> + <ipxact:displayName>tDQSS (max)</ipxact:displayName> + <ipxact:value>1.25</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_TQSH_CYC" type="real"> + <ipxact:name>MEM_LPDDR3_TQSH_CYC</ipxact:name> + <ipxact:displayName>tQSH</ipxact:displayName> + <ipxact:value>0.38</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_TDSH_CYC" type="real"> + <ipxact:name>MEM_LPDDR3_TDSH_CYC</ipxact:name> + <ipxact:displayName>tDSH</ipxact:displayName> + <ipxact:value>0.2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_TWLS_PS" type="real"> + <ipxact:name>MEM_LPDDR3_TWLS_PS</ipxact:name> + <ipxact:displayName>tWLS</ipxact:displayName> + <ipxact:value>175.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_TWLH_PS" type="real"> + <ipxact:name>MEM_LPDDR3_TWLH_PS</ipxact:name> + <ipxact:displayName>tWLH</ipxact:displayName> + <ipxact:value>175.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_TDSS_CYC" type="real"> + <ipxact:name>MEM_LPDDR3_TDSS_CYC</ipxact:name> + <ipxact:displayName>tDSS</ipxact:displayName> + <ipxact:value>0.2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_TINIT_US" type="int"> + <ipxact:name>MEM_LPDDR3_TINIT_US</ipxact:name> + <ipxact:displayName>tINIT</ipxact:displayName> + <ipxact:value>500</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_TMRR_CK_CYC" type="int"> + <ipxact:name>MEM_LPDDR3_TMRR_CK_CYC</ipxact:name> + <ipxact:displayName>tMRR</ipxact:displayName> + <ipxact:value>4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_TMRW_CK_CYC" type="int"> + <ipxact:name>MEM_LPDDR3_TMRW_CK_CYC</ipxact:name> + <ipxact:displayName>tMRW</ipxact:displayName> + <ipxact:value>10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_TRAS_NS" type="real"> + <ipxact:name>MEM_LPDDR3_TRAS_NS</ipxact:name> + <ipxact:displayName>tRAS</ipxact:displayName> + <ipxact:value>42.5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_TRCD_NS" type="real"> + <ipxact:name>MEM_LPDDR3_TRCD_NS</ipxact:name> + <ipxact:displayName>tRCD</ipxact:displayName> + <ipxact:value>18.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_TRP_NS" type="real"> + <ipxact:name>MEM_LPDDR3_TRP_NS</ipxact:name> + <ipxact:displayName>tRPpb</ipxact:displayName> + <ipxact:value>18.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_TREFI_US" type="real"> + <ipxact:name>MEM_LPDDR3_TREFI_US</ipxact:name> + <ipxact:displayName>tREFI</ipxact:displayName> + <ipxact:value>3.9</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_TRFC_NS" type="real"> + <ipxact:name>MEM_LPDDR3_TRFC_NS</ipxact:name> + <ipxact:displayName>tRFCab</ipxact:displayName> + <ipxact:value>210.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_TWR_NS" type="real"> + <ipxact:name>MEM_LPDDR3_TWR_NS</ipxact:name> + <ipxact:displayName>tWR</ipxact:displayName> + <ipxact:value>15.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_TWTR_CYC" type="int"> + <ipxact:name>MEM_LPDDR3_TWTR_CYC</ipxact:name> + <ipxact:displayName>tWTR</ipxact:displayName> + <ipxact:value>6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_TFAW_NS" type="real"> + <ipxact:name>MEM_LPDDR3_TFAW_NS</ipxact:name> + <ipxact:displayName>tFAW</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_TRRD_CYC" type="int"> + <ipxact:name>MEM_LPDDR3_TRRD_CYC</ipxact:name> + <ipxact:displayName>tRRD</ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_TRTP_CYC" type="int"> + <ipxact:name>MEM_LPDDR3_TRTP_CYC</ipxact:name> + <ipxact:displayName>tRTP</ipxact:displayName> + <ipxact:value>6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_TINIT_CK" type="int"> + <ipxact:name>MEM_LPDDR3_TINIT_CK</ipxact:name> + <ipxact:displayName>PARAM_MEM_LPDDR3_TINIT_CK_NAME</ipxact:displayName> + <ipxact:value>499</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_TDQSCK_DERV_PS" type="int"> + <ipxact:name>MEM_LPDDR3_TDQSCK_DERV_PS</ipxact:name> + <ipxact:displayName>PARAM_MEM_LPDDR3_TDQSCK_DERV_PS_NAME</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_TDQSCKDS" type="int"> + <ipxact:name>MEM_LPDDR3_TDQSCKDS</ipxact:name> + <ipxact:displayName>tDQSCKDS</ipxact:displayName> + <ipxact:value>220</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_TDQSCKDM" type="int"> + <ipxact:name>MEM_LPDDR3_TDQSCKDM</ipxact:name> + <ipxact:displayName>tDQSCKDM</ipxact:displayName> + <ipxact:value>511</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_TDQSCK_PS" type="int"> + <ipxact:name>MEM_LPDDR3_TDQSCK_PS</ipxact:name> + <ipxact:displayName>tDQSCK</ipxact:displayName> + <ipxact:value>5500</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_TRAS_CYC" type="int"> + <ipxact:name>MEM_LPDDR3_TRAS_CYC</ipxact:name> + <ipxact:displayName>PARAM_MEM_LPDDR3_TRAS_CYC_NAME</ipxact:displayName> + <ipxact:value>34</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_TRCD_CYC" type="int"> + <ipxact:name>MEM_LPDDR3_TRCD_CYC</ipxact:name> + <ipxact:displayName>PARAM_MEM_LPDDR3_TRCD_CYC_NAME</ipxact:displayName> + <ipxact:value>17</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_TRP_CYC" type="int"> + <ipxact:name>MEM_LPDDR3_TRP_CYC</ipxact:name> + <ipxact:displayName>PARAM_MEM_LPDDR3_TRP_CYC_NAME</ipxact:displayName> + <ipxact:value>17</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_TRFC_CYC" type="int"> + <ipxact:name>MEM_LPDDR3_TRFC_CYC</ipxact:name> + <ipxact:displayName>PARAM_MEM_LPDDR3_TRFC_CYC_NAME</ipxact:displayName> + <ipxact:value>168</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_TWR_CYC" type="int"> + <ipxact:name>MEM_LPDDR3_TWR_CYC</ipxact:name> + <ipxact:displayName>PARAM_MEM_LPDDR3_TWR_CYC_NAME</ipxact:displayName> + <ipxact:value>12</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_TFAW_CYC" type="int"> + <ipxact:name>MEM_LPDDR3_TFAW_CYC</ipxact:name> + <ipxact:displayName>PARAM_MEM_LPDDR3_TFAW_CYC_NAME</ipxact:displayName> + <ipxact:value>40</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_TREFI_CYC" type="int"> + <ipxact:name>MEM_LPDDR3_TREFI_CYC</ipxact:name> + <ipxact:displayName>PARAM_MEM_LPDDR3_TREFI_CYC_NAME</ipxact:displayName> + <ipxact:value>3120</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_TRL_CYC" type="int"> + <ipxact:name>MEM_LPDDR3_TRL_CYC</ipxact:name> + <ipxact:displayName>PARAM_MEM_LPDDR3_TRL_CYC_NAME</ipxact:displayName> + <ipxact:value>10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_LPDDR3_TWL_CYC" type="int"> + <ipxact:name>MEM_LPDDR3_TWL_CYC</ipxact:name> + <ipxact:displayName>PARAM_MEM_LPDDR3_TWL_CYC_NAME</ipxact:displayName> + <ipxact:value>6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR3_USE_DEFAULT_SLEW_RATES" type="bit"> + <ipxact:name>BOARD_DDR3_USE_DEFAULT_SLEW_RATES</ipxact:name> + <ipxact:displayName>Use default slew rates</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR3_USE_DEFAULT_ISI_VALUES" type="bit"> + <ipxact:name>BOARD_DDR3_USE_DEFAULT_ISI_VALUES</ipxact:name> + <ipxact:displayName>Use default ISI/crosstalk values</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR3_USER_CK_SLEW_RATE" type="real"> + <ipxact:name>BOARD_DDR3_USER_CK_SLEW_RATE</ipxact:name> + <ipxact:displayName>CK/CK# slew rate (Differential)</ipxact:displayName> + <ipxact:value>4.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR3_USER_AC_SLEW_RATE" type="real"> + <ipxact:name>BOARD_DDR3_USER_AC_SLEW_RATE</ipxact:name> + <ipxact:displayName>Address and command slew rate</ipxact:displayName> + <ipxact:value>2.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR3_USER_RCLK_SLEW_RATE" type="real"> + <ipxact:name>BOARD_DDR3_USER_RCLK_SLEW_RATE</ipxact:name> + <ipxact:displayName>Read DQS/DQS# slew rate (Differential)</ipxact:displayName> + <ipxact:value>5.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR3_USER_WCLK_SLEW_RATE" type="real"> + <ipxact:name>BOARD_DDR3_USER_WCLK_SLEW_RATE</ipxact:name> + <ipxact:displayName>Write DQS/DQS# slew rate (Differential)</ipxact:displayName> + <ipxact:value>4.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR3_USER_RDATA_SLEW_RATE" type="real"> + <ipxact:name>BOARD_DDR3_USER_RDATA_SLEW_RATE</ipxact:name> + <ipxact:displayName>Read DQ slew rate</ipxact:displayName> + <ipxact:value>2.5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR3_USER_WDATA_SLEW_RATE" type="real"> + <ipxact:name>BOARD_DDR3_USER_WDATA_SLEW_RATE</ipxact:name> + <ipxact:displayName>Write DQ slew rate</ipxact:displayName> + <ipxact:value>2.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR3_USER_AC_ISI_NS" type="real"> + <ipxact:name>BOARD_DDR3_USER_AC_ISI_NS</ipxact:name> + <ipxact:displayName>Address and command ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR3_USER_RCLK_ISI_NS" type="real"> + <ipxact:name>BOARD_DDR3_USER_RCLK_ISI_NS</ipxact:name> + <ipxact:displayName>Read DQS/DQS# ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR3_USER_WCLK_ISI_NS" type="real"> + <ipxact:name>BOARD_DDR3_USER_WCLK_ISI_NS</ipxact:name> + <ipxact:displayName>Write DQS/DQS# ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR3_USER_RDATA_ISI_NS" type="real"> + <ipxact:name>BOARD_DDR3_USER_RDATA_ISI_NS</ipxact:name> + <ipxact:displayName>Read DQ ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR3_USER_WDATA_ISI_NS" type="real"> + <ipxact:name>BOARD_DDR3_USER_WDATA_ISI_NS</ipxact:name> + <ipxact:displayName>Write DQ ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR3_IS_SKEW_WITHIN_DQS_DESKEWED" type="bit"> + <ipxact:name>BOARD_DDR3_IS_SKEW_WITHIN_DQS_DESKEWED</ipxact:name> + <ipxact:displayName>Package deskewed with board layout (DQS group)</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR3_BRD_SKEW_WITHIN_DQS_NS" type="real"> + <ipxact:name>BOARD_DDR3_BRD_SKEW_WITHIN_DQS_NS</ipxact:name> + <ipxact:displayName>Maximum board skew within DQS group</ipxact:displayName> + <ipxact:value>0.02</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR3_PKG_BRD_SKEW_WITHIN_DQS_NS" type="real"> + <ipxact:name>BOARD_DDR3_PKG_BRD_SKEW_WITHIN_DQS_NS</ipxact:name> + <ipxact:displayName>Maximum system skew within DQS group</ipxact:displayName> + <ipxact:value>0.02</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR3_IS_SKEW_WITHIN_AC_DESKEWED" type="bit"> + <ipxact:name>BOARD_DDR3_IS_SKEW_WITHIN_AC_DESKEWED</ipxact:name> + <ipxact:displayName>Package deskewed with board layout (address/command bus)</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR3_BRD_SKEW_WITHIN_AC_NS" type="real"> + <ipxact:name>BOARD_DDR3_BRD_SKEW_WITHIN_AC_NS</ipxact:name> + <ipxact:displayName>Maximum board skew within address/command bus</ipxact:displayName> + <ipxact:value>0.02</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR3_PKG_BRD_SKEW_WITHIN_AC_NS" type="real"> + <ipxact:name>BOARD_DDR3_PKG_BRD_SKEW_WITHIN_AC_NS</ipxact:name> + <ipxact:displayName>Maximum system skew within address/command bus</ipxact:displayName> + <ipxact:value>0.02</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR3_DQS_TO_CK_SKEW_NS" type="real"> + <ipxact:name>BOARD_DDR3_DQS_TO_CK_SKEW_NS</ipxact:name> + <ipxact:displayName>Average delay difference between DQS and CK</ipxact:displayName> + <ipxact:value>0.02</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR3_SKEW_BETWEEN_DIMMS_NS" type="real"> + <ipxact:name>BOARD_DDR3_SKEW_BETWEEN_DIMMS_NS</ipxact:name> + <ipxact:displayName>Maximum delay difference between DIMMs/devices</ipxact:displayName> + <ipxact:value>0.05</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR3_SKEW_BETWEEN_DQS_NS" type="real"> + <ipxact:name>BOARD_DDR3_SKEW_BETWEEN_DQS_NS</ipxact:name> + <ipxact:displayName>Maximum skew between DQS groups</ipxact:displayName> + <ipxact:value>0.02</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR3_AC_TO_CK_SKEW_NS" type="real"> + <ipxact:name>BOARD_DDR3_AC_TO_CK_SKEW_NS</ipxact:name> + <ipxact:displayName>Average delay difference between address/command and CK</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR3_MAX_CK_DELAY_NS" type="real"> + <ipxact:name>BOARD_DDR3_MAX_CK_DELAY_NS</ipxact:name> + <ipxact:displayName>Maximum CK delay to DIMM/device</ipxact:displayName> + <ipxact:value>0.6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR3_MAX_DQS_DELAY_NS" type="real"> + <ipxact:name>BOARD_DDR3_MAX_DQS_DELAY_NS</ipxact:name> + <ipxact:displayName>Maximum DQS delay to DIMM/device</ipxact:displayName> + <ipxact:value>0.6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR3_TIS_DERATING_PS" type="int"> + <ipxact:name>BOARD_DDR3_TIS_DERATING_PS</ipxact:name> + <ipxact:displayName>PARAM_BOARD_DDR3_TIS_DERATING_PS_NAME</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR3_TIH_DERATING_PS" type="int"> + <ipxact:name>BOARD_DDR3_TIH_DERATING_PS</ipxact:name> + <ipxact:displayName>PARAM_BOARD_DDR3_TIH_DERATING_PS_NAME</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR3_TDS_DERATING_PS" type="int"> + <ipxact:name>BOARD_DDR3_TDS_DERATING_PS</ipxact:name> + <ipxact:displayName>PARAM_BOARD_DDR3_TDS_DERATING_PS_NAME</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR3_TDH_DERATING_PS" type="int"> + <ipxact:name>BOARD_DDR3_TDH_DERATING_PS</ipxact:name> + <ipxact:displayName>PARAM_BOARD_DDR3_TDH_DERATING_PS_NAME</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR3_CK_SLEW_RATE" type="real"> + <ipxact:name>BOARD_DDR3_CK_SLEW_RATE</ipxact:name> + <ipxact:displayName>CK/CK# slew rate (Differential)</ipxact:displayName> + <ipxact:value>4.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR3_AC_SLEW_RATE" type="real"> + <ipxact:name>BOARD_DDR3_AC_SLEW_RATE</ipxact:name> + <ipxact:displayName>Address and command slew rate</ipxact:displayName> + <ipxact:value>2.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR3_RCLK_SLEW_RATE" type="real"> + <ipxact:name>BOARD_DDR3_RCLK_SLEW_RATE</ipxact:name> + <ipxact:displayName>Read DQS/DQS# slew rate (Differential)</ipxact:displayName> + <ipxact:value>5.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR3_WCLK_SLEW_RATE" type="real"> + <ipxact:name>BOARD_DDR3_WCLK_SLEW_RATE</ipxact:name> + <ipxact:displayName>Write DQS/DQS# slew rate (Differential)</ipxact:displayName> + <ipxact:value>4.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR3_RDATA_SLEW_RATE" type="real"> + <ipxact:name>BOARD_DDR3_RDATA_SLEW_RATE</ipxact:name> + <ipxact:displayName>Read DQ slew rate</ipxact:displayName> + <ipxact:value>2.5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR3_WDATA_SLEW_RATE" type="real"> + <ipxact:name>BOARD_DDR3_WDATA_SLEW_RATE</ipxact:name> + <ipxact:displayName>Write DQ slew rate</ipxact:displayName> + <ipxact:value>2.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR3_AC_ISI_NS" type="real"> + <ipxact:name>BOARD_DDR3_AC_ISI_NS</ipxact:name> + <ipxact:displayName>Address and command ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR3_RCLK_ISI_NS" type="real"> + <ipxact:name>BOARD_DDR3_RCLK_ISI_NS</ipxact:name> + <ipxact:displayName>Read DQS/DQS# ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR3_WCLK_ISI_NS" type="real"> + <ipxact:name>BOARD_DDR3_WCLK_ISI_NS</ipxact:name> + <ipxact:displayName>Write DQS/DQS# ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR3_RDATA_ISI_NS" type="real"> + <ipxact:name>BOARD_DDR3_RDATA_ISI_NS</ipxact:name> + <ipxact:displayName>Read DQ ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR3_WDATA_ISI_NS" type="real"> + <ipxact:name>BOARD_DDR3_WDATA_ISI_NS</ipxact:name> + <ipxact:displayName>Write DQ ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR3_SKEW_WITHIN_DQS_NS" type="real"> + <ipxact:name>BOARD_DDR3_SKEW_WITHIN_DQS_NS</ipxact:name> + <ipxact:displayName>PARAM_BOARD_DDR3_SKEW_WITHIN_DQS_NS_NAME</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR3_SKEW_WITHIN_AC_NS" type="real"> + <ipxact:name>BOARD_DDR3_SKEW_WITHIN_AC_NS</ipxact:name> + <ipxact:displayName>PARAM_BOARD_DDR3_SKEW_WITHIN_AC_NS_NAME</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR4_USE_DEFAULT_SLEW_RATES" type="bit"> + <ipxact:name>BOARD_DDR4_USE_DEFAULT_SLEW_RATES</ipxact:name> + <ipxact:displayName>Use default slew rates</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR4_USE_DEFAULT_ISI_VALUES" type="bit"> + <ipxact:name>BOARD_DDR4_USE_DEFAULT_ISI_VALUES</ipxact:name> + <ipxact:displayName>Use default ISI/crosstalk values</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR4_USER_CK_SLEW_RATE" type="real"> + <ipxact:name>BOARD_DDR4_USER_CK_SLEW_RATE</ipxact:name> + <ipxact:displayName>CK/CK# slew rate (Differential)</ipxact:displayName> + <ipxact:value>4.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR4_USER_AC_SLEW_RATE" type="real"> + <ipxact:name>BOARD_DDR4_USER_AC_SLEW_RATE</ipxact:name> + <ipxact:displayName>Address and command slew rate</ipxact:displayName> + <ipxact:value>2.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR4_USER_RCLK_SLEW_RATE" type="real"> + <ipxact:name>BOARD_DDR4_USER_RCLK_SLEW_RATE</ipxact:name> + <ipxact:displayName>Read DQS/DQS# slew rate (Differential)</ipxact:displayName> + <ipxact:value>8.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR4_USER_WCLK_SLEW_RATE" type="real"> + <ipxact:name>BOARD_DDR4_USER_WCLK_SLEW_RATE</ipxact:name> + <ipxact:displayName>Write DQS/DQS# slew rate (Differential)</ipxact:displayName> + <ipxact:value>4.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR4_USER_RDATA_SLEW_RATE" type="real"> + <ipxact:name>BOARD_DDR4_USER_RDATA_SLEW_RATE</ipxact:name> + <ipxact:displayName>Read DQ slew rate</ipxact:displayName> + <ipxact:value>4.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR4_USER_WDATA_SLEW_RATE" type="real"> + <ipxact:name>BOARD_DDR4_USER_WDATA_SLEW_RATE</ipxact:name> + <ipxact:displayName>Write DQ slew rate</ipxact:displayName> + <ipxact:value>2.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR4_USER_AC_ISI_NS" type="real"> + <ipxact:name>BOARD_DDR4_USER_AC_ISI_NS</ipxact:name> + <ipxact:displayName>Address and command ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR4_USER_RCLK_ISI_NS" type="real"> + <ipxact:name>BOARD_DDR4_USER_RCLK_ISI_NS</ipxact:name> + <ipxact:displayName>Read DQS/DQS# ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR4_USER_WCLK_ISI_NS" type="real"> + <ipxact:name>BOARD_DDR4_USER_WCLK_ISI_NS</ipxact:name> + <ipxact:displayName>Write DQS/DQS# ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR4_USER_RDATA_ISI_NS" type="real"> + <ipxact:name>BOARD_DDR4_USER_RDATA_ISI_NS</ipxact:name> + <ipxact:displayName>Read DQ ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR4_USER_WDATA_ISI_NS" type="real"> + <ipxact:name>BOARD_DDR4_USER_WDATA_ISI_NS</ipxact:name> + <ipxact:displayName>Write DQ ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR4_IS_SKEW_WITHIN_DQS_DESKEWED" type="bit"> + <ipxact:name>BOARD_DDR4_IS_SKEW_WITHIN_DQS_DESKEWED</ipxact:name> + <ipxact:displayName>Package deskewed with board layout (DQS group)</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR4_BRD_SKEW_WITHIN_DQS_NS" type="real"> + <ipxact:name>BOARD_DDR4_BRD_SKEW_WITHIN_DQS_NS</ipxact:name> + <ipxact:displayName>Maximum board skew within DQS group</ipxact:displayName> + <ipxact:value>0.02</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR4_PKG_BRD_SKEW_WITHIN_DQS_NS" type="real"> + <ipxact:name>BOARD_DDR4_PKG_BRD_SKEW_WITHIN_DQS_NS</ipxact:name> + <ipxact:displayName>Maximum system skew within DQS group</ipxact:displayName> + <ipxact:value>0.02</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR4_IS_SKEW_WITHIN_AC_DESKEWED" type="bit"> + <ipxact:name>BOARD_DDR4_IS_SKEW_WITHIN_AC_DESKEWED</ipxact:name> + <ipxact:displayName>Package deskewed with board layout (address/command bus)</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR4_BRD_SKEW_WITHIN_AC_NS" type="real"> + <ipxact:name>BOARD_DDR4_BRD_SKEW_WITHIN_AC_NS</ipxact:name> + <ipxact:displayName>Maximum board skew within address/command bus</ipxact:displayName> + <ipxact:value>0.02</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR4_PKG_BRD_SKEW_WITHIN_AC_NS" type="real"> + <ipxact:name>BOARD_DDR4_PKG_BRD_SKEW_WITHIN_AC_NS</ipxact:name> + <ipxact:displayName>Maximum system skew within address/command bus</ipxact:displayName> + <ipxact:value>0.02</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR4_DQS_TO_CK_SKEW_NS" type="real"> + <ipxact:name>BOARD_DDR4_DQS_TO_CK_SKEW_NS</ipxact:name> + <ipxact:displayName>Average delay difference between DQS and CK</ipxact:displayName> + <ipxact:value>0.02</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR4_SKEW_BETWEEN_DIMMS_NS" type="real"> + <ipxact:name>BOARD_DDR4_SKEW_BETWEEN_DIMMS_NS</ipxact:name> + <ipxact:displayName>Maximum delay difference between DIMMs/devices</ipxact:displayName> + <ipxact:value>0.05</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR4_SKEW_BETWEEN_DQS_NS" type="real"> + <ipxact:name>BOARD_DDR4_SKEW_BETWEEN_DQS_NS</ipxact:name> + <ipxact:displayName>Maximum skew between DQS groups</ipxact:displayName> + <ipxact:value>0.02</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR4_AC_TO_CK_SKEW_NS" type="real"> + <ipxact:name>BOARD_DDR4_AC_TO_CK_SKEW_NS</ipxact:name> + <ipxact:displayName>Average delay difference between address/command and CK</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR4_MAX_CK_DELAY_NS" type="real"> + <ipxact:name>BOARD_DDR4_MAX_CK_DELAY_NS</ipxact:name> + <ipxact:displayName>Maximum CK delay to DIMM/device</ipxact:displayName> + <ipxact:value>0.6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR4_MAX_DQS_DELAY_NS" type="real"> + <ipxact:name>BOARD_DDR4_MAX_DQS_DELAY_NS</ipxact:name> + <ipxact:displayName>Maximum DQS delay to DIMM/device</ipxact:displayName> + <ipxact:value>0.6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR4_TIS_DERATING_PS" type="int"> + <ipxact:name>BOARD_DDR4_TIS_DERATING_PS</ipxact:name> + <ipxact:displayName>PARAM_BOARD_DDR4_TIS_DERATING_PS_NAME</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR4_TIH_DERATING_PS" type="int"> + <ipxact:name>BOARD_DDR4_TIH_DERATING_PS</ipxact:name> + <ipxact:displayName>PARAM_BOARD_DDR4_TIH_DERATING_PS_NAME</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR4_CK_SLEW_RATE" type="real"> + <ipxact:name>BOARD_DDR4_CK_SLEW_RATE</ipxact:name> + <ipxact:displayName>CK/CK# slew rate (Differential)</ipxact:displayName> + <ipxact:value>4.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR4_AC_SLEW_RATE" type="real"> + <ipxact:name>BOARD_DDR4_AC_SLEW_RATE</ipxact:name> + <ipxact:displayName>Address and command slew rate</ipxact:displayName> + <ipxact:value>2.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR4_RCLK_SLEW_RATE" type="real"> + <ipxact:name>BOARD_DDR4_RCLK_SLEW_RATE</ipxact:name> + <ipxact:displayName>Read DQS/DQS# slew rate (Differential)</ipxact:displayName> + <ipxact:value>8.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR4_WCLK_SLEW_RATE" type="real"> + <ipxact:name>BOARD_DDR4_WCLK_SLEW_RATE</ipxact:name> + <ipxact:displayName>Write DQS/DQS# slew rate (Differential)</ipxact:displayName> + <ipxact:value>4.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR4_RDATA_SLEW_RATE" type="real"> + <ipxact:name>BOARD_DDR4_RDATA_SLEW_RATE</ipxact:name> + <ipxact:displayName>Read DQ slew rate</ipxact:displayName> + <ipxact:value>4.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR4_WDATA_SLEW_RATE" type="real"> + <ipxact:name>BOARD_DDR4_WDATA_SLEW_RATE</ipxact:name> + <ipxact:displayName>Write DQ slew rate</ipxact:displayName> + <ipxact:value>2.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR4_AC_ISI_NS" type="real"> + <ipxact:name>BOARD_DDR4_AC_ISI_NS</ipxact:name> + <ipxact:displayName>Address and command ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.22</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR4_RCLK_ISI_NS" type="real"> + <ipxact:name>BOARD_DDR4_RCLK_ISI_NS</ipxact:name> + <ipxact:displayName>Read DQS/DQS# ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.22</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR4_WCLK_ISI_NS" type="real"> + <ipxact:name>BOARD_DDR4_WCLK_ISI_NS</ipxact:name> + <ipxact:displayName>Write DQS/DQS# ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.078</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR4_RDATA_ISI_NS" type="real"> + <ipxact:name>BOARD_DDR4_RDATA_ISI_NS</ipxact:name> + <ipxact:displayName>Read DQ ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.155</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR4_WDATA_ISI_NS" type="real"> + <ipxact:name>BOARD_DDR4_WDATA_ISI_NS</ipxact:name> + <ipxact:displayName>Write DQ ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.16</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR4_SKEW_WITHIN_DQS_NS" type="real"> + <ipxact:name>BOARD_DDR4_SKEW_WITHIN_DQS_NS</ipxact:name> + <ipxact:displayName>PARAM_BOARD_DDR4_SKEW_WITHIN_DQS_NS_NAME</ipxact:displayName> + <ipxact:value>0.02</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_DDR4_SKEW_WITHIN_AC_NS" type="real"> + <ipxact:name>BOARD_DDR4_SKEW_WITHIN_AC_NS</ipxact:name> + <ipxact:displayName>PARAM_BOARD_DDR4_SKEW_WITHIN_AC_NS_NAME</ipxact:displayName> + <ipxact:value>0.18</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR2_USE_DEFAULT_SLEW_RATES" type="bit"> + <ipxact:name>BOARD_QDR2_USE_DEFAULT_SLEW_RATES</ipxact:name> + <ipxact:displayName>Use default slew rates</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR2_USE_DEFAULT_ISI_VALUES" type="bit"> + <ipxact:name>BOARD_QDR2_USE_DEFAULT_ISI_VALUES</ipxact:name> + <ipxact:displayName>Use default ISI/crosstalk values</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR2_USER_K_SLEW_RATE" type="real"> + <ipxact:name>BOARD_QDR2_USER_K_SLEW_RATE</ipxact:name> + <ipxact:displayName>K/K# slew rate (Differential)</ipxact:displayName> + <ipxact:value>4.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR2_USER_AC_SLEW_RATE" type="real"> + <ipxact:name>BOARD_QDR2_USER_AC_SLEW_RATE</ipxact:name> + <ipxact:displayName>Address and command slew rate</ipxact:displayName> + <ipxact:value>2.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR2_USER_RCLK_SLEW_RATE" type="real"> + <ipxact:name>BOARD_QDR2_USER_RCLK_SLEW_RATE</ipxact:name> + <ipxact:displayName>CQ/CQ# slew rate (Complementary)</ipxact:displayName> + <ipxact:value>4.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR2_USER_RDATA_SLEW_RATE" type="real"> + <ipxact:name>BOARD_QDR2_USER_RDATA_SLEW_RATE</ipxact:name> + <ipxact:displayName>Read Q slew rate</ipxact:displayName> + <ipxact:value>2.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR2_USER_WDATA_SLEW_RATE" type="real"> + <ipxact:name>BOARD_QDR2_USER_WDATA_SLEW_RATE</ipxact:name> + <ipxact:displayName>Write D slew rate</ipxact:displayName> + <ipxact:value>2.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR2_USER_AC_ISI_NS" type="real"> + <ipxact:name>BOARD_QDR2_USER_AC_ISI_NS</ipxact:name> + <ipxact:displayName>Address and command ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR2_USER_RCLK_ISI_NS" type="real"> + <ipxact:name>BOARD_QDR2_USER_RCLK_ISI_NS</ipxact:name> + <ipxact:displayName>CQ/CQ# ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR2_USER_WCLK_ISI_NS" type="real"> + <ipxact:name>BOARD_QDR2_USER_WCLK_ISI_NS</ipxact:name> + <ipxact:displayName>K/K# ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR2_USER_RDATA_ISI_NS" type="real"> + <ipxact:name>BOARD_QDR2_USER_RDATA_ISI_NS</ipxact:name> + <ipxact:displayName>Read Q ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR2_USER_WDATA_ISI_NS" type="real"> + <ipxact:name>BOARD_QDR2_USER_WDATA_ISI_NS</ipxact:name> + <ipxact:displayName>Write D ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR2_IS_SKEW_WITHIN_Q_DESKEWED" type="bit"> + <ipxact:name>BOARD_QDR2_IS_SKEW_WITHIN_Q_DESKEWED</ipxact:name> + <ipxact:displayName>Package deskewed with board layout (Q group)</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR2_IS_SKEW_WITHIN_D_DESKEWED" type="bit"> + <ipxact:name>BOARD_QDR2_IS_SKEW_WITHIN_D_DESKEWED</ipxact:name> + <ipxact:displayName>Package deskewed with board layout (D group)</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR2_IS_SKEW_WITHIN_AC_DESKEWED" type="bit"> + <ipxact:name>BOARD_QDR2_IS_SKEW_WITHIN_AC_DESKEWED</ipxact:name> + <ipxact:displayName>Package deskewed with board layout (address/command bus)</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR2_BRD_SKEW_WITHIN_Q_NS" type="real"> + <ipxact:name>BOARD_QDR2_BRD_SKEW_WITHIN_Q_NS</ipxact:name> + <ipxact:displayName>Maximum board skew within Q group</ipxact:displayName> + <ipxact:value>0.02</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR2_BRD_SKEW_WITHIN_D_NS" type="real"> + <ipxact:name>BOARD_QDR2_BRD_SKEW_WITHIN_D_NS</ipxact:name> + <ipxact:displayName>Maximum board skew within D group</ipxact:displayName> + <ipxact:value>0.02</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR2_BRD_SKEW_WITHIN_AC_NS" type="real"> + <ipxact:name>BOARD_QDR2_BRD_SKEW_WITHIN_AC_NS</ipxact:name> + <ipxact:displayName>Maximum board skew within address/command bus</ipxact:displayName> + <ipxact:value>0.02</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR2_PKG_BRD_SKEW_WITHIN_Q_NS" type="real"> + <ipxact:name>BOARD_QDR2_PKG_BRD_SKEW_WITHIN_Q_NS</ipxact:name> + <ipxact:displayName>Maximum system skew within Q group</ipxact:displayName> + <ipxact:value>0.02</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR2_PKG_BRD_SKEW_WITHIN_D_NS" type="real"> + <ipxact:name>BOARD_QDR2_PKG_BRD_SKEW_WITHIN_D_NS</ipxact:name> + <ipxact:displayName>Maximum system skew within D group</ipxact:displayName> + <ipxact:value>0.02</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR2_PKG_BRD_SKEW_WITHIN_AC_NS" type="real"> + <ipxact:name>BOARD_QDR2_PKG_BRD_SKEW_WITHIN_AC_NS</ipxact:name> + <ipxact:displayName>Maximum system skew within address/command bus</ipxact:displayName> + <ipxact:value>0.02</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR2_AC_TO_K_SKEW_NS" type="real"> + <ipxact:name>BOARD_QDR2_AC_TO_K_SKEW_NS</ipxact:name> + <ipxact:displayName>Average delay difference between address/command and K</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR2_MAX_K_DELAY_NS" type="real"> + <ipxact:name>BOARD_QDR2_MAX_K_DELAY_NS</ipxact:name> + <ipxact:displayName>Maximum K delay to device</ipxact:displayName> + <ipxact:value>0.6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR2_K_SLEW_RATE" type="real"> + <ipxact:name>BOARD_QDR2_K_SLEW_RATE</ipxact:name> + <ipxact:displayName>K/K# slew rate (Differential)</ipxact:displayName> + <ipxact:value>4.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR2_AC_SLEW_RATE" type="real"> + <ipxact:name>BOARD_QDR2_AC_SLEW_RATE</ipxact:name> + <ipxact:displayName>Address and command slew rate</ipxact:displayName> + <ipxact:value>2.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR2_RCLK_SLEW_RATE" type="real"> + <ipxact:name>BOARD_QDR2_RCLK_SLEW_RATE</ipxact:name> + <ipxact:displayName>CQ/CQ# slew rate (Complementary)</ipxact:displayName> + <ipxact:value>4.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR2_WCLK_SLEW_RATE" type="real"> + <ipxact:name>BOARD_QDR2_WCLK_SLEW_RATE</ipxact:name> + <ipxact:displayName>K/K# slew rate (Differential)</ipxact:displayName> + <ipxact:value>4.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR2_RDATA_SLEW_RATE" type="real"> + <ipxact:name>BOARD_QDR2_RDATA_SLEW_RATE</ipxact:name> + <ipxact:displayName>Read Q slew rate</ipxact:displayName> + <ipxact:value>2.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR2_WDATA_SLEW_RATE" type="real"> + <ipxact:name>BOARD_QDR2_WDATA_SLEW_RATE</ipxact:name> + <ipxact:displayName>Write D slew rate</ipxact:displayName> + <ipxact:value>2.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR2_AC_ISI_NS" type="real"> + <ipxact:name>BOARD_QDR2_AC_ISI_NS</ipxact:name> + <ipxact:displayName>Address and command ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR2_RCLK_ISI_NS" type="real"> + <ipxact:name>BOARD_QDR2_RCLK_ISI_NS</ipxact:name> + <ipxact:displayName>CQ/CQ# ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR2_WCLK_ISI_NS" type="real"> + <ipxact:name>BOARD_QDR2_WCLK_ISI_NS</ipxact:name> + <ipxact:displayName>K/K# ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR2_RDATA_ISI_NS" type="real"> + <ipxact:name>BOARD_QDR2_RDATA_ISI_NS</ipxact:name> + <ipxact:displayName>Read Q ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR2_WDATA_ISI_NS" type="real"> + <ipxact:name>BOARD_QDR2_WDATA_ISI_NS</ipxact:name> + <ipxact:displayName>Write D ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR2_SKEW_WITHIN_Q_NS" type="real"> + <ipxact:name>BOARD_QDR2_SKEW_WITHIN_Q_NS</ipxact:name> + <ipxact:displayName>PARAM_BOARD_QDR2_SKEW_WITHIN_Q_NS_NAME</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR2_SKEW_WITHIN_D_NS" type="real"> + <ipxact:name>BOARD_QDR2_SKEW_WITHIN_D_NS</ipxact:name> + <ipxact:displayName>PARAM_BOARD_QDR2_SKEW_WITHIN_D_NS_NAME</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR2_SKEW_WITHIN_AC_NS" type="real"> + <ipxact:name>BOARD_QDR2_SKEW_WITHIN_AC_NS</ipxact:name> + <ipxact:displayName>PARAM_BOARD_QDR2_SKEW_WITHIN_AC_NS_NAME</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR4_USE_DEFAULT_SLEW_RATES" type="bit"> + <ipxact:name>BOARD_QDR4_USE_DEFAULT_SLEW_RATES</ipxact:name> + <ipxact:displayName>Use default slew rates</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR4_USE_DEFAULT_ISI_VALUES" type="bit"> + <ipxact:name>BOARD_QDR4_USE_DEFAULT_ISI_VALUES</ipxact:name> + <ipxact:displayName>Use default ISI/crosstalk values</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR4_USER_CK_SLEW_RATE" type="real"> + <ipxact:name>BOARD_QDR4_USER_CK_SLEW_RATE</ipxact:name> + <ipxact:displayName>CK/CK# slew rate (Differential)</ipxact:displayName> + <ipxact:value>4.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR4_USER_AC_SLEW_RATE" type="real"> + <ipxact:name>BOARD_QDR4_USER_AC_SLEW_RATE</ipxact:name> + <ipxact:displayName>Address and command slew rate</ipxact:displayName> + <ipxact:value>2.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR4_USER_RCLK_SLEW_RATE" type="real"> + <ipxact:name>BOARD_QDR4_USER_RCLK_SLEW_RATE</ipxact:name> + <ipxact:displayName>QK/QK# slew rate (Differential)</ipxact:displayName> + <ipxact:value>5.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR4_USER_WCLK_SLEW_RATE" type="real"> + <ipxact:name>BOARD_QDR4_USER_WCLK_SLEW_RATE</ipxact:name> + <ipxact:displayName>DK/DK# slew rate (Differential)</ipxact:displayName> + <ipxact:value>4.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR4_USER_RDATA_SLEW_RATE" type="real"> + <ipxact:name>BOARD_QDR4_USER_RDATA_SLEW_RATE</ipxact:name> + <ipxact:displayName>Read DQ slew rate</ipxact:displayName> + <ipxact:value>2.5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR4_USER_WDATA_SLEW_RATE" type="real"> + <ipxact:name>BOARD_QDR4_USER_WDATA_SLEW_RATE</ipxact:name> + <ipxact:displayName>Write DQ slew rate</ipxact:displayName> + <ipxact:value>2.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR4_USER_AC_ISI_NS" type="real"> + <ipxact:name>BOARD_QDR4_USER_AC_ISI_NS</ipxact:name> + <ipxact:displayName>Address and command ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR4_USER_RCLK_ISI_NS" type="real"> + <ipxact:name>BOARD_QDR4_USER_RCLK_ISI_NS</ipxact:name> + <ipxact:displayName>QK/QK# ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR4_USER_WCLK_ISI_NS" type="real"> + <ipxact:name>BOARD_QDR4_USER_WCLK_ISI_NS</ipxact:name> + <ipxact:displayName>DK/DK# ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR4_USER_RDATA_ISI_NS" type="real"> + <ipxact:name>BOARD_QDR4_USER_RDATA_ISI_NS</ipxact:name> + <ipxact:displayName>Read DQ ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR4_USER_WDATA_ISI_NS" type="real"> + <ipxact:name>BOARD_QDR4_USER_WDATA_ISI_NS</ipxact:name> + <ipxact:displayName>Write DQ ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR4_IS_SKEW_WITHIN_QK_DESKEWED" type="bit"> + <ipxact:name>BOARD_QDR4_IS_SKEW_WITHIN_QK_DESKEWED</ipxact:name> + <ipxact:displayName>Package deskewed with board layout (QK group)</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR4_BRD_SKEW_WITHIN_QK_NS" type="real"> + <ipxact:name>BOARD_QDR4_BRD_SKEW_WITHIN_QK_NS</ipxact:name> + <ipxact:displayName>Maximum board skew within QK group</ipxact:displayName> + <ipxact:value>0.02</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR4_PKG_BRD_SKEW_WITHIN_QK_NS" type="real"> + <ipxact:name>BOARD_QDR4_PKG_BRD_SKEW_WITHIN_QK_NS</ipxact:name> + <ipxact:displayName>Maximum system skew within QK group</ipxact:displayName> + <ipxact:value>0.02</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR4_IS_SKEW_WITHIN_AC_DESKEWED" type="bit"> + <ipxact:name>BOARD_QDR4_IS_SKEW_WITHIN_AC_DESKEWED</ipxact:name> + <ipxact:displayName>Package deskewed with board layout (address/command bus)</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR4_BRD_SKEW_WITHIN_AC_NS" type="real"> + <ipxact:name>BOARD_QDR4_BRD_SKEW_WITHIN_AC_NS</ipxact:name> + <ipxact:displayName>Maximum board skew within address/command bus</ipxact:displayName> + <ipxact:value>0.02</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR4_PKG_BRD_SKEW_WITHIN_AC_NS" type="real"> + <ipxact:name>BOARD_QDR4_PKG_BRD_SKEW_WITHIN_AC_NS</ipxact:name> + <ipxact:displayName>Maximum system skew within address/command bus</ipxact:displayName> + <ipxact:value>0.02</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR4_DK_TO_CK_SKEW_NS" type="real"> + <ipxact:name>BOARD_QDR4_DK_TO_CK_SKEW_NS</ipxact:name> + <ipxact:displayName>Average delay difference between DK and CK</ipxact:displayName> + <ipxact:value>-0.02</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR4_SKEW_BETWEEN_DIMMS_NS" type="real"> + <ipxact:name>BOARD_QDR4_SKEW_BETWEEN_DIMMS_NS</ipxact:name> + <ipxact:displayName>Maximum delay difference between devices</ipxact:displayName> + <ipxact:value>0.05</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR4_SKEW_BETWEEN_DK_NS" type="real"> + <ipxact:name>BOARD_QDR4_SKEW_BETWEEN_DK_NS</ipxact:name> + <ipxact:displayName>Maximum skew between DK groups</ipxact:displayName> + <ipxact:value>0.02</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR4_AC_TO_CK_SKEW_NS" type="real"> + <ipxact:name>BOARD_QDR4_AC_TO_CK_SKEW_NS</ipxact:name> + <ipxact:displayName>Average delay difference between address/command and CK</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR4_MAX_CK_DELAY_NS" type="real"> + <ipxact:name>BOARD_QDR4_MAX_CK_DELAY_NS</ipxact:name> + <ipxact:displayName>Maximum CK delay to device</ipxact:displayName> + <ipxact:value>0.6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR4_MAX_DK_DELAY_NS" type="real"> + <ipxact:name>BOARD_QDR4_MAX_DK_DELAY_NS</ipxact:name> + <ipxact:displayName>Maximum DK delay to device</ipxact:displayName> + <ipxact:value>0.6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR4_CK_SLEW_RATE" type="real"> + <ipxact:name>BOARD_QDR4_CK_SLEW_RATE</ipxact:name> + <ipxact:displayName>CK/CK# slew rate (Differential)</ipxact:displayName> + <ipxact:value>4.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR4_AC_SLEW_RATE" type="real"> + <ipxact:name>BOARD_QDR4_AC_SLEW_RATE</ipxact:name> + <ipxact:displayName>Address and command slew rate</ipxact:displayName> + <ipxact:value>2.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR4_RCLK_SLEW_RATE" type="real"> + <ipxact:name>BOARD_QDR4_RCLK_SLEW_RATE</ipxact:name> + <ipxact:displayName>QK/QK# slew rate (Differential)</ipxact:displayName> + <ipxact:value>5.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR4_WCLK_SLEW_RATE" type="real"> + <ipxact:name>BOARD_QDR4_WCLK_SLEW_RATE</ipxact:name> + <ipxact:displayName>DK/DK# slew rate (Differential)</ipxact:displayName> + <ipxact:value>4.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR4_RDATA_SLEW_RATE" type="real"> + <ipxact:name>BOARD_QDR4_RDATA_SLEW_RATE</ipxact:name> + <ipxact:displayName>Read DQ slew rate</ipxact:displayName> + <ipxact:value>2.5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR4_WDATA_SLEW_RATE" type="real"> + <ipxact:name>BOARD_QDR4_WDATA_SLEW_RATE</ipxact:name> + <ipxact:displayName>Write DQ slew rate</ipxact:displayName> + <ipxact:value>2.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR4_AC_ISI_NS" type="real"> + <ipxact:name>BOARD_QDR4_AC_ISI_NS</ipxact:name> + <ipxact:displayName>Address and command ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR4_RCLK_ISI_NS" type="real"> + <ipxact:name>BOARD_QDR4_RCLK_ISI_NS</ipxact:name> + <ipxact:displayName>QK/QK# ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR4_WCLK_ISI_NS" type="real"> + <ipxact:name>BOARD_QDR4_WCLK_ISI_NS</ipxact:name> + <ipxact:displayName>DK/DK# ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR4_RDATA_ISI_NS" type="real"> + <ipxact:name>BOARD_QDR4_RDATA_ISI_NS</ipxact:name> + <ipxact:displayName>Read DQ ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR4_WDATA_ISI_NS" type="real"> + <ipxact:name>BOARD_QDR4_WDATA_ISI_NS</ipxact:name> + <ipxact:displayName>Write DQ ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR4_SKEW_WITHIN_QK_NS" type="real"> + <ipxact:name>BOARD_QDR4_SKEW_WITHIN_QK_NS</ipxact:name> + <ipxact:displayName>PARAM_BOARD_QDR4_SKEW_WITHIN_QK_NS_NAME</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_QDR4_SKEW_WITHIN_AC_NS" type="real"> + <ipxact:name>BOARD_QDR4_SKEW_WITHIN_AC_NS</ipxact:name> + <ipxact:displayName>PARAM_BOARD_QDR4_SKEW_WITHIN_AC_NS_NAME</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_RLD3_USE_DEFAULT_SLEW_RATES" type="bit"> + <ipxact:name>BOARD_RLD3_USE_DEFAULT_SLEW_RATES</ipxact:name> + <ipxact:displayName>Use default slew rates</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_RLD3_USE_DEFAULT_ISI_VALUES" type="bit"> + <ipxact:name>BOARD_RLD3_USE_DEFAULT_ISI_VALUES</ipxact:name> + <ipxact:displayName>Use default ISI/crosstalk values</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_RLD3_USER_CK_SLEW_RATE" type="real"> + <ipxact:name>BOARD_RLD3_USER_CK_SLEW_RATE</ipxact:name> + <ipxact:displayName>CK/CK# slew rate (Differential)</ipxact:displayName> + <ipxact:value>4.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_RLD3_USER_AC_SLEW_RATE" type="real"> + <ipxact:name>BOARD_RLD3_USER_AC_SLEW_RATE</ipxact:name> + <ipxact:displayName>Address and command slew rate</ipxact:displayName> + <ipxact:value>2.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_RLD3_USER_RCLK_SLEW_RATE" type="real"> + <ipxact:name>BOARD_RLD3_USER_RCLK_SLEW_RATE</ipxact:name> + <ipxact:displayName>QK/QK# slew rate (Differential)</ipxact:displayName> + <ipxact:value>7.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_RLD3_USER_WCLK_SLEW_RATE" type="real"> + <ipxact:name>BOARD_RLD3_USER_WCLK_SLEW_RATE</ipxact:name> + <ipxact:displayName>DK/DK# slew rate (Differential)</ipxact:displayName> + <ipxact:value>4.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_RLD3_USER_RDATA_SLEW_RATE" type="real"> + <ipxact:name>BOARD_RLD3_USER_RDATA_SLEW_RATE</ipxact:name> + <ipxact:displayName>Read DQ slew rate</ipxact:displayName> + <ipxact:value>3.5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_RLD3_USER_WDATA_SLEW_RATE" type="real"> + <ipxact:name>BOARD_RLD3_USER_WDATA_SLEW_RATE</ipxact:name> + <ipxact:displayName>Write DQ slew rate</ipxact:displayName> + <ipxact:value>2.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_RLD3_USER_AC_ISI_NS" type="real"> + <ipxact:name>BOARD_RLD3_USER_AC_ISI_NS</ipxact:name> + <ipxact:displayName>Address and command ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_RLD3_USER_RCLK_ISI_NS" type="real"> + <ipxact:name>BOARD_RLD3_USER_RCLK_ISI_NS</ipxact:name> + <ipxact:displayName>QK/QK# ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_RLD3_USER_WCLK_ISI_NS" type="real"> + <ipxact:name>BOARD_RLD3_USER_WCLK_ISI_NS</ipxact:name> + <ipxact:displayName>DK/DK# ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_RLD3_USER_RDATA_ISI_NS" type="real"> + <ipxact:name>BOARD_RLD3_USER_RDATA_ISI_NS</ipxact:name> + <ipxact:displayName>Read DQ ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_RLD3_USER_WDATA_ISI_NS" type="real"> + <ipxact:name>BOARD_RLD3_USER_WDATA_ISI_NS</ipxact:name> + <ipxact:displayName>Write DQ ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_RLD3_IS_SKEW_WITHIN_QK_DESKEWED" type="bit"> + <ipxact:name>BOARD_RLD3_IS_SKEW_WITHIN_QK_DESKEWED</ipxact:name> + <ipxact:displayName>Package deskewed with board layout (QK group)</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_RLD3_BRD_SKEW_WITHIN_QK_NS" type="real"> + <ipxact:name>BOARD_RLD3_BRD_SKEW_WITHIN_QK_NS</ipxact:name> + <ipxact:displayName>Maximum board skew within QK group</ipxact:displayName> + <ipxact:value>0.02</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_RLD3_PKG_BRD_SKEW_WITHIN_QK_NS" type="real"> + <ipxact:name>BOARD_RLD3_PKG_BRD_SKEW_WITHIN_QK_NS</ipxact:name> + <ipxact:displayName>Maximum system skew within QK group</ipxact:displayName> + <ipxact:value>0.02</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_RLD3_IS_SKEW_WITHIN_AC_DESKEWED" type="bit"> + <ipxact:name>BOARD_RLD3_IS_SKEW_WITHIN_AC_DESKEWED</ipxact:name> + <ipxact:displayName>Package deskewed with board layout (address/command bus)</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_RLD3_BRD_SKEW_WITHIN_AC_NS" type="real"> + <ipxact:name>BOARD_RLD3_BRD_SKEW_WITHIN_AC_NS</ipxact:name> + <ipxact:displayName>Maximum board skew within address/command bus</ipxact:displayName> + <ipxact:value>0.02</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_RLD3_PKG_BRD_SKEW_WITHIN_AC_NS" type="real"> + <ipxact:name>BOARD_RLD3_PKG_BRD_SKEW_WITHIN_AC_NS</ipxact:name> + <ipxact:displayName>Maximum system skew within address/command bus</ipxact:displayName> + <ipxact:value>0.02</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_RLD3_DK_TO_CK_SKEW_NS" type="real"> + <ipxact:name>BOARD_RLD3_DK_TO_CK_SKEW_NS</ipxact:name> + <ipxact:displayName>Average delay difference between DK and CK</ipxact:displayName> + <ipxact:value>-0.02</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_RLD3_SKEW_BETWEEN_DIMMS_NS" type="real"> + <ipxact:name>BOARD_RLD3_SKEW_BETWEEN_DIMMS_NS</ipxact:name> + <ipxact:displayName>Maximum delay difference between devices</ipxact:displayName> + <ipxact:value>0.05</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_RLD3_SKEW_BETWEEN_DK_NS" type="real"> + <ipxact:name>BOARD_RLD3_SKEW_BETWEEN_DK_NS</ipxact:name> + <ipxact:displayName>Maximum skew between DK groups</ipxact:displayName> + <ipxact:value>0.02</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_RLD3_AC_TO_CK_SKEW_NS" type="real"> + <ipxact:name>BOARD_RLD3_AC_TO_CK_SKEW_NS</ipxact:name> + <ipxact:displayName>Average delay difference between address/command and CK</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_RLD3_MAX_CK_DELAY_NS" type="real"> + <ipxact:name>BOARD_RLD3_MAX_CK_DELAY_NS</ipxact:name> + <ipxact:displayName>Maximum CK delay to device</ipxact:displayName> + <ipxact:value>0.6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_RLD3_MAX_DK_DELAY_NS" type="real"> + <ipxact:name>BOARD_RLD3_MAX_DK_DELAY_NS</ipxact:name> + <ipxact:displayName>Maximum DK delay to device</ipxact:displayName> + <ipxact:value>0.6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_RLD3_TIS_DERATING_PS" type="int"> + <ipxact:name>BOARD_RLD3_TIS_DERATING_PS</ipxact:name> + <ipxact:displayName>PARAM_BOARD_RLD3_TIS_DERATING_PS_NAME</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_RLD3_TIH_DERATING_PS" type="int"> + <ipxact:name>BOARD_RLD3_TIH_DERATING_PS</ipxact:name> + <ipxact:displayName>PARAM_BOARD_RLD3_TIH_DERATING_PS_NAME</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_RLD3_TDS_DERATING_PS" type="int"> + <ipxact:name>BOARD_RLD3_TDS_DERATING_PS</ipxact:name> + <ipxact:displayName>PARAM_BOARD_RLD3_TDS_DERATING_PS_NAME</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_RLD3_TDH_DERATING_PS" type="int"> + <ipxact:name>BOARD_RLD3_TDH_DERATING_PS</ipxact:name> + <ipxact:displayName>PARAM_BOARD_RLD3_TDH_DERATING_PS_NAME</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_RLD3_CK_SLEW_RATE" type="real"> + <ipxact:name>BOARD_RLD3_CK_SLEW_RATE</ipxact:name> + <ipxact:displayName>CK/CK# slew rate (Differential)</ipxact:displayName> + <ipxact:value>4.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_RLD3_AC_SLEW_RATE" type="real"> + <ipxact:name>BOARD_RLD3_AC_SLEW_RATE</ipxact:name> + <ipxact:displayName>Address and command slew rate</ipxact:displayName> + <ipxact:value>2.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_RLD3_RCLK_SLEW_RATE" type="real"> + <ipxact:name>BOARD_RLD3_RCLK_SLEW_RATE</ipxact:name> + <ipxact:displayName>QK/QK# slew rate (Differential)</ipxact:displayName> + <ipxact:value>7.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_RLD3_WCLK_SLEW_RATE" type="real"> + <ipxact:name>BOARD_RLD3_WCLK_SLEW_RATE</ipxact:name> + <ipxact:displayName>DK/DK# slew rate (Differential)</ipxact:displayName> + <ipxact:value>4.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_RLD3_RDATA_SLEW_RATE" type="real"> + <ipxact:name>BOARD_RLD3_RDATA_SLEW_RATE</ipxact:name> + <ipxact:displayName>Read DQ slew rate</ipxact:displayName> + <ipxact:value>3.5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_RLD3_WDATA_SLEW_RATE" type="real"> + <ipxact:name>BOARD_RLD3_WDATA_SLEW_RATE</ipxact:name> + <ipxact:displayName>Write DQ slew rate</ipxact:displayName> + <ipxact:value>2.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_RLD3_AC_ISI_NS" type="real"> + <ipxact:name>BOARD_RLD3_AC_ISI_NS</ipxact:name> + <ipxact:displayName>Address and command ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_RLD3_RCLK_ISI_NS" type="real"> + <ipxact:name>BOARD_RLD3_RCLK_ISI_NS</ipxact:name> + <ipxact:displayName>QK/QK# ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_RLD3_WCLK_ISI_NS" type="real"> + <ipxact:name>BOARD_RLD3_WCLK_ISI_NS</ipxact:name> + <ipxact:displayName>DK/DK# ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_RLD3_RDATA_ISI_NS" type="real"> + <ipxact:name>BOARD_RLD3_RDATA_ISI_NS</ipxact:name> + <ipxact:displayName>Read DQ ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_RLD3_WDATA_ISI_NS" type="real"> + <ipxact:name>BOARD_RLD3_WDATA_ISI_NS</ipxact:name> + <ipxact:displayName>Write DQ ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_RLD3_SKEW_WITHIN_QK_NS" type="real"> + <ipxact:name>BOARD_RLD3_SKEW_WITHIN_QK_NS</ipxact:name> + <ipxact:displayName>PARAM_BOARD_RLD3_SKEW_WITHIN_QK_NS_NAME</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_RLD3_SKEW_WITHIN_AC_NS" type="real"> + <ipxact:name>BOARD_RLD3_SKEW_WITHIN_AC_NS</ipxact:name> + <ipxact:displayName>PARAM_BOARD_RLD3_SKEW_WITHIN_AC_NS_NAME</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_LPDDR3_USE_DEFAULT_SLEW_RATES" type="bit"> + <ipxact:name>BOARD_LPDDR3_USE_DEFAULT_SLEW_RATES</ipxact:name> + <ipxact:displayName>PARAM_BOARD_LPDDR3_USE_DEFAULT_SLEW_RATES_NAME</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_LPDDR3_USE_DEFAULT_ISI_VALUES" type="bit"> + <ipxact:name>BOARD_LPDDR3_USE_DEFAULT_ISI_VALUES</ipxact:name> + <ipxact:displayName>Use default ISI/crosstalk values</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_LPDDR3_USER_CK_SLEW_RATE" type="real"> + <ipxact:name>BOARD_LPDDR3_USER_CK_SLEW_RATE</ipxact:name> + <ipxact:displayName>PARAM_BOARD_LPDDR3_USER_CK_SLEW_RATE_NAME</ipxact:displayName> + <ipxact:value>4.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_LPDDR3_USER_AC_SLEW_RATE" type="real"> + <ipxact:name>BOARD_LPDDR3_USER_AC_SLEW_RATE</ipxact:name> + <ipxact:displayName>PARAM_BOARD_LPDDR3_USER_AC_SLEW_RATE_NAME</ipxact:displayName> + <ipxact:value>2.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_LPDDR3_USER_RCLK_SLEW_RATE" type="real"> + <ipxact:name>BOARD_LPDDR3_USER_RCLK_SLEW_RATE</ipxact:name> + <ipxact:displayName>PARAM_BOARD_LPDDR3_USER_RCLK_SLEW_RATE_NAME</ipxact:displayName> + <ipxact:value>4.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_LPDDR3_USER_WCLK_SLEW_RATE" type="real"> + <ipxact:name>BOARD_LPDDR3_USER_WCLK_SLEW_RATE</ipxact:name> + <ipxact:displayName>PARAM_BOARD_LPDDR3_USER_WCLK_SLEW_RATE_NAME</ipxact:displayName> + <ipxact:value>4.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_LPDDR3_USER_RDATA_SLEW_RATE" type="real"> + <ipxact:name>BOARD_LPDDR3_USER_RDATA_SLEW_RATE</ipxact:name> + <ipxact:displayName>PARAM_BOARD_LPDDR3_USER_RDATA_SLEW_RATE_NAME</ipxact:displayName> + <ipxact:value>2.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_LPDDR3_USER_WDATA_SLEW_RATE" type="real"> + <ipxact:name>BOARD_LPDDR3_USER_WDATA_SLEW_RATE</ipxact:name> + <ipxact:displayName>PARAM_BOARD_LPDDR3_USER_WDATA_SLEW_RATE_NAME</ipxact:displayName> + <ipxact:value>2.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_LPDDR3_USER_AC_ISI_NS" type="real"> + <ipxact:name>BOARD_LPDDR3_USER_AC_ISI_NS</ipxact:name> + <ipxact:displayName>Address and command ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_LPDDR3_USER_RCLK_ISI_NS" type="real"> + <ipxact:name>BOARD_LPDDR3_USER_RCLK_ISI_NS</ipxact:name> + <ipxact:displayName>Read DQS/DQS# ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_LPDDR3_USER_WCLK_ISI_NS" type="real"> + <ipxact:name>BOARD_LPDDR3_USER_WCLK_ISI_NS</ipxact:name> + <ipxact:displayName>Write DQS/DQS# ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_LPDDR3_USER_RDATA_ISI_NS" type="real"> + <ipxact:name>BOARD_LPDDR3_USER_RDATA_ISI_NS</ipxact:name> + <ipxact:displayName>Read DQ ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_LPDDR3_USER_WDATA_ISI_NS" type="real"> + <ipxact:name>BOARD_LPDDR3_USER_WDATA_ISI_NS</ipxact:name> + <ipxact:displayName>Write DQ ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_LPDDR3_IS_SKEW_WITHIN_DQS_DESKEWED" type="bit"> + <ipxact:name>BOARD_LPDDR3_IS_SKEW_WITHIN_DQS_DESKEWED</ipxact:name> + <ipxact:displayName>Package deskewed with board layout (DQS group)</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_LPDDR3_BRD_SKEW_WITHIN_DQS_NS" type="real"> + <ipxact:name>BOARD_LPDDR3_BRD_SKEW_WITHIN_DQS_NS</ipxact:name> + <ipxact:displayName>Maximum board skew within DQS group</ipxact:displayName> + <ipxact:value>0.02</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_LPDDR3_PKG_BRD_SKEW_WITHIN_DQS_NS" type="real"> + <ipxact:name>BOARD_LPDDR3_PKG_BRD_SKEW_WITHIN_DQS_NS</ipxact:name> + <ipxact:displayName>Maximum system skew within DQS group</ipxact:displayName> + <ipxact:value>0.02</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_LPDDR3_IS_SKEW_WITHIN_AC_DESKEWED" type="bit"> + <ipxact:name>BOARD_LPDDR3_IS_SKEW_WITHIN_AC_DESKEWED</ipxact:name> + <ipxact:displayName>Package deskewed with board layout (address/command bus)</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_LPDDR3_BRD_SKEW_WITHIN_AC_NS" type="real"> + <ipxact:name>BOARD_LPDDR3_BRD_SKEW_WITHIN_AC_NS</ipxact:name> + <ipxact:displayName>Maximum board skew within address/command bus</ipxact:displayName> + <ipxact:value>0.02</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_LPDDR3_PKG_BRD_SKEW_WITHIN_AC_NS" type="real"> + <ipxact:name>BOARD_LPDDR3_PKG_BRD_SKEW_WITHIN_AC_NS</ipxact:name> + <ipxact:displayName>Maximum system skew within address/command bus</ipxact:displayName> + <ipxact:value>0.02</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_LPDDR3_DQS_TO_CK_SKEW_NS" type="real"> + <ipxact:name>BOARD_LPDDR3_DQS_TO_CK_SKEW_NS</ipxact:name> + <ipxact:displayName>Average delay difference between DQS and CK</ipxact:displayName> + <ipxact:value>0.02</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_LPDDR3_SKEW_BETWEEN_DIMMS_NS" type="real"> + <ipxact:name>BOARD_LPDDR3_SKEW_BETWEEN_DIMMS_NS</ipxact:name> + <ipxact:displayName>Maximum delay difference between devices</ipxact:displayName> + <ipxact:value>0.05</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_LPDDR3_SKEW_BETWEEN_DQS_NS" type="real"> + <ipxact:name>BOARD_LPDDR3_SKEW_BETWEEN_DQS_NS</ipxact:name> + <ipxact:displayName>Maximum skew between DQS groups</ipxact:displayName> + <ipxact:value>0.02</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_LPDDR3_AC_TO_CK_SKEW_NS" type="real"> + <ipxact:name>BOARD_LPDDR3_AC_TO_CK_SKEW_NS</ipxact:name> + <ipxact:displayName>Average delay difference between address/command and CK</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_LPDDR3_MAX_CK_DELAY_NS" type="real"> + <ipxact:name>BOARD_LPDDR3_MAX_CK_DELAY_NS</ipxact:name> + <ipxact:displayName>Maximum CK delay to device</ipxact:displayName> + <ipxact:value>0.6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_LPDDR3_MAX_DQS_DELAY_NS" type="real"> + <ipxact:name>BOARD_LPDDR3_MAX_DQS_DELAY_NS</ipxact:name> + <ipxact:displayName>Maximum DQS delay to device</ipxact:displayName> + <ipxact:value>0.6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_LPDDR3_TIS_DERATING_PS" type="int"> + <ipxact:name>BOARD_LPDDR3_TIS_DERATING_PS</ipxact:name> + <ipxact:displayName>PARAM_BOARD_LPDDR3_TIS_DERATING_PS_NAME</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_LPDDR3_TIH_DERATING_PS" type="int"> + <ipxact:name>BOARD_LPDDR3_TIH_DERATING_PS</ipxact:name> + <ipxact:displayName>PARAM_BOARD_LPDDR3_TIH_DERATING_PS_NAME</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_LPDDR3_TDS_DERATING_PS" type="int"> + <ipxact:name>BOARD_LPDDR3_TDS_DERATING_PS</ipxact:name> + <ipxact:displayName>PARAM_BOARD_LPDDR3_TDS_DERATING_PS_NAME</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_LPDDR3_TDH_DERATING_PS" type="int"> + <ipxact:name>BOARD_LPDDR3_TDH_DERATING_PS</ipxact:name> + <ipxact:displayName>PARAM_BOARD_LPDDR3_TDH_DERATING_PS_NAME</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_LPDDR3_CK_SLEW_RATE" type="real"> + <ipxact:name>BOARD_LPDDR3_CK_SLEW_RATE</ipxact:name> + <ipxact:displayName>PARAM_BOARD_LPDDR3_USER_CK_SLEW_RATE_NAME</ipxact:displayName> + <ipxact:value>4.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_LPDDR3_AC_SLEW_RATE" type="real"> + <ipxact:name>BOARD_LPDDR3_AC_SLEW_RATE</ipxact:name> + <ipxact:displayName>PARAM_BOARD_LPDDR3_USER_AC_SLEW_RATE_NAME</ipxact:displayName> + <ipxact:value>2.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_LPDDR3_RCLK_SLEW_RATE" type="real"> + <ipxact:name>BOARD_LPDDR3_RCLK_SLEW_RATE</ipxact:name> + <ipxact:displayName>PARAM_BOARD_LPDDR3_USER_RCLK_SLEW_RATE_NAME</ipxact:displayName> + <ipxact:value>4.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_LPDDR3_WCLK_SLEW_RATE" type="real"> + <ipxact:name>BOARD_LPDDR3_WCLK_SLEW_RATE</ipxact:name> + <ipxact:displayName>PARAM_BOARD_LPDDR3_USER_WCLK_SLEW_RATE_NAME</ipxact:displayName> + <ipxact:value>4.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_LPDDR3_RDATA_SLEW_RATE" type="real"> + <ipxact:name>BOARD_LPDDR3_RDATA_SLEW_RATE</ipxact:name> + <ipxact:displayName>PARAM_BOARD_LPDDR3_USER_RDATA_SLEW_RATE_NAME</ipxact:displayName> + <ipxact:value>2.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_LPDDR3_WDATA_SLEW_RATE" type="real"> + <ipxact:name>BOARD_LPDDR3_WDATA_SLEW_RATE</ipxact:name> + <ipxact:displayName>PARAM_BOARD_LPDDR3_USER_WDATA_SLEW_RATE_NAME</ipxact:displayName> + <ipxact:value>2.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_LPDDR3_AC_ISI_NS" type="real"> + <ipxact:name>BOARD_LPDDR3_AC_ISI_NS</ipxact:name> + <ipxact:displayName>Address and command ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_LPDDR3_RCLK_ISI_NS" type="real"> + <ipxact:name>BOARD_LPDDR3_RCLK_ISI_NS</ipxact:name> + <ipxact:displayName>Read DQS/DQS# ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_LPDDR3_WCLK_ISI_NS" type="real"> + <ipxact:name>BOARD_LPDDR3_WCLK_ISI_NS</ipxact:name> + <ipxact:displayName>Write DQS/DQS# ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_LPDDR3_RDATA_ISI_NS" type="real"> + <ipxact:name>BOARD_LPDDR3_RDATA_ISI_NS</ipxact:name> + <ipxact:displayName>Read DQ ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_LPDDR3_WDATA_ISI_NS" type="real"> + <ipxact:name>BOARD_LPDDR3_WDATA_ISI_NS</ipxact:name> + <ipxact:displayName>Write DQ ISI/crosstalk</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_LPDDR3_SKEW_WITHIN_DQS_NS" type="real"> + <ipxact:name>BOARD_LPDDR3_SKEW_WITHIN_DQS_NS</ipxact:name> + <ipxact:displayName>PARAM_BOARD_LPDDR3_SKEW_WITHIN_DQS_NS_NAME</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BOARD_LPDDR3_SKEW_WITHIN_AC_NS" type="real"> + <ipxact:name>BOARD_LPDDR3_SKEW_WITHIN_AC_NS</ipxact:name> + <ipxact:displayName>PARAM_BOARD_LPDDR3_SKEW_WITHIN_AC_NS_NAME</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_ECC_EN" type="bit"> + <ipxact:name>CTRL_ECC_EN</ipxact:name> + <ipxact:displayName>PARAM_CTRL_ECC_EN_NAME</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_MMR_EN" type="bit"> + <ipxact:name>CTRL_MMR_EN</ipxact:name> + <ipxact:displayName>PARAM_CTRL_MMR_EN_NAME</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_AUTO_PRECHARGE_EN" type="bit"> + <ipxact:name>CTRL_AUTO_PRECHARGE_EN</ipxact:name> + <ipxact:displayName>PARAM_CTRL_AUTO_PRECHARGE_EN_NAME</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_USER_PRIORITY_EN" type="bit"> + <ipxact:name>CTRL_USER_PRIORITY_EN</ipxact:name> + <ipxact:displayName>PARAM_CTRL_USER_PRIORITY_EN_NAME</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_REORDER_EN" type="bit"> + <ipxact:name>CTRL_REORDER_EN</ipxact:name> + <ipxact:displayName>PARAM_CTRL_REORDER_EN_NAME</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_ECC_READDATAERROR_EN" type="bit"> + <ipxact:name>CTRL_ECC_READDATAERROR_EN</ipxact:name> + <ipxact:displayName>PARAM_CTRL_ECC_READDATAERROR_EN_NAME</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_DDR3_AVL_PROTOCOL_ENUM" type="string"> + <ipxact:name>CTRL_DDR3_AVL_PROTOCOL_ENUM</ipxact:name> + <ipxact:displayName>Avalon Interface</ipxact:displayName> + <ipxact:value>CTRL_AVL_PROTOCOL_MM</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_DDR3_SELF_REFRESH_EN" type="bit"> + <ipxact:name>CTRL_DDR3_SELF_REFRESH_EN</ipxact:name> + <ipxact:displayName>Enable Self-Refresh Control</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_DDR3_AUTO_POWER_DOWN_EN" type="bit"> + <ipxact:name>CTRL_DDR3_AUTO_POWER_DOWN_EN</ipxact:name> + <ipxact:displayName>Enable Auto Power-Down</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_DDR3_AUTO_POWER_DOWN_CYCS" type="int"> + <ipxact:name>CTRL_DDR3_AUTO_POWER_DOWN_CYCS</ipxact:name> + <ipxact:displayName>Auto Power-Down Cycles</ipxact:displayName> + <ipxact:value>32</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_DDR3_USER_REFRESH_EN" type="bit"> + <ipxact:name>CTRL_DDR3_USER_REFRESH_EN</ipxact:name> + <ipxact:displayName>Enable User Refresh Control</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_DDR3_USER_PRIORITY_EN" type="bit"> + <ipxact:name>CTRL_DDR3_USER_PRIORITY_EN</ipxact:name> + <ipxact:displayName>Enable Command Priority Control</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_DDR3_AUTO_PRECHARGE_EN" type="bit"> + <ipxact:name>CTRL_DDR3_AUTO_PRECHARGE_EN</ipxact:name> + <ipxact:displayName>Enable Auto-Precharge Control</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_DDR3_ADDR_ORDER_ENUM" type="string"> + <ipxact:name>CTRL_DDR3_ADDR_ORDER_ENUM</ipxact:name> + <ipxact:displayName>Address Ordering</ipxact:displayName> + <ipxact:value>DDR3_CTRL_ADDR_ORDER_CS_R_B_C</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_DDR3_ECC_EN" type="bit"> + <ipxact:name>CTRL_DDR3_ECC_EN</ipxact:name> + <ipxact:displayName>Enable Error Detection and Correction Logic with ECC</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_DDR3_ECC_AUTO_CORRECTION_EN" type="bit"> + <ipxact:name>CTRL_DDR3_ECC_AUTO_CORRECTION_EN</ipxact:name> + <ipxact:displayName>Enable Auto Error Correction to External Memory</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_DDR3_ECC_READDATAERROR_EN" type="bit"> + <ipxact:name>CTRL_DDR3_ECC_READDATAERROR_EN</ipxact:name> + <ipxact:displayName>Enable ctrl_ecc_readdataerror signal to indicate uncorrectable data errors</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_DDR3_REORDER_EN" type="bit"> + <ipxact:name>CTRL_DDR3_REORDER_EN</ipxact:name> + <ipxact:displayName>Enable Reordering</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_DDR3_STARVE_LIMIT" type="int"> + <ipxact:name>CTRL_DDR3_STARVE_LIMIT</ipxact:name> + <ipxact:displayName>Starvation limit for each command</ipxact:displayName> + <ipxact:value>10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_DDR3_MMR_EN" type="bit"> + <ipxact:name>CTRL_DDR3_MMR_EN</ipxact:name> + <ipxact:displayName>Enable Memory-Mapped Configuration and Status Register (MMR) Interface</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_DDR3_RD_TO_WR_SAME_CHIP_DELTA_CYCS" type="int"> + <ipxact:name>CTRL_DDR3_RD_TO_WR_SAME_CHIP_DELTA_CYCS</ipxact:name> + <ipxact:displayName>Additional read-to-write turnaround time (same rank)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_DDR3_WR_TO_RD_SAME_CHIP_DELTA_CYCS" type="int"> + <ipxact:name>CTRL_DDR3_WR_TO_RD_SAME_CHIP_DELTA_CYCS</ipxact:name> + <ipxact:displayName>Additional write-to-read turnaround time (same rank)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_DDR3_RD_TO_RD_DIFF_CHIP_DELTA_CYCS" type="int"> + <ipxact:name>CTRL_DDR3_RD_TO_RD_DIFF_CHIP_DELTA_CYCS</ipxact:name> + <ipxact:displayName>Additional read-to-read turnaround time (different ranks)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_DDR3_RD_TO_WR_DIFF_CHIP_DELTA_CYCS" type="int"> + <ipxact:name>CTRL_DDR3_RD_TO_WR_DIFF_CHIP_DELTA_CYCS</ipxact:name> + <ipxact:displayName>Additional read-to-write turnaround time (different ranks)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_DDR3_WR_TO_WR_DIFF_CHIP_DELTA_CYCS" type="int"> + <ipxact:name>CTRL_DDR3_WR_TO_WR_DIFF_CHIP_DELTA_CYCS</ipxact:name> + <ipxact:displayName>Additional write-to-write turnaround time (different ranks)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_DDR3_WR_TO_RD_DIFF_CHIP_DELTA_CYCS" type="int"> + <ipxact:name>CTRL_DDR3_WR_TO_RD_DIFF_CHIP_DELTA_CYCS</ipxact:name> + <ipxact:displayName>Additional write-to-read turnaround time (different ranks)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_DDR4_AVL_PROTOCOL_ENUM" type="string"> + <ipxact:name>CTRL_DDR4_AVL_PROTOCOL_ENUM</ipxact:name> + <ipxact:displayName>Avalon Interface</ipxact:displayName> + <ipxact:value>CTRL_AVL_PROTOCOL_MM</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_DDR4_SELF_REFRESH_EN" type="bit"> + <ipxact:name>CTRL_DDR4_SELF_REFRESH_EN</ipxact:name> + <ipxact:displayName>Enable Self-Refresh Control</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_DDR4_AUTO_POWER_DOWN_EN" type="bit"> + <ipxact:name>CTRL_DDR4_AUTO_POWER_DOWN_EN</ipxact:name> + <ipxact:displayName>Enable Auto Power-Down</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_DDR4_AUTO_POWER_DOWN_CYCS" type="int"> + <ipxact:name>CTRL_DDR4_AUTO_POWER_DOWN_CYCS</ipxact:name> + <ipxact:displayName>Auto Power-Down Cycles</ipxact:displayName> + <ipxact:value>32</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_DDR4_USER_REFRESH_EN" type="bit"> + <ipxact:name>CTRL_DDR4_USER_REFRESH_EN</ipxact:name> + <ipxact:displayName>Enable User Refresh Control</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_DDR4_USER_PRIORITY_EN" type="bit"> + <ipxact:name>CTRL_DDR4_USER_PRIORITY_EN</ipxact:name> + <ipxact:displayName>Enable Command Priority Control</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_DDR4_AUTO_PRECHARGE_EN" type="bit"> + <ipxact:name>CTRL_DDR4_AUTO_PRECHARGE_EN</ipxact:name> + <ipxact:displayName>Enable Auto-Precharge Control</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_DDR4_ADDR_ORDER_ENUM" type="string"> + <ipxact:name>CTRL_DDR4_ADDR_ORDER_ENUM</ipxact:name> + <ipxact:displayName>Address Ordering</ipxact:displayName> + <ipxact:value>DDR4_CTRL_ADDR_ORDER_CS_R_B_C_BG</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_DDR4_ECC_EN" type="bit"> + <ipxact:name>CTRL_DDR4_ECC_EN</ipxact:name> + <ipxact:displayName>Enable Error Detection and Correction Logic with ECC</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_DDR4_ECC_AUTO_CORRECTION_EN" type="bit"> + <ipxact:name>CTRL_DDR4_ECC_AUTO_CORRECTION_EN</ipxact:name> + <ipxact:displayName>Enable Auto Error Correction to External Memory</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_DDR4_ECC_READDATAERROR_EN" type="bit"> + <ipxact:name>CTRL_DDR4_ECC_READDATAERROR_EN</ipxact:name> + <ipxact:displayName>Enable ctrl_ecc_readdataerror signal to indicate uncorrectable data errors</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_DDR4_REORDER_EN" type="bit"> + <ipxact:name>CTRL_DDR4_REORDER_EN</ipxact:name> + <ipxact:displayName>Enable Reordering</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_DDR4_STARVE_LIMIT" type="int"> + <ipxact:name>CTRL_DDR4_STARVE_LIMIT</ipxact:name> + <ipxact:displayName>Starvation limit for each command</ipxact:displayName> + <ipxact:value>63</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_DDR4_MMR_EN" type="bit"> + <ipxact:name>CTRL_DDR4_MMR_EN</ipxact:name> + <ipxact:displayName>Enable Memory-Mapped Configuration and Status Register (MMR) Interface</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_DDR4_RD_TO_WR_SAME_CHIP_DELTA_CYCS" type="int"> + <ipxact:name>CTRL_DDR4_RD_TO_WR_SAME_CHIP_DELTA_CYCS</ipxact:name> + <ipxact:displayName>Additional read-to-write turnaround time (same rank)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_DDR4_WR_TO_RD_SAME_CHIP_DELTA_CYCS" type="int"> + <ipxact:name>CTRL_DDR4_WR_TO_RD_SAME_CHIP_DELTA_CYCS</ipxact:name> + <ipxact:displayName>Additional write-to-read turnaround time (same rank)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_DDR4_RD_TO_RD_DIFF_CHIP_DELTA_CYCS" type="int"> + <ipxact:name>CTRL_DDR4_RD_TO_RD_DIFF_CHIP_DELTA_CYCS</ipxact:name> + <ipxact:displayName>Additional read-to-read turnaround time (different ranks)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_DDR4_RD_TO_WR_DIFF_CHIP_DELTA_CYCS" type="int"> + <ipxact:name>CTRL_DDR4_RD_TO_WR_DIFF_CHIP_DELTA_CYCS</ipxact:name> + <ipxact:displayName>Additional read-to-write turnaround time (different ranks)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_DDR4_WR_TO_WR_DIFF_CHIP_DELTA_CYCS" type="int"> + <ipxact:name>CTRL_DDR4_WR_TO_WR_DIFF_CHIP_DELTA_CYCS</ipxact:name> + <ipxact:displayName>Additional write-to-write turnaround time (different ranks)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_DDR4_WR_TO_RD_DIFF_CHIP_DELTA_CYCS" type="int"> + <ipxact:name>CTRL_DDR4_WR_TO_RD_DIFF_CHIP_DELTA_CYCS</ipxact:name> + <ipxact:displayName>Additional write-to-read turnaround time (different ranks)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_QDR2_AVL_PROTOCOL_ENUM" type="string"> + <ipxact:name>CTRL_QDR2_AVL_PROTOCOL_ENUM</ipxact:name> + <ipxact:displayName>Avalon Interface</ipxact:displayName> + <ipxact:value>CTRL_AVL_PROTOCOL_MM</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_QDR2_AVL_MAX_BURST_COUNT" type="int"> + <ipxact:name>CTRL_QDR2_AVL_MAX_BURST_COUNT</ipxact:name> + <ipxact:displayName>Maximum Avalon-MM burst length</ipxact:displayName> + <ipxact:value>4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_QDR2_AVL_ENABLE_POWER_OF_TWO_BUS" type="bit"> + <ipxact:name>CTRL_QDR2_AVL_ENABLE_POWER_OF_TWO_BUS</ipxact:name> + <ipxact:displayName>Generate power-of-2 data bus widths for Qsys</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_QDR2_AVL_SYMBOL_WIDTH" type="int"> + <ipxact:name>CTRL_QDR2_AVL_SYMBOL_WIDTH</ipxact:name> + <ipxact:displayName>PARAM_CTRL_QDR2_AVL_SYMBOL_WIDTH_NAME</ipxact:displayName> + <ipxact:value>9</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_QDR4_AVL_PROTOCOL_ENUM" type="string"> + <ipxact:name>CTRL_QDR4_AVL_PROTOCOL_ENUM</ipxact:name> + <ipxact:displayName>Avalon Interface</ipxact:displayName> + <ipxact:value>CTRL_AVL_PROTOCOL_MM</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_QDR4_AVL_MAX_BURST_COUNT" type="int"> + <ipxact:name>CTRL_QDR4_AVL_MAX_BURST_COUNT</ipxact:name> + <ipxact:displayName>Maximum Avalon-MM burst length</ipxact:displayName> + <ipxact:value>4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_QDR4_AVL_ENABLE_POWER_OF_TWO_BUS" type="bit"> + <ipxact:name>CTRL_QDR4_AVL_ENABLE_POWER_OF_TWO_BUS</ipxact:name> + <ipxact:displayName>Generate power-of-2 data bus widths for Qsys</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_QDR4_ADD_RAW_TURNAROUND_DELAY_CYC" type="int"> + <ipxact:name>CTRL_QDR4_ADD_RAW_TURNAROUND_DELAY_CYC</ipxact:name> + <ipxact:displayName>Additional read-after-write turnaround time</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_QDR4_ADD_WAR_TURNAROUND_DELAY_CYC" type="int"> + <ipxact:name>CTRL_QDR4_ADD_WAR_TURNAROUND_DELAY_CYC</ipxact:name> + <ipxact:displayName>Additional write-after-read turnaround time</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_QDR4_DEF_RAW_TURNAROUND_DELAY_CYC" type="int"> + <ipxact:name>CTRL_QDR4_DEF_RAW_TURNAROUND_DELAY_CYC</ipxact:name> + <ipxact:displayName>PARAM_CTRL_QDR4_DEF_RAW_TURNAROUND_DELAY_CYC_NAME</ipxact:displayName> + <ipxact:value>4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_QDR4_AVL_SYMBOL_WIDTH" type="int"> + <ipxact:name>CTRL_QDR4_AVL_SYMBOL_WIDTH</ipxact:name> + <ipxact:displayName>PARAM_CTRL_QDR4_AVL_SYMBOL_WIDTH_NAME</ipxact:displayName> + <ipxact:value>9</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_QDR4_RAW_TURNAROUND_DELAY_CYC" type="int"> + <ipxact:name>CTRL_QDR4_RAW_TURNAROUND_DELAY_CYC</ipxact:name> + <ipxact:displayName>PARAM_CTRL_QDR4_RAW_TURNAROUND_DELAY_CYC_NAME</ipxact:displayName> + <ipxact:value>4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_QDR4_WAR_TURNAROUND_DELAY_CYC" type="int"> + <ipxact:name>CTRL_QDR4_WAR_TURNAROUND_DELAY_CYC</ipxact:name> + <ipxact:displayName>PARAM_CTRL_QDR4_WAR_TURNAROUND_DELAY_CYC_NAME</ipxact:displayName> + <ipxact:value>11</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_RLD2_AVL_PROTOCOL_ENUM" type="string"> + <ipxact:name>CTRL_RLD2_AVL_PROTOCOL_ENUM</ipxact:name> + <ipxact:displayName>Avalon Interface</ipxact:displayName> + <ipxact:value>CTRL_AVL_PROTOCOL_MM</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_RLD3_AVL_PROTOCOL_ENUM" type="string"> + <ipxact:name>CTRL_RLD3_AVL_PROTOCOL_ENUM</ipxact:name> + <ipxact:displayName>Avalon Interface</ipxact:displayName> + <ipxact:value>CTRL_AVL_PROTOCOL_MM</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_RLD3_ADDR_ORDER_ENUM" type="string"> + <ipxact:name>CTRL_RLD3_ADDR_ORDER_ENUM</ipxact:name> + <ipxact:displayName>Address Ordering</ipxact:displayName> + <ipxact:value>RLD3_CTRL_ADDR_ORDER_CS_R_B_C</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_LPDDR3_AVL_PROTOCOL_ENUM" type="string"> + <ipxact:name>CTRL_LPDDR3_AVL_PROTOCOL_ENUM</ipxact:name> + <ipxact:displayName>Avalon Interface</ipxact:displayName> + <ipxact:value>CTRL_AVL_PROTOCOL_MM</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_LPDDR3_SELF_REFRESH_EN" type="bit"> + <ipxact:name>CTRL_LPDDR3_SELF_REFRESH_EN</ipxact:name> + <ipxact:displayName>Enable Self-Refresh Control</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_LPDDR3_AUTO_POWER_DOWN_EN" type="bit"> + <ipxact:name>CTRL_LPDDR3_AUTO_POWER_DOWN_EN</ipxact:name> + <ipxact:displayName>Enable Auto Power-Down</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_LPDDR3_AUTO_POWER_DOWN_CYCS" type="int"> + <ipxact:name>CTRL_LPDDR3_AUTO_POWER_DOWN_CYCS</ipxact:name> + <ipxact:displayName>Auto Power-Down Cycles</ipxact:displayName> + <ipxact:value>32</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_LPDDR3_USER_REFRESH_EN" type="bit"> + <ipxact:name>CTRL_LPDDR3_USER_REFRESH_EN</ipxact:name> + <ipxact:displayName>Enable User Refresh Control</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_LPDDR3_USER_PRIORITY_EN" type="bit"> + <ipxact:name>CTRL_LPDDR3_USER_PRIORITY_EN</ipxact:name> + <ipxact:displayName>Enable Command Priority Control</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_LPDDR3_AUTO_PRECHARGE_EN" type="bit"> + <ipxact:name>CTRL_LPDDR3_AUTO_PRECHARGE_EN</ipxact:name> + <ipxact:displayName>Enable Auto-Precharge Control</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_LPDDR3_ADDR_ORDER_ENUM" type="string"> + <ipxact:name>CTRL_LPDDR3_ADDR_ORDER_ENUM</ipxact:name> + <ipxact:displayName>Address Ordering</ipxact:displayName> + <ipxact:value>LPDDR3_CTRL_ADDR_ORDER_CS_R_B_C</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_LPDDR3_REORDER_EN" type="bit"> + <ipxact:name>CTRL_LPDDR3_REORDER_EN</ipxact:name> + <ipxact:displayName>Enable Reordering</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_LPDDR3_STARVE_LIMIT" type="int"> + <ipxact:name>CTRL_LPDDR3_STARVE_LIMIT</ipxact:name> + <ipxact:displayName>Starvation limit for each command</ipxact:displayName> + <ipxact:value>10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_LPDDR3_MMR_EN" type="bit"> + <ipxact:name>CTRL_LPDDR3_MMR_EN</ipxact:name> + <ipxact:displayName>Enable Memory-Mapped Configuration and Status Register (MMR) Interface</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_LPDDR3_RD_TO_WR_SAME_CHIP_DELTA_CYCS" type="int"> + <ipxact:name>CTRL_LPDDR3_RD_TO_WR_SAME_CHIP_DELTA_CYCS</ipxact:name> + <ipxact:displayName>Additional read-to-write turnaround time (same rank)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_LPDDR3_WR_TO_RD_SAME_CHIP_DELTA_CYCS" type="int"> + <ipxact:name>CTRL_LPDDR3_WR_TO_RD_SAME_CHIP_DELTA_CYCS</ipxact:name> + <ipxact:displayName>Additional write-to-read turnaround time (same rank)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_LPDDR3_RD_TO_RD_DIFF_CHIP_DELTA_CYCS" type="int"> + <ipxact:name>CTRL_LPDDR3_RD_TO_RD_DIFF_CHIP_DELTA_CYCS</ipxact:name> + <ipxact:displayName>Additional read-to-read turnaround time (different ranks)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_LPDDR3_RD_TO_WR_DIFF_CHIP_DELTA_CYCS" type="int"> + <ipxact:name>CTRL_LPDDR3_RD_TO_WR_DIFF_CHIP_DELTA_CYCS</ipxact:name> + <ipxact:displayName>Additional read-to-write turnaround time (different ranks)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_LPDDR3_WR_TO_WR_DIFF_CHIP_DELTA_CYCS" type="int"> + <ipxact:name>CTRL_LPDDR3_WR_TO_WR_DIFF_CHIP_DELTA_CYCS</ipxact:name> + <ipxact:displayName>Additional write-to-write turnaround time (different ranks)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CTRL_LPDDR3_WR_TO_RD_DIFF_CHIP_DELTA_CYCS" type="int"> + <ipxact:name>CTRL_LPDDR3_WR_TO_RD_DIFF_CHIP_DELTA_CYCS</ipxact:name> + <ipxact:displayName>Additional write-to-read turnaround time (different ranks)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_SIM_REGTEST_MODE" type="bit"> + <ipxact:name>DIAG_SIM_REGTEST_MODE</ipxact:name> + <ipxact:displayName>Simulation regtest mode</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_TIMING_REGTEST_MODE" type="bit"> + <ipxact:name>DIAG_TIMING_REGTEST_MODE</ipxact:name> + <ipxact:displayName>Timing regtest mode</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_SYNTH_FOR_SIM" type="bit"> + <ipxact:name>DIAG_SYNTH_FOR_SIM</ipxact:name> + <ipxact:displayName>Synthesize for simulation</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_FAST_SIM_OVERRIDE" type="string"> + <ipxact:name>DIAG_FAST_SIM_OVERRIDE</ipxact:name> + <ipxact:displayName>Fast simulation override</ipxact:displayName> + <ipxact:value>FAST_SIM_OVERRIDE_DEFAULT</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_SEQ_RESET_AUTO_RELEASE" type="string"> + <ipxact:name>DIAG_SEQ_RESET_AUTO_RELEASE</ipxact:name> + <ipxact:displayName>PARAM_DIAG_SEQ_RESET_AUTO_RELEASE_NAME</ipxact:displayName> + <ipxact:value>avl</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DB_RESET_AUTO_RELEASE" type="string"> + <ipxact:name>DIAG_DB_RESET_AUTO_RELEASE</ipxact:name> + <ipxact:displayName>PARAM_DIAG_DB_RESET_AUTO_RELEASE_NAME</ipxact:displayName> + <ipxact:value>avl_release</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_VERBOSE_IOAUX" type="bit"> + <ipxact:name>DIAG_VERBOSE_IOAUX</ipxact:name> + <ipxact:displayName>Show verbose IOAUX debug messages</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_ECLIPSE_DEBUG" type="bit"> + <ipxact:name>DIAG_ECLIPSE_DEBUG</ipxact:name> + <ipxact:displayName>Enable Eclipse debugging</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_EXPORT_VJI" type="bit"> + <ipxact:name>DIAG_EXPORT_VJI</ipxact:name> + <ipxact:displayName>Export Virtual JTAG Interface (VJI)</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_ENABLE_JTAG_UART" type="bit"> + <ipxact:name>DIAG_ENABLE_JTAG_UART</ipxact:name> + <ipxact:displayName>Enable JTAG UART</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_ENABLE_JTAG_UART_HEX" type="bit"> + <ipxact:name>DIAG_ENABLE_JTAG_UART_HEX</ipxact:name> + <ipxact:displayName>Enable JTAG UART hexfiles</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_ENABLE_HPS_EMIF_DEBUG" type="bit"> + <ipxact:name>DIAG_ENABLE_HPS_EMIF_DEBUG</ipxact:name> + <ipxact:displayName>Enable UART for HPS EMIF Debug</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_SOFT_NIOS_MODE" type="string"> + <ipxact:name>DIAG_SOFT_NIOS_MODE</ipxact:name> + <ipxact:displayName>Use Soft NIOS Processor for On-Chip Debug</ipxact:displayName> + <ipxact:value>SOFT_NIOS_MODE_DISABLED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_SOFT_NIOS_CLOCK_FREQUENCY" type="int"> + <ipxact:name>DIAG_SOFT_NIOS_CLOCK_FREQUENCY</ipxact:name> + <ipxact:displayName>Calibration Processor External Clock Frequency</ipxact:displayName> + <ipxact:value>100</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_USE_RS232_UART" type="bit"> + <ipxact:name>DIAG_USE_RS232_UART</ipxact:name> + <ipxact:displayName>Use an RS232 UART for Soft NIOS Calibration Processor debug output (requires code change)</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_RS232_UART_BAUDRATE" type="int"> + <ipxact:name>DIAG_RS232_UART_BAUDRATE</ipxact:name> + <ipxact:displayName>RS232 UART Speed</ipxact:displayName> + <ipxact:value>57600</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_EX_DESIGN_ADD_TEST_EMIFS" type="string"> + <ipxact:name>DIAG_EX_DESIGN_ADD_TEST_EMIFS</ipxact:name> + <ipxact:displayName>Add extra EMIFs to example design</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_EX_DESIGN_SEPARATE_RESETS" type="bit"> + <ipxact:name>DIAG_EX_DESIGN_SEPARATE_RESETS</ipxact:name> + <ipxact:displayName>Use a separate global reset signal for every interface</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_EXPOSE_DFT_SIGNALS" type="bit"> + <ipxact:name>DIAG_EXPOSE_DFT_SIGNALS</ipxact:name> + <ipxact:displayName>Expose test and debug signals</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_EXTRA_CONFIGS" type="string"> + <ipxact:name>DIAG_EXTRA_CONFIGS</ipxact:name> + <ipxact:displayName>Extra configuration</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_USE_BOARD_DELAY_MODEL" type="bit"> + <ipxact:name>DIAG_USE_BOARD_DELAY_MODEL</ipxact:name> + <ipxact:displayName>Use board delay model during simulation</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_BOARD_DELAY_CONFIG_STR" type="string"> + <ipxact:name>DIAG_BOARD_DELAY_CONFIG_STR</ipxact:name> + <ipxact:displayName>Board delay model configuration</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_TG_AVL_2_NUM_CFG_INTERFACES" type="int"> + <ipxact:name>DIAG_TG_AVL_2_NUM_CFG_INTERFACES</ipxact:name> + <ipxact:displayName>Number of Traffic Generator 2.0 configuration interfaces</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_EXPORT_PLL_REF_CLK_OUT" type="bit"> + <ipxact:name>DIAG_EXPORT_PLL_REF_CLK_OUT</ipxact:name> + <ipxact:displayName>PARAM_DIAG_EXPORT_PLL_REF_CLK_OUT_NAME</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_EXPORT_PLL_LOCKED" type="bit"> + <ipxact:name>DIAG_EXPORT_PLL_LOCKED</ipxact:name> + <ipxact:displayName>Export PLL lock signal</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_HMC_HRC" type="string"> + <ipxact:name>DIAG_HMC_HRC</ipxact:name> + <ipxact:displayName>PARAM_DIAG_HMC_HRC_NAME</ipxact:displayName> + <ipxact:value>auto</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="SHORT_QSYS_INTERFACE_NAMES" type="bit"> + <ipxact:name>SHORT_QSYS_INTERFACE_NAMES</ipxact:name> + <ipxact:displayName>Use short Qsys interface names</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_EXT_DOCS" type="bit"> + <ipxact:name>DIAG_EXT_DOCS</ipxact:name> + <ipxact:displayName>PARAM_DIAG_EXT_DOCS_NAME</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_SIM_CAL_MODE_ENUM" type="string"> + <ipxact:name>DIAG_SIM_CAL_MODE_ENUM</ipxact:name> + <ipxact:displayName>Calibration mode</ipxact:displayName> + <ipxact:value>SIM_CAL_MODE_SKIP</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_EXPORT_SEQ_AVALON_SLAVE" type="string"> + <ipxact:name>DIAG_EXPORT_SEQ_AVALON_SLAVE</ipxact:name> + <ipxact:displayName>Quartus Prime EMIF Debug Toolkit/On-Chip Debug Port</ipxact:displayName> + <ipxact:value>CAL_DEBUG_EXPORT_MODE_DISABLED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_EXPORT_SEQ_AVALON_MASTER" type="bit"> + <ipxact:name>DIAG_EXPORT_SEQ_AVALON_MASTER</ipxact:name> + <ipxact:displayName>Enable Daisy-Chaining for Quartus Prime EMIF Debug Toolkit/On-Chip Debug Port</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_EXPORT_SEQ_AVALON_HEAD_OF_CHAIN" type="bit"> + <ipxact:name>DIAG_EXPORT_SEQ_AVALON_HEAD_OF_CHAIN</ipxact:name> + <ipxact:displayName>First EMIF Instance in the Avalon Chain</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_EX_DESIGN_NUM_OF_SLAVES" type="int"> + <ipxact:name>DIAG_EX_DESIGN_NUM_OF_SLAVES</ipxact:name> + <ipxact:displayName>Number of core clocks sharing slaves to instantiate in the example design</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_EX_DESIGN_ISSP_EN" type="bit"> + <ipxact:name>DIAG_EX_DESIGN_ISSP_EN</ipxact:name> + <ipxact:displayName>Enable In-System-Sources-and-Probes</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_INTERFACE_ID" type="int"> + <ipxact:name>DIAG_INTERFACE_ID</ipxact:name> + <ipxact:displayName>Interface ID</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_EFFICIENCY_MONITOR" type="string"> + <ipxact:name>DIAG_EFFICIENCY_MONITOR</ipxact:name> + <ipxact:displayName>Enable Efficiency Monitor</ipxact:displayName> + <ipxact:value>EFFMON_MODE_DISABLED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_USE_ABSTRACT_PHY" type="bit"> + <ipxact:name>DIAG_USE_ABSTRACT_PHY</ipxact:name> + <ipxact:displayName>PARAM_DIAG_USE_ABSTRACT_PHY_NAME</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_SIM_MEMORY_PRELOAD" type="bit"> + <ipxact:name>DIAG_SIM_MEMORY_PRELOAD</ipxact:name> + <ipxact:displayName>Preload memory</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_SIM_MEMORY_PRELOAD_PRI_EMIF_FILE" type="string"> + <ipxact:name>DIAG_SIM_MEMORY_PRELOAD_PRI_EMIF_FILE</ipxact:name> + <ipxact:displayName>Memory preload-data filename for primary interface</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_SIM_MEMORY_PRELOAD_PRI_ECC_FILE" type="string"> + <ipxact:name>DIAG_SIM_MEMORY_PRELOAD_PRI_ECC_FILE</ipxact:name> + <ipxact:displayName>PARAM_DIAG_SIM_MEMORY_PRELOAD_PRI_ECC_FILE_NAME</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_SIM_MEMORY_PRELOAD_PRI_MEM_FILE" type="string"> + <ipxact:name>DIAG_SIM_MEMORY_PRELOAD_PRI_MEM_FILE</ipxact:name> + <ipxact:displayName>PARAM_DIAG_SIM_MEMORY_PRELOAD_PRI_MEM_FILE_NAME</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_SIM_MEMORY_PRELOAD_PRI_ABPHY_FILE" type="string"> + <ipxact:name>DIAG_SIM_MEMORY_PRELOAD_PRI_ABPHY_FILE</ipxact:name> + <ipxact:displayName>PARAM_DIAG_SIM_MEMORY_PRELOAD_PRI_ABPHY_FILE_NAME</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_SIM_MEMORY_PRELOAD_SEC_EMIF_FILE" type="string"> + <ipxact:name>DIAG_SIM_MEMORY_PRELOAD_SEC_EMIF_FILE</ipxact:name> + <ipxact:displayName>Memory preload-data filename for secondary interface</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_SIM_MEMORY_PRELOAD_SEC_ECC_FILE" type="string"> + <ipxact:name>DIAG_SIM_MEMORY_PRELOAD_SEC_ECC_FILE</ipxact:name> + <ipxact:displayName>PARAM_DIAG_SIM_MEMORY_PRELOAD_SEC_ECC_FILE_NAME</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_SIM_MEMORY_PRELOAD_SEC_MEM_FILE" type="string"> + <ipxact:name>DIAG_SIM_MEMORY_PRELOAD_SEC_MEM_FILE</ipxact:name> + <ipxact:displayName>PARAM_DIAG_SIM_MEMORY_PRELOAD_SEC_MEM_FILE_NAME</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_SIM_MEMORY_PRELOAD_SEC_ABPHY_FILE" type="string"> + <ipxact:name>DIAG_SIM_MEMORY_PRELOAD_SEC_ABPHY_FILE</ipxact:name> + <ipxact:displayName>PARAM_DIAG_SIM_MEMORY_PRELOAD_SEC_ABPHY_FILE_NAME</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_USE_SIM_MEMORY_VALIDATION_TG" type="bit"> + <ipxact:name>DIAG_USE_SIM_MEMORY_VALIDATION_TG</ipxact:name> + <ipxact:displayName>Use traffic generator to validate memory contents in Example Design simulation</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_SIM_VERBOSE_LEVEL" type="int"> + <ipxact:name>DIAG_SIM_VERBOSE_LEVEL</ipxact:name> + <ipxact:displayName>PARAM_DIAG_SIM_VERBOSE_LEVEL_NAME</ipxact:displayName> + <ipxact:value>5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_FAST_SIM" type="bit"> + <ipxact:name>DIAG_FAST_SIM</ipxact:name> + <ipxact:displayName>PARAM_DIAG_FAST_SIM_NAME</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_USE_TG_AVL_2" type="bit"> + <ipxact:name>DIAG_USE_TG_AVL_2</ipxact:name> + <ipxact:displayName>Use configurable Avalon traffic generator 2.0</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_EXPORT_TG_CFG_AVALON_SLAVE" type="string"> + <ipxact:name>DIAG_EXPORT_TG_CFG_AVALON_SLAVE</ipxact:name> + <ipxact:displayName>TG2 Configuration Interface Mode</ipxact:displayName> + <ipxact:value>TG_CFG_AMM_EXPORT_MODE_EXPORT</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_INFI_TG2_ERR_TEST" type="bit"> + <ipxact:name>DIAG_INFI_TG2_ERR_TEST</ipxact:name> + <ipxact:displayName>Run diagnostic on infinite test duration</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_BYPASS_DEFAULT_PATTERN" type="bit"> + <ipxact:name>DIAG_BYPASS_DEFAULT_PATTERN</ipxact:name> + <ipxact:displayName>Bypass the default traffic pattern</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_BYPASS_USER_STAGE" type="bit"> + <ipxact:name>DIAG_BYPASS_USER_STAGE</ipxact:name> + <ipxact:displayName>Bypass the user-configured traffic stage</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_ENABLE_SOFT_M20K" type="bit"> + <ipxact:name>DIAG_ENABLE_SOFT_M20K</ipxact:name> + <ipxact:displayName>PARAM_DIAG_ENABLE_SOFT_M20K_NAME</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_SIM_CHECKER_SKIP_TG" type="bit"> + <ipxact:name>DIAG_SIM_CHECKER_SKIP_TG</ipxact:name> + <ipxact:displayName>PARAM_DIAG_SIM_CHECKER_SKIP_TG_NAME</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DISABLE_AFI_P2C_REGISTERS" type="bit"> + <ipxact:name>DIAG_DISABLE_AFI_P2C_REGISTERS</ipxact:name> + <ipxact:displayName>Disable P2C Register Stage</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_EX_DESIGN_SEPARATE_RZQS" type="bit"> + <ipxact:name>DIAG_EX_DESIGN_SEPARATE_RZQS</ipxact:name> + <ipxact:displayName>PARAM_DIAG_EX_DESIGN_SEPARATE_RZQS_NAME</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR3_SIM_CAL_MODE_ENUM" type="string"> + <ipxact:name>DIAG_DDR3_SIM_CAL_MODE_ENUM</ipxact:name> + <ipxact:displayName>Calibration mode</ipxact:displayName> + <ipxact:value>SIM_CAL_MODE_SKIP</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR3_EXPORT_SEQ_AVALON_SLAVE" type="string"> + <ipxact:name>DIAG_DDR3_EXPORT_SEQ_AVALON_SLAVE</ipxact:name> + <ipxact:displayName>Quartus Prime EMIF Debug Toolkit/On-Chip Debug Port</ipxact:displayName> + <ipxact:value>CAL_DEBUG_EXPORT_MODE_DISABLED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR3_EXPORT_SEQ_AVALON_MASTER" type="bit"> + <ipxact:name>DIAG_DDR3_EXPORT_SEQ_AVALON_MASTER</ipxact:name> + <ipxact:displayName>Enable Daisy-Chaining for Quartus Prime EMIF Debug Toolkit/On-Chip Debug Port</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR3_EXPORT_SEQ_AVALON_HEAD_OF_CHAIN" type="bit"> + <ipxact:name>DIAG_DDR3_EXPORT_SEQ_AVALON_HEAD_OF_CHAIN</ipxact:name> + <ipxact:displayName>First EMIF Instance in the Avalon Chain</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR3_EX_DESIGN_NUM_OF_SLAVES" type="int"> + <ipxact:name>DIAG_DDR3_EX_DESIGN_NUM_OF_SLAVES</ipxact:name> + <ipxact:displayName>Number of core clocks sharing slaves to instantiate in the example design</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR3_EX_DESIGN_ISSP_EN" type="bit"> + <ipxact:name>DIAG_DDR3_EX_DESIGN_ISSP_EN</ipxact:name> + <ipxact:displayName>Enable In-System-Sources-and-Probes</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR3_INTERFACE_ID" type="int"> + <ipxact:name>DIAG_DDR3_INTERFACE_ID</ipxact:name> + <ipxact:displayName>Interface ID</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR3_EFFICIENCY_MONITOR" type="string"> + <ipxact:name>DIAG_DDR3_EFFICIENCY_MONITOR</ipxact:name> + <ipxact:displayName>Enable Efficiency Monitor</ipxact:displayName> + <ipxact:value>EFFMON_MODE_DISABLED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR3_SIM_VERBOSE" type="bit"> + <ipxact:name>DIAG_DDR3_SIM_VERBOSE</ipxact:name> + <ipxact:displayName>Show verbose simulation debug messages</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR3_USER_SIM_MEMORY_PRELOAD" type="bit"> + <ipxact:name>DIAG_DDR3_USER_SIM_MEMORY_PRELOAD</ipxact:name> + <ipxact:displayName>Preload memory</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR3_USER_SIM_MEMORY_PRELOAD_PRI_EMIF_FILE" type="string"> + <ipxact:name>DIAG_DDR3_USER_SIM_MEMORY_PRELOAD_PRI_EMIF_FILE</ipxact:name> + <ipxact:displayName>Memory preload-data filename for primary interface</ipxact:displayName> + <ipxact:value>EMIF_PRI_PRELOAD.txt</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR3_USER_SIM_MEMORY_PRELOAD_SEC_EMIF_FILE" type="string"> + <ipxact:name>DIAG_DDR3_USER_SIM_MEMORY_PRELOAD_SEC_EMIF_FILE</ipxact:name> + <ipxact:displayName>Memory preload-data filename for secondary interface</ipxact:displayName> + <ipxact:value>EMIF_SEC_PRELOAD.txt</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR3_USER_USE_SIM_MEMORY_VALIDATION_TG" type="bit"> + <ipxact:name>DIAG_DDR3_USER_USE_SIM_MEMORY_VALIDATION_TG</ipxact:name> + <ipxact:displayName>Use traffic generator to validate memory contents in Example Design simulation</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR3_USE_TG_AVL_2" type="bit"> + <ipxact:name>DIAG_DDR3_USE_TG_AVL_2</ipxact:name> + <ipxact:displayName>Use configurable Avalon traffic generator 2.0</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR3_ABSTRACT_PHY" type="bit"> + <ipxact:name>DIAG_DDR3_ABSTRACT_PHY</ipxact:name> + <ipxact:displayName>Abstract phy for fast simulation </ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR3_BYPASS_DEFAULT_PATTERN" type="bit"> + <ipxact:name>DIAG_DDR3_BYPASS_DEFAULT_PATTERN</ipxact:name> + <ipxact:displayName>Bypass the default traffic pattern</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR3_BYPASS_USER_STAGE" type="bit"> + <ipxact:name>DIAG_DDR3_BYPASS_USER_STAGE</ipxact:name> + <ipxact:displayName>Bypass the user-configured traffic stage</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR3_EXPORT_TG_CFG_AVALON_SLAVE" type="string"> + <ipxact:name>DIAG_DDR3_EXPORT_TG_CFG_AVALON_SLAVE</ipxact:name> + <ipxact:displayName>TG2 Configuration Interface Mode</ipxact:displayName> + <ipxact:value>TG_CFG_AMM_EXPORT_MODE_EXPORT</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR3_INFI_TG2_ERR_TEST" type="bit"> + <ipxact:name>DIAG_DDR3_INFI_TG2_ERR_TEST</ipxact:name> + <ipxact:displayName>Run diagnostic on infinite test duration</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR3_SEPARATE_READ_WRITE_ITFS" type="bit"> + <ipxact:name>DIAG_DDR3_SEPARATE_READ_WRITE_ITFS</ipxact:name> + <ipxact:displayName>PARAM_DIAG_SEPARATE_READ_WRITE_ITFS_NAME</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR3_DISABLE_AFI_P2C_REGISTERS" type="bit"> + <ipxact:name>DIAG_DDR3_DISABLE_AFI_P2C_REGISTERS</ipxact:name> + <ipxact:displayName>Disable P2C Register Stage</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR3_SIM_MEMORY_PRELOAD" type="bit"> + <ipxact:name>DIAG_DDR3_SIM_MEMORY_PRELOAD</ipxact:name> + <ipxact:displayName>Preload memory</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR3_SIM_MEMORY_PRELOAD_PRI_EMIF_FILE" type="string"> + <ipxact:name>DIAG_DDR3_SIM_MEMORY_PRELOAD_PRI_EMIF_FILE</ipxact:name> + <ipxact:displayName>Memory preload-data filename for primary interface</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR3_SIM_MEMORY_PRELOAD_SEC_EMIF_FILE" type="string"> + <ipxact:name>DIAG_DDR3_SIM_MEMORY_PRELOAD_SEC_EMIF_FILE</ipxact:name> + <ipxact:displayName>Memory preload-data filename for secondary interface</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR3_USE_SIM_MEMORY_VALIDATION_TG" type="bit"> + <ipxact:name>DIAG_DDR3_USE_SIM_MEMORY_VALIDATION_TG</ipxact:name> + <ipxact:displayName>Use traffic generator to validate memory contents in Example Design simulation</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR3_EX_DESIGN_SEPARATE_RZQS" type="bit"> + <ipxact:name>DIAG_DDR3_EX_DESIGN_SEPARATE_RZQS</ipxact:name> + <ipxact:displayName>PARAM_DIAG_DDR3_EX_DESIGN_SEPARATE_RZQS_NAME</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR3_CA_LEVEL_EN" type="bit"> + <ipxact:name>DIAG_DDR3_CA_LEVEL_EN</ipxact:name> + <ipxact:displayName>Enable address/command leveling calibration</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR3_CA_DESKEW_EN" type="bit"> + <ipxact:name>DIAG_DDR3_CA_DESKEW_EN</ipxact:name> + <ipxact:displayName>Enable address/command deskew calibration</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR3_CAL_ADDR0" type="int"> + <ipxact:name>DIAG_DDR3_CAL_ADDR0</ipxact:name> + <ipxact:displayName>Calibration address 0</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR3_CAL_ADDR1" type="int"> + <ipxact:name>DIAG_DDR3_CAL_ADDR1</ipxact:name> + <ipxact:displayName>Calibration address 1</ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR3_CAL_ENABLE_NON_DES" type="bit"> + <ipxact:name>DIAG_DDR3_CAL_ENABLE_NON_DES</ipxact:name> + <ipxact:displayName>Enable refreshes during calibration</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR3_CAL_FULL_CAL_ON_RESET" type="bit"> + <ipxact:name>DIAG_DDR3_CAL_FULL_CAL_ON_RESET</ipxact:name> + <ipxact:displayName>Enable automatic calibration after reset</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR3_CAL_ENABLE_MICRON_AP" type="bit"> + <ipxact:name>DIAG_DDR3_CAL_ENABLE_MICRON_AP</ipxact:name> + <ipxact:displayName>Enable Micron Automata Calibration</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR4_SIM_CAL_MODE_ENUM" type="string"> + <ipxact:name>DIAG_DDR4_SIM_CAL_MODE_ENUM</ipxact:name> + <ipxact:displayName>Calibration mode</ipxact:displayName> + <ipxact:value>SIM_CAL_MODE_SKIP</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR4_EXPORT_SEQ_AVALON_SLAVE" type="string"> + <ipxact:name>DIAG_DDR4_EXPORT_SEQ_AVALON_SLAVE</ipxact:name> + <ipxact:displayName>Quartus Prime EMIF Debug Toolkit/On-Chip Debug Port</ipxact:displayName> + <ipxact:value>CAL_DEBUG_EXPORT_MODE_DISABLED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR4_EXPORT_SEQ_AVALON_MASTER" type="bit"> + <ipxact:name>DIAG_DDR4_EXPORT_SEQ_AVALON_MASTER</ipxact:name> + <ipxact:displayName>Enable Daisy-Chaining for Quartus Prime EMIF Debug Toolkit/On-Chip Debug Port</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR4_EXPORT_SEQ_AVALON_HEAD_OF_CHAIN" type="bit"> + <ipxact:name>DIAG_DDR4_EXPORT_SEQ_AVALON_HEAD_OF_CHAIN</ipxact:name> + <ipxact:displayName>First EMIF Instance in the Avalon Chain</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR4_EX_DESIGN_NUM_OF_SLAVES" type="int"> + <ipxact:name>DIAG_DDR4_EX_DESIGN_NUM_OF_SLAVES</ipxact:name> + <ipxact:displayName>Number of core clocks sharing slaves to instantiate in the example design</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR4_EX_DESIGN_ISSP_EN" type="bit"> + <ipxact:name>DIAG_DDR4_EX_DESIGN_ISSP_EN</ipxact:name> + <ipxact:displayName>Enable In-System-Sources-and-Probes</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR4_INTERFACE_ID" type="int"> + <ipxact:name>DIAG_DDR4_INTERFACE_ID</ipxact:name> + <ipxact:displayName>Interface ID</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR4_EFFICIENCY_MONITOR" type="string"> + <ipxact:name>DIAG_DDR4_EFFICIENCY_MONITOR</ipxact:name> + <ipxact:displayName>Enable Efficiency Monitor</ipxact:displayName> + <ipxact:value>EFFMON_MODE_DISABLED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR4_SIM_VERBOSE" type="bit"> + <ipxact:name>DIAG_DDR4_SIM_VERBOSE</ipxact:name> + <ipxact:displayName>Show verbose simulation debug messages</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR4_USER_SIM_MEMORY_PRELOAD" type="bit"> + <ipxact:name>DIAG_DDR4_USER_SIM_MEMORY_PRELOAD</ipxact:name> + <ipxact:displayName>Preload memory</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR4_USER_SIM_MEMORY_PRELOAD_PRI_EMIF_FILE" type="string"> + <ipxact:name>DIAG_DDR4_USER_SIM_MEMORY_PRELOAD_PRI_EMIF_FILE</ipxact:name> + <ipxact:displayName>Memory preload-data filename for primary interface</ipxact:displayName> + <ipxact:value>EMIF_PRI_PRELOAD.txt</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR4_USER_SIM_MEMORY_PRELOAD_SEC_EMIF_FILE" type="string"> + <ipxact:name>DIAG_DDR4_USER_SIM_MEMORY_PRELOAD_SEC_EMIF_FILE</ipxact:name> + <ipxact:displayName>Memory preload-data filename for secondary interface</ipxact:displayName> + <ipxact:value>EMIF_SEC_PRELOAD.txt</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR4_USER_USE_SIM_MEMORY_VALIDATION_TG" type="bit"> + <ipxact:name>DIAG_DDR4_USER_USE_SIM_MEMORY_VALIDATION_TG</ipxact:name> + <ipxact:displayName>Use traffic generator to validate memory contents in Example Design simulation</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR4_USE_TG_AVL_2" type="bit"> + <ipxact:name>DIAG_DDR4_USE_TG_AVL_2</ipxact:name> + <ipxact:displayName>Use configurable Avalon traffic generator 2.0</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR4_ABSTRACT_PHY" type="bit"> + <ipxact:name>DIAG_DDR4_ABSTRACT_PHY</ipxact:name> + <ipxact:displayName>Abstract phy for fast simulation </ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR4_BYPASS_DEFAULT_PATTERN" type="bit"> + <ipxact:name>DIAG_DDR4_BYPASS_DEFAULT_PATTERN</ipxact:name> + <ipxact:displayName>Bypass the default traffic pattern</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR4_BYPASS_USER_STAGE" type="bit"> + <ipxact:name>DIAG_DDR4_BYPASS_USER_STAGE</ipxact:name> + <ipxact:displayName>Bypass the user-configured traffic stage</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR4_EXPORT_TG_CFG_AVALON_SLAVE" type="string"> + <ipxact:name>DIAG_DDR4_EXPORT_TG_CFG_AVALON_SLAVE</ipxact:name> + <ipxact:displayName>TG2 Configuration Interface Mode</ipxact:displayName> + <ipxact:value>TG_CFG_AMM_EXPORT_MODE_EXPORT</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR4_INFI_TG2_ERR_TEST" type="bit"> + <ipxact:name>DIAG_DDR4_INFI_TG2_ERR_TEST</ipxact:name> + <ipxact:displayName>Run diagnostic on infinite test duration</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR4_SEPARATE_READ_WRITE_ITFS" type="bit"> + <ipxact:name>DIAG_DDR4_SEPARATE_READ_WRITE_ITFS</ipxact:name> + <ipxact:displayName>PARAM_DIAG_SEPARATE_READ_WRITE_ITFS_NAME</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR4_DISABLE_AFI_P2C_REGISTERS" type="bit"> + <ipxact:name>DIAG_DDR4_DISABLE_AFI_P2C_REGISTERS</ipxact:name> + <ipxact:displayName>Disable P2C Register Stage</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR4_SIM_MEMORY_PRELOAD" type="bit"> + <ipxact:name>DIAG_DDR4_SIM_MEMORY_PRELOAD</ipxact:name> + <ipxact:displayName>Preload memory</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR4_SIM_MEMORY_PRELOAD_PRI_EMIF_FILE" type="string"> + <ipxact:name>DIAG_DDR4_SIM_MEMORY_PRELOAD_PRI_EMIF_FILE</ipxact:name> + <ipxact:displayName>Memory preload-data filename for primary interface</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR4_SIM_MEMORY_PRELOAD_SEC_EMIF_FILE" type="string"> + <ipxact:name>DIAG_DDR4_SIM_MEMORY_PRELOAD_SEC_EMIF_FILE</ipxact:name> + <ipxact:displayName>Memory preload-data filename for secondary interface</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR4_USE_SIM_MEMORY_VALIDATION_TG" type="bit"> + <ipxact:name>DIAG_DDR4_USE_SIM_MEMORY_VALIDATION_TG</ipxact:name> + <ipxact:displayName>Use traffic generator to validate memory contents in Example Design simulation</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR4_EX_DESIGN_SEPARATE_RZQS" type="bit"> + <ipxact:name>DIAG_DDR4_EX_DESIGN_SEPARATE_RZQS</ipxact:name> + <ipxact:displayName>PARAM_DIAG_DDR4_EX_DESIGN_SEPARATE_RZQS_NAME</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR4_SKIP_CA_LEVEL" type="bit"> + <ipxact:name>DIAG_DDR4_SKIP_CA_LEVEL</ipxact:name> + <ipxact:displayName>Skip address/command leveling calibration</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR4_SKIP_CA_DESKEW" type="bit"> + <ipxact:name>DIAG_DDR4_SKIP_CA_DESKEW</ipxact:name> + <ipxact:displayName>Skip address/command deskew calibration</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR4_SKIP_VREF_CAL" type="bit"> + <ipxact:name>DIAG_DDR4_SKIP_VREF_CAL</ipxact:name> + <ipxact:displayName>Skip VREF calibration</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR4_CAL_ADDR0" type="int"> + <ipxact:name>DIAG_DDR4_CAL_ADDR0</ipxact:name> + <ipxact:displayName>Calibration address 0</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR4_CAL_ADDR1" type="int"> + <ipxact:name>DIAG_DDR4_CAL_ADDR1</ipxact:name> + <ipxact:displayName>Calibration address 1</ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR4_CAL_ENABLE_NON_DES" type="bit"> + <ipxact:name>DIAG_DDR4_CAL_ENABLE_NON_DES</ipxact:name> + <ipxact:displayName>Enable refreshes during calibration</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_DDR4_CAL_FULL_CAL_ON_RESET" type="bit"> + <ipxact:name>DIAG_DDR4_CAL_FULL_CAL_ON_RESET</ipxact:name> + <ipxact:displayName>Enable automatic calibration after reset</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_QDR2_SIM_CAL_MODE_ENUM" type="string"> + <ipxact:name>DIAG_QDR2_SIM_CAL_MODE_ENUM</ipxact:name> + <ipxact:displayName>Calibration mode</ipxact:displayName> + <ipxact:value>SIM_CAL_MODE_SKIP</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_QDR2_EXPORT_SEQ_AVALON_SLAVE" type="string"> + <ipxact:name>DIAG_QDR2_EXPORT_SEQ_AVALON_SLAVE</ipxact:name> + <ipxact:displayName>Quartus Prime EMIF Debug Toolkit/On-Chip Debug Port</ipxact:displayName> + <ipxact:value>CAL_DEBUG_EXPORT_MODE_DISABLED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_QDR2_EXPORT_SEQ_AVALON_MASTER" type="bit"> + <ipxact:name>DIAG_QDR2_EXPORT_SEQ_AVALON_MASTER</ipxact:name> + <ipxact:displayName>Enable Daisy-Chaining for Quartus Prime EMIF Debug Toolkit/On-Chip Debug Port</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_QDR2_EXPORT_SEQ_AVALON_HEAD_OF_CHAIN" type="bit"> + <ipxact:name>DIAG_QDR2_EXPORT_SEQ_AVALON_HEAD_OF_CHAIN</ipxact:name> + <ipxact:displayName>First EMIF Instance in the Avalon Chain</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_QDR2_EX_DESIGN_NUM_OF_SLAVES" type="int"> + <ipxact:name>DIAG_QDR2_EX_DESIGN_NUM_OF_SLAVES</ipxact:name> + <ipxact:displayName>Number of core clocks sharing slaves to instantiate in the example design</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_QDR2_EX_DESIGN_ISSP_EN" type="bit"> + <ipxact:name>DIAG_QDR2_EX_DESIGN_ISSP_EN</ipxact:name> + <ipxact:displayName>Enable In-System-Sources-and-Probes</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_QDR2_INTERFACE_ID" type="int"> + <ipxact:name>DIAG_QDR2_INTERFACE_ID</ipxact:name> + <ipxact:displayName>Interface ID</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_QDR2_EFFICIENCY_MONITOR" type="string"> + <ipxact:name>DIAG_QDR2_EFFICIENCY_MONITOR</ipxact:name> + <ipxact:displayName>Enable Efficiency Monitor</ipxact:displayName> + <ipxact:value>EFFMON_MODE_DISABLED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_QDR2_SIM_VERBOSE" type="bit"> + <ipxact:name>DIAG_QDR2_SIM_VERBOSE</ipxact:name> + <ipxact:displayName>Show verbose simulation debug messages</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_QDR2_USER_SIM_MEMORY_PRELOAD" type="bit"> + <ipxact:name>DIAG_QDR2_USER_SIM_MEMORY_PRELOAD</ipxact:name> + <ipxact:displayName>Preload memory</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_QDR2_USER_SIM_MEMORY_PRELOAD_PRI_EMIF_FILE" type="string"> + <ipxact:name>DIAG_QDR2_USER_SIM_MEMORY_PRELOAD_PRI_EMIF_FILE</ipxact:name> + <ipxact:displayName>Memory preload-data filename for primary interface</ipxact:displayName> + <ipxact:value>EMIF_PRI_PRELOAD.txt</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_QDR2_USER_SIM_MEMORY_PRELOAD_SEC_EMIF_FILE" type="string"> + <ipxact:name>DIAG_QDR2_USER_SIM_MEMORY_PRELOAD_SEC_EMIF_FILE</ipxact:name> + <ipxact:displayName>Memory preload-data filename for secondary interface</ipxact:displayName> + <ipxact:value>EMIF_SEC_PRELOAD.txt</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_QDR2_USER_USE_SIM_MEMORY_VALIDATION_TG" type="bit"> + <ipxact:name>DIAG_QDR2_USER_USE_SIM_MEMORY_VALIDATION_TG</ipxact:name> + <ipxact:displayName>Use traffic generator to validate memory contents in Example Design simulation</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_QDR2_USE_TG_AVL_2" type="bit"> + <ipxact:name>DIAG_QDR2_USE_TG_AVL_2</ipxact:name> + <ipxact:displayName>Use configurable Avalon traffic generator 2.0</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_QDR2_ABSTRACT_PHY" type="bit"> + <ipxact:name>DIAG_QDR2_ABSTRACT_PHY</ipxact:name> + <ipxact:displayName>Abstract phy for fast simulation </ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_QDR2_BYPASS_DEFAULT_PATTERN" type="bit"> + <ipxact:name>DIAG_QDR2_BYPASS_DEFAULT_PATTERN</ipxact:name> + <ipxact:displayName>Bypass the default traffic pattern</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_QDR2_BYPASS_USER_STAGE" type="bit"> + <ipxact:name>DIAG_QDR2_BYPASS_USER_STAGE</ipxact:name> + <ipxact:displayName>Bypass the user-configured traffic stage</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_QDR2_EXPORT_TG_CFG_AVALON_SLAVE" type="string"> + <ipxact:name>DIAG_QDR2_EXPORT_TG_CFG_AVALON_SLAVE</ipxact:name> + <ipxact:displayName>TG2 Configuration Interface Mode</ipxact:displayName> + <ipxact:value>TG_CFG_AMM_EXPORT_MODE_EXPORT</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_QDR2_INFI_TG2_ERR_TEST" type="bit"> + <ipxact:name>DIAG_QDR2_INFI_TG2_ERR_TEST</ipxact:name> + <ipxact:displayName>Run diagnostic on infinite test duration</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_QDR2_SEPARATE_READ_WRITE_ITFS" type="bit"> + <ipxact:name>DIAG_QDR2_SEPARATE_READ_WRITE_ITFS</ipxact:name> + <ipxact:displayName>PARAM_DIAG_SEPARATE_READ_WRITE_ITFS_NAME</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_QDR2_DISABLE_AFI_P2C_REGISTERS" type="bit"> + <ipxact:name>DIAG_QDR2_DISABLE_AFI_P2C_REGISTERS</ipxact:name> + <ipxact:displayName>Disable P2C Register Stage</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_QDR2_SIM_MEMORY_PRELOAD" type="bit"> + <ipxact:name>DIAG_QDR2_SIM_MEMORY_PRELOAD</ipxact:name> + <ipxact:displayName>Preload memory</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_QDR2_SIM_MEMORY_PRELOAD_PRI_EMIF_FILE" type="string"> + <ipxact:name>DIAG_QDR2_SIM_MEMORY_PRELOAD_PRI_EMIF_FILE</ipxact:name> + <ipxact:displayName>Memory preload-data filename for primary interface</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_QDR2_SIM_MEMORY_PRELOAD_SEC_EMIF_FILE" type="string"> + <ipxact:name>DIAG_QDR2_SIM_MEMORY_PRELOAD_SEC_EMIF_FILE</ipxact:name> + <ipxact:displayName>Memory preload-data filename for secondary interface</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_QDR2_USE_SIM_MEMORY_VALIDATION_TG" type="bit"> + <ipxact:name>DIAG_QDR2_USE_SIM_MEMORY_VALIDATION_TG</ipxact:name> + <ipxact:displayName>Use traffic generator to validate memory contents in Example Design simulation</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_QDR2_EX_DESIGN_SEPARATE_RZQS" type="bit"> + <ipxact:name>DIAG_QDR2_EX_DESIGN_SEPARATE_RZQS</ipxact:name> + <ipxact:displayName>PARAM_DIAG_QDR2_EX_DESIGN_SEPARATE_RZQS_NAME</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_QDR4_SIM_CAL_MODE_ENUM" type="string"> + <ipxact:name>DIAG_QDR4_SIM_CAL_MODE_ENUM</ipxact:name> + <ipxact:displayName>Calibration mode</ipxact:displayName> + <ipxact:value>SIM_CAL_MODE_SKIP</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_QDR4_EXPORT_SEQ_AVALON_SLAVE" type="string"> + <ipxact:name>DIAG_QDR4_EXPORT_SEQ_AVALON_SLAVE</ipxact:name> + <ipxact:displayName>Quartus Prime EMIF Debug Toolkit/On-Chip Debug Port</ipxact:displayName> + <ipxact:value>CAL_DEBUG_EXPORT_MODE_DISABLED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_QDR4_EXPORT_SEQ_AVALON_MASTER" type="bit"> + <ipxact:name>DIAG_QDR4_EXPORT_SEQ_AVALON_MASTER</ipxact:name> + <ipxact:displayName>Enable Daisy-Chaining for Quartus Prime EMIF Debug Toolkit/On-Chip Debug Port</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_QDR4_EXPORT_SEQ_AVALON_HEAD_OF_CHAIN" type="bit"> + <ipxact:name>DIAG_QDR4_EXPORT_SEQ_AVALON_HEAD_OF_CHAIN</ipxact:name> + <ipxact:displayName>First EMIF Instance in the Avalon Chain</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_QDR4_EX_DESIGN_NUM_OF_SLAVES" type="int"> + <ipxact:name>DIAG_QDR4_EX_DESIGN_NUM_OF_SLAVES</ipxact:name> + <ipxact:displayName>Number of core clocks sharing slaves to instantiate in the example design</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_QDR4_EX_DESIGN_ISSP_EN" type="bit"> + <ipxact:name>DIAG_QDR4_EX_DESIGN_ISSP_EN</ipxact:name> + <ipxact:displayName>Enable In-System-Sources-and-Probes</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_QDR4_INTERFACE_ID" type="int"> + <ipxact:name>DIAG_QDR4_INTERFACE_ID</ipxact:name> + <ipxact:displayName>Interface ID</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_QDR4_EFFICIENCY_MONITOR" type="string"> + <ipxact:name>DIAG_QDR4_EFFICIENCY_MONITOR</ipxact:name> + <ipxact:displayName>Enable Efficiency Monitor</ipxact:displayName> + <ipxact:value>EFFMON_MODE_DISABLED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_QDR4_SIM_VERBOSE" type="bit"> + <ipxact:name>DIAG_QDR4_SIM_VERBOSE</ipxact:name> + <ipxact:displayName>Show verbose simulation debug messages</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_QDR4_USER_SIM_MEMORY_PRELOAD" type="bit"> + <ipxact:name>DIAG_QDR4_USER_SIM_MEMORY_PRELOAD</ipxact:name> + <ipxact:displayName>Preload memory</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_QDR4_USER_SIM_MEMORY_PRELOAD_PRI_EMIF_FILE" type="string"> + <ipxact:name>DIAG_QDR4_USER_SIM_MEMORY_PRELOAD_PRI_EMIF_FILE</ipxact:name> + <ipxact:displayName>Memory preload-data filename for primary interface</ipxact:displayName> + <ipxact:value>EMIF_PRI_PRELOAD.txt</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_QDR4_USER_SIM_MEMORY_PRELOAD_SEC_EMIF_FILE" type="string"> + <ipxact:name>DIAG_QDR4_USER_SIM_MEMORY_PRELOAD_SEC_EMIF_FILE</ipxact:name> + <ipxact:displayName>Memory preload-data filename for secondary interface</ipxact:displayName> + <ipxact:value>EMIF_SEC_PRELOAD.txt</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_QDR4_USER_USE_SIM_MEMORY_VALIDATION_TG" type="bit"> + <ipxact:name>DIAG_QDR4_USER_USE_SIM_MEMORY_VALIDATION_TG</ipxact:name> + <ipxact:displayName>Use traffic generator to validate memory contents in Example Design simulation</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_QDR4_USE_TG_AVL_2" type="bit"> + <ipxact:name>DIAG_QDR4_USE_TG_AVL_2</ipxact:name> + <ipxact:displayName>Use configurable Avalon traffic generator 2.0</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_QDR4_ABSTRACT_PHY" type="bit"> + <ipxact:name>DIAG_QDR4_ABSTRACT_PHY</ipxact:name> + <ipxact:displayName>Abstract phy for fast simulation </ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_QDR4_BYPASS_DEFAULT_PATTERN" type="bit"> + <ipxact:name>DIAG_QDR4_BYPASS_DEFAULT_PATTERN</ipxact:name> + <ipxact:displayName>Bypass the default traffic pattern</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_QDR4_BYPASS_USER_STAGE" type="bit"> + <ipxact:name>DIAG_QDR4_BYPASS_USER_STAGE</ipxact:name> + <ipxact:displayName>Bypass the user-configured traffic stage</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_QDR4_EXPORT_TG_CFG_AVALON_SLAVE" type="string"> + <ipxact:name>DIAG_QDR4_EXPORT_TG_CFG_AVALON_SLAVE</ipxact:name> + <ipxact:displayName>TG2 Configuration Interface Mode</ipxact:displayName> + <ipxact:value>TG_CFG_AMM_EXPORT_MODE_EXPORT</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_QDR4_INFI_TG2_ERR_TEST" type="bit"> + <ipxact:name>DIAG_QDR4_INFI_TG2_ERR_TEST</ipxact:name> + <ipxact:displayName>Run diagnostic on infinite test duration</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_QDR4_SEPARATE_READ_WRITE_ITFS" type="bit"> + <ipxact:name>DIAG_QDR4_SEPARATE_READ_WRITE_ITFS</ipxact:name> + <ipxact:displayName>PARAM_DIAG_SEPARATE_READ_WRITE_ITFS_NAME</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_QDR4_DISABLE_AFI_P2C_REGISTERS" type="bit"> + <ipxact:name>DIAG_QDR4_DISABLE_AFI_P2C_REGISTERS</ipxact:name> + <ipxact:displayName>Disable P2C Register Stage</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_QDR4_SIM_MEMORY_PRELOAD" type="bit"> + <ipxact:name>DIAG_QDR4_SIM_MEMORY_PRELOAD</ipxact:name> + <ipxact:displayName>Preload memory</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_QDR4_SIM_MEMORY_PRELOAD_PRI_EMIF_FILE" type="string"> + <ipxact:name>DIAG_QDR4_SIM_MEMORY_PRELOAD_PRI_EMIF_FILE</ipxact:name> + <ipxact:displayName>Memory preload-data filename for primary interface</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_QDR4_SIM_MEMORY_PRELOAD_SEC_EMIF_FILE" type="string"> + <ipxact:name>DIAG_QDR4_SIM_MEMORY_PRELOAD_SEC_EMIF_FILE</ipxact:name> + <ipxact:displayName>Memory preload-data filename for secondary interface</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_QDR4_USE_SIM_MEMORY_VALIDATION_TG" type="bit"> + <ipxact:name>DIAG_QDR4_USE_SIM_MEMORY_VALIDATION_TG</ipxact:name> + <ipxact:displayName>Use traffic generator to validate memory contents in Example Design simulation</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_QDR4_EX_DESIGN_SEPARATE_RZQS" type="bit"> + <ipxact:name>DIAG_QDR4_EX_DESIGN_SEPARATE_RZQS</ipxact:name> + <ipxact:displayName>PARAM_DIAG_QDR4_EX_DESIGN_SEPARATE_RZQS_NAME</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_QDR4_SKIP_VREF_CAL" type="bit"> + <ipxact:name>DIAG_QDR4_SKIP_VREF_CAL</ipxact:name> + <ipxact:displayName>Skip VREF_in calibration</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_RLD2_SIM_CAL_MODE_ENUM" type="string"> + <ipxact:name>DIAG_RLD2_SIM_CAL_MODE_ENUM</ipxact:name> + <ipxact:displayName>Calibration mode</ipxact:displayName> + <ipxact:value>SIM_CAL_MODE_SKIP</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_RLD2_EXPORT_SEQ_AVALON_SLAVE" type="string"> + <ipxact:name>DIAG_RLD2_EXPORT_SEQ_AVALON_SLAVE</ipxact:name> + <ipxact:displayName>Quartus Prime EMIF Debug Toolkit/On-Chip Debug Port</ipxact:displayName> + <ipxact:value>CAL_DEBUG_EXPORT_MODE_DISABLED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_RLD2_EXPORT_SEQ_AVALON_MASTER" type="bit"> + <ipxact:name>DIAG_RLD2_EXPORT_SEQ_AVALON_MASTER</ipxact:name> + <ipxact:displayName>Enable Daisy-Chaining for Quartus Prime EMIF Debug Toolkit/On-Chip Debug Port</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_RLD2_EXPORT_SEQ_AVALON_HEAD_OF_CHAIN" type="bit"> + <ipxact:name>DIAG_RLD2_EXPORT_SEQ_AVALON_HEAD_OF_CHAIN</ipxact:name> + <ipxact:displayName>First EMIF Instance in the Avalon Chain</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_RLD2_EX_DESIGN_NUM_OF_SLAVES" type="int"> + <ipxact:name>DIAG_RLD2_EX_DESIGN_NUM_OF_SLAVES</ipxact:name> + <ipxact:displayName>Number of core clocks sharing slaves to instantiate in the example design</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_RLD2_EX_DESIGN_ISSP_EN" type="bit"> + <ipxact:name>DIAG_RLD2_EX_DESIGN_ISSP_EN</ipxact:name> + <ipxact:displayName>Enable In-System-Sources-and-Probes</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_RLD2_INTERFACE_ID" type="int"> + <ipxact:name>DIAG_RLD2_INTERFACE_ID</ipxact:name> + <ipxact:displayName>Interface ID</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_RLD2_EFFICIENCY_MONITOR" type="string"> + <ipxact:name>DIAG_RLD2_EFFICIENCY_MONITOR</ipxact:name> + <ipxact:displayName>Enable Efficiency Monitor</ipxact:displayName> + <ipxact:value>EFFMON_MODE_DISABLED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_RLD2_SIM_VERBOSE" type="bit"> + <ipxact:name>DIAG_RLD2_SIM_VERBOSE</ipxact:name> + <ipxact:displayName>Show verbose simulation debug messages</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_RLD2_USER_SIM_MEMORY_PRELOAD" type="bit"> + <ipxact:name>DIAG_RLD2_USER_SIM_MEMORY_PRELOAD</ipxact:name> + <ipxact:displayName>Preload memory</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_RLD2_USER_SIM_MEMORY_PRELOAD_PRI_EMIF_FILE" type="string"> + <ipxact:name>DIAG_RLD2_USER_SIM_MEMORY_PRELOAD_PRI_EMIF_FILE</ipxact:name> + <ipxact:displayName>Memory preload-data filename for primary interface</ipxact:displayName> + <ipxact:value>EMIF_PRI_PRELOAD.txt</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_RLD2_USER_SIM_MEMORY_PRELOAD_SEC_EMIF_FILE" type="string"> + <ipxact:name>DIAG_RLD2_USER_SIM_MEMORY_PRELOAD_SEC_EMIF_FILE</ipxact:name> + <ipxact:displayName>Memory preload-data filename for secondary interface</ipxact:displayName> + <ipxact:value>EMIF_SEC_PRELOAD.txt</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_RLD2_USER_USE_SIM_MEMORY_VALIDATION_TG" type="bit"> + <ipxact:name>DIAG_RLD2_USER_USE_SIM_MEMORY_VALIDATION_TG</ipxact:name> + <ipxact:displayName>Use traffic generator to validate memory contents in Example Design simulation</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_RLD2_USE_TG_AVL_2" type="bit"> + <ipxact:name>DIAG_RLD2_USE_TG_AVL_2</ipxact:name> + <ipxact:displayName>Use configurable Avalon traffic generator 2.0</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_RLD2_ABSTRACT_PHY" type="bit"> + <ipxact:name>DIAG_RLD2_ABSTRACT_PHY</ipxact:name> + <ipxact:displayName>Abstract phy for fast simulation </ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_RLD2_BYPASS_DEFAULT_PATTERN" type="bit"> + <ipxact:name>DIAG_RLD2_BYPASS_DEFAULT_PATTERN</ipxact:name> + <ipxact:displayName>Bypass the default traffic pattern</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_RLD2_BYPASS_USER_STAGE" type="bit"> + <ipxact:name>DIAG_RLD2_BYPASS_USER_STAGE</ipxact:name> + <ipxact:displayName>Bypass the user-configured traffic stage</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_RLD2_EXPORT_TG_CFG_AVALON_SLAVE" type="string"> + <ipxact:name>DIAG_RLD2_EXPORT_TG_CFG_AVALON_SLAVE</ipxact:name> + <ipxact:displayName>TG2 Configuration Interface Mode</ipxact:displayName> + <ipxact:value>TG_CFG_AMM_EXPORT_MODE_EXPORT</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_RLD2_INFI_TG2_ERR_TEST" type="bit"> + <ipxact:name>DIAG_RLD2_INFI_TG2_ERR_TEST</ipxact:name> + <ipxact:displayName>Run diagnostic on infinite test duration</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_RLD2_SEPARATE_READ_WRITE_ITFS" type="bit"> + <ipxact:name>DIAG_RLD2_SEPARATE_READ_WRITE_ITFS</ipxact:name> + <ipxact:displayName>PARAM_DIAG_SEPARATE_READ_WRITE_ITFS_NAME</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_RLD2_DISABLE_AFI_P2C_REGISTERS" type="bit"> + <ipxact:name>DIAG_RLD2_DISABLE_AFI_P2C_REGISTERS</ipxact:name> + <ipxact:displayName>Disable P2C Register Stage</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_RLD2_SIM_MEMORY_PRELOAD" type="bit"> + <ipxact:name>DIAG_RLD2_SIM_MEMORY_PRELOAD</ipxact:name> + <ipxact:displayName>Preload memory</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_RLD2_SIM_MEMORY_PRELOAD_PRI_EMIF_FILE" type="string"> + <ipxact:name>DIAG_RLD2_SIM_MEMORY_PRELOAD_PRI_EMIF_FILE</ipxact:name> + <ipxact:displayName>Memory preload-data filename for primary interface</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_RLD2_SIM_MEMORY_PRELOAD_SEC_EMIF_FILE" type="string"> + <ipxact:name>DIAG_RLD2_SIM_MEMORY_PRELOAD_SEC_EMIF_FILE</ipxact:name> + <ipxact:displayName>Memory preload-data filename for secondary interface</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_RLD2_USE_SIM_MEMORY_VALIDATION_TG" type="bit"> + <ipxact:name>DIAG_RLD2_USE_SIM_MEMORY_VALIDATION_TG</ipxact:name> + <ipxact:displayName>Use traffic generator to validate memory contents in Example Design simulation</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_RLD2_EX_DESIGN_SEPARATE_RZQS" type="bit"> + <ipxact:name>DIAG_RLD2_EX_DESIGN_SEPARATE_RZQS</ipxact:name> + <ipxact:displayName>PARAM_DIAG_RLD2_EX_DESIGN_SEPARATE_RZQS_NAME</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_RLD3_SIM_CAL_MODE_ENUM" type="string"> + <ipxact:name>DIAG_RLD3_SIM_CAL_MODE_ENUM</ipxact:name> + <ipxact:displayName>Calibration mode</ipxact:displayName> + <ipxact:value>SIM_CAL_MODE_SKIP</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_RLD3_EXPORT_SEQ_AVALON_SLAVE" type="string"> + <ipxact:name>DIAG_RLD3_EXPORT_SEQ_AVALON_SLAVE</ipxact:name> + <ipxact:displayName>Quartus Prime EMIF Debug Toolkit/On-Chip Debug Port</ipxact:displayName> + <ipxact:value>CAL_DEBUG_EXPORT_MODE_DISABLED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_RLD3_EXPORT_SEQ_AVALON_MASTER" type="bit"> + <ipxact:name>DIAG_RLD3_EXPORT_SEQ_AVALON_MASTER</ipxact:name> + <ipxact:displayName>Enable Daisy-Chaining for Quartus Prime EMIF Debug Toolkit/On-Chip Debug Port</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_RLD3_EXPORT_SEQ_AVALON_HEAD_OF_CHAIN" type="bit"> + <ipxact:name>DIAG_RLD3_EXPORT_SEQ_AVALON_HEAD_OF_CHAIN</ipxact:name> + <ipxact:displayName>First EMIF Instance in the Avalon Chain</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_RLD3_EX_DESIGN_NUM_OF_SLAVES" type="int"> + <ipxact:name>DIAG_RLD3_EX_DESIGN_NUM_OF_SLAVES</ipxact:name> + <ipxact:displayName>Number of core clocks sharing slaves to instantiate in the example design</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_RLD3_EX_DESIGN_ISSP_EN" type="bit"> + <ipxact:name>DIAG_RLD3_EX_DESIGN_ISSP_EN</ipxact:name> + <ipxact:displayName>Enable In-System-Sources-and-Probes</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_RLD3_INTERFACE_ID" type="int"> + <ipxact:name>DIAG_RLD3_INTERFACE_ID</ipxact:name> + <ipxact:displayName>Interface ID</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_RLD3_EFFICIENCY_MONITOR" type="string"> + <ipxact:name>DIAG_RLD3_EFFICIENCY_MONITOR</ipxact:name> + <ipxact:displayName>Enable Efficiency Monitor</ipxact:displayName> + <ipxact:value>EFFMON_MODE_DISABLED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_RLD3_SIM_VERBOSE" type="bit"> + <ipxact:name>DIAG_RLD3_SIM_VERBOSE</ipxact:name> + <ipxact:displayName>Show verbose simulation debug messages</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_RLD3_USER_SIM_MEMORY_PRELOAD" type="bit"> + <ipxact:name>DIAG_RLD3_USER_SIM_MEMORY_PRELOAD</ipxact:name> + <ipxact:displayName>Preload memory</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_RLD3_USER_SIM_MEMORY_PRELOAD_PRI_EMIF_FILE" type="string"> + <ipxact:name>DIAG_RLD3_USER_SIM_MEMORY_PRELOAD_PRI_EMIF_FILE</ipxact:name> + <ipxact:displayName>Memory preload-data filename for primary interface</ipxact:displayName> + <ipxact:value>EMIF_PRI_PRELOAD.txt</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_RLD3_USER_SIM_MEMORY_PRELOAD_SEC_EMIF_FILE" type="string"> + <ipxact:name>DIAG_RLD3_USER_SIM_MEMORY_PRELOAD_SEC_EMIF_FILE</ipxact:name> + <ipxact:displayName>Memory preload-data filename for secondary interface</ipxact:displayName> + <ipxact:value>EMIF_SEC_PRELOAD.txt</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_RLD3_USER_USE_SIM_MEMORY_VALIDATION_TG" type="bit"> + <ipxact:name>DIAG_RLD3_USER_USE_SIM_MEMORY_VALIDATION_TG</ipxact:name> + <ipxact:displayName>Use traffic generator to validate memory contents in Example Design simulation</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_RLD3_USE_TG_AVL_2" type="bit"> + <ipxact:name>DIAG_RLD3_USE_TG_AVL_2</ipxact:name> + <ipxact:displayName>Use configurable Avalon traffic generator 2.0</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_RLD3_ABSTRACT_PHY" type="bit"> + <ipxact:name>DIAG_RLD3_ABSTRACT_PHY</ipxact:name> + <ipxact:displayName>Abstract phy for fast simulation </ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_RLD3_BYPASS_DEFAULT_PATTERN" type="bit"> + <ipxact:name>DIAG_RLD3_BYPASS_DEFAULT_PATTERN</ipxact:name> + <ipxact:displayName>Bypass the default traffic pattern</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_RLD3_BYPASS_USER_STAGE" type="bit"> + <ipxact:name>DIAG_RLD3_BYPASS_USER_STAGE</ipxact:name> + <ipxact:displayName>Bypass the user-configured traffic stage</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_RLD3_EXPORT_TG_CFG_AVALON_SLAVE" type="string"> + <ipxact:name>DIAG_RLD3_EXPORT_TG_CFG_AVALON_SLAVE</ipxact:name> + <ipxact:displayName>TG2 Configuration Interface Mode</ipxact:displayName> + <ipxact:value>TG_CFG_AMM_EXPORT_MODE_EXPORT</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_RLD3_INFI_TG2_ERR_TEST" type="bit"> + <ipxact:name>DIAG_RLD3_INFI_TG2_ERR_TEST</ipxact:name> + <ipxact:displayName>Run diagnostic on infinite test duration</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_RLD3_SEPARATE_READ_WRITE_ITFS" type="bit"> + <ipxact:name>DIAG_RLD3_SEPARATE_READ_WRITE_ITFS</ipxact:name> + <ipxact:displayName>PARAM_DIAG_SEPARATE_READ_WRITE_ITFS_NAME</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_RLD3_DISABLE_AFI_P2C_REGISTERS" type="bit"> + <ipxact:name>DIAG_RLD3_DISABLE_AFI_P2C_REGISTERS</ipxact:name> + <ipxact:displayName>Disable P2C Register Stage</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_RLD3_SIM_MEMORY_PRELOAD" type="bit"> + <ipxact:name>DIAG_RLD3_SIM_MEMORY_PRELOAD</ipxact:name> + <ipxact:displayName>Preload memory</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_RLD3_SIM_MEMORY_PRELOAD_PRI_EMIF_FILE" type="string"> + <ipxact:name>DIAG_RLD3_SIM_MEMORY_PRELOAD_PRI_EMIF_FILE</ipxact:name> + <ipxact:displayName>Memory preload-data filename for primary interface</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_RLD3_SIM_MEMORY_PRELOAD_SEC_EMIF_FILE" type="string"> + <ipxact:name>DIAG_RLD3_SIM_MEMORY_PRELOAD_SEC_EMIF_FILE</ipxact:name> + <ipxact:displayName>Memory preload-data filename for secondary interface</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_RLD3_USE_SIM_MEMORY_VALIDATION_TG" type="bit"> + <ipxact:name>DIAG_RLD3_USE_SIM_MEMORY_VALIDATION_TG</ipxact:name> + <ipxact:displayName>Use traffic generator to validate memory contents in Example Design simulation</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_RLD3_EX_DESIGN_SEPARATE_RZQS" type="bit"> + <ipxact:name>DIAG_RLD3_EX_DESIGN_SEPARATE_RZQS</ipxact:name> + <ipxact:displayName>PARAM_DIAG_RLD3_EX_DESIGN_SEPARATE_RZQS_NAME</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_RLD3_CA_LEVEL_EN" type="bit"> + <ipxact:name>DIAG_RLD3_CA_LEVEL_EN</ipxact:name> + <ipxact:displayName>Enable address/command leveling calibration</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_RLD3_CA_DESKEW_EN" type="bit"> + <ipxact:name>DIAG_RLD3_CA_DESKEW_EN</ipxact:name> + <ipxact:displayName>Enable address/command deskew calibration</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_LPDDR3_SIM_CAL_MODE_ENUM" type="string"> + <ipxact:name>DIAG_LPDDR3_SIM_CAL_MODE_ENUM</ipxact:name> + <ipxact:displayName>Calibration mode</ipxact:displayName> + <ipxact:value>SIM_CAL_MODE_SKIP</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_LPDDR3_EXPORT_SEQ_AVALON_SLAVE" type="string"> + <ipxact:name>DIAG_LPDDR3_EXPORT_SEQ_AVALON_SLAVE</ipxact:name> + <ipxact:displayName>Quartus Prime EMIF Debug Toolkit/On-Chip Debug Port</ipxact:displayName> + <ipxact:value>CAL_DEBUG_EXPORT_MODE_DISABLED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_LPDDR3_EXPORT_SEQ_AVALON_MASTER" type="bit"> + <ipxact:name>DIAG_LPDDR3_EXPORT_SEQ_AVALON_MASTER</ipxact:name> + <ipxact:displayName>Enable Daisy-Chaining for Quartus Prime EMIF Debug Toolkit/On-Chip Debug Port</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_LPDDR3_EXPORT_SEQ_AVALON_HEAD_OF_CHAIN" type="bit"> + <ipxact:name>DIAG_LPDDR3_EXPORT_SEQ_AVALON_HEAD_OF_CHAIN</ipxact:name> + <ipxact:displayName>First EMIF Instance in the Avalon Chain</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_LPDDR3_EX_DESIGN_NUM_OF_SLAVES" type="int"> + <ipxact:name>DIAG_LPDDR3_EX_DESIGN_NUM_OF_SLAVES</ipxact:name> + <ipxact:displayName>Number of core clocks sharing slaves to instantiate in the example design</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_LPDDR3_EX_DESIGN_ISSP_EN" type="bit"> + <ipxact:name>DIAG_LPDDR3_EX_DESIGN_ISSP_EN</ipxact:name> + <ipxact:displayName>Enable In-System-Sources-and-Probes</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_LPDDR3_INTERFACE_ID" type="int"> + <ipxact:name>DIAG_LPDDR3_INTERFACE_ID</ipxact:name> + <ipxact:displayName>Interface ID</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_LPDDR3_EFFICIENCY_MONITOR" type="string"> + <ipxact:name>DIAG_LPDDR3_EFFICIENCY_MONITOR</ipxact:name> + <ipxact:displayName>Enable Efficiency Monitor</ipxact:displayName> + <ipxact:value>EFFMON_MODE_DISABLED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_LPDDR3_SIM_VERBOSE" type="bit"> + <ipxact:name>DIAG_LPDDR3_SIM_VERBOSE</ipxact:name> + <ipxact:displayName>Show verbose simulation debug messages</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_LPDDR3_USER_SIM_MEMORY_PRELOAD" type="bit"> + <ipxact:name>DIAG_LPDDR3_USER_SIM_MEMORY_PRELOAD</ipxact:name> + <ipxact:displayName>Preload memory</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_LPDDR3_USER_SIM_MEMORY_PRELOAD_PRI_EMIF_FILE" type="string"> + <ipxact:name>DIAG_LPDDR3_USER_SIM_MEMORY_PRELOAD_PRI_EMIF_FILE</ipxact:name> + <ipxact:displayName>Memory preload-data filename for primary interface</ipxact:displayName> + <ipxact:value>EMIF_PRI_PRELOAD.txt</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_LPDDR3_USER_SIM_MEMORY_PRELOAD_SEC_EMIF_FILE" type="string"> + <ipxact:name>DIAG_LPDDR3_USER_SIM_MEMORY_PRELOAD_SEC_EMIF_FILE</ipxact:name> + <ipxact:displayName>Memory preload-data filename for secondary interface</ipxact:displayName> + <ipxact:value>EMIF_SEC_PRELOAD.txt</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_LPDDR3_USER_USE_SIM_MEMORY_VALIDATION_TG" type="bit"> + <ipxact:name>DIAG_LPDDR3_USER_USE_SIM_MEMORY_VALIDATION_TG</ipxact:name> + <ipxact:displayName>Use traffic generator to validate memory contents in Example Design simulation</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_LPDDR3_USE_TG_AVL_2" type="bit"> + <ipxact:name>DIAG_LPDDR3_USE_TG_AVL_2</ipxact:name> + <ipxact:displayName>Use configurable Avalon traffic generator 2.0</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_LPDDR3_ABSTRACT_PHY" type="bit"> + <ipxact:name>DIAG_LPDDR3_ABSTRACT_PHY</ipxact:name> + <ipxact:displayName>Abstract phy for fast simulation </ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_LPDDR3_BYPASS_DEFAULT_PATTERN" type="bit"> + <ipxact:name>DIAG_LPDDR3_BYPASS_DEFAULT_PATTERN</ipxact:name> + <ipxact:displayName>Bypass the default traffic pattern</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_LPDDR3_BYPASS_USER_STAGE" type="bit"> + <ipxact:name>DIAG_LPDDR3_BYPASS_USER_STAGE</ipxact:name> + <ipxact:displayName>Bypass the user-configured traffic stage</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_LPDDR3_EXPORT_TG_CFG_AVALON_SLAVE" type="string"> + <ipxact:name>DIAG_LPDDR3_EXPORT_TG_CFG_AVALON_SLAVE</ipxact:name> + <ipxact:displayName>TG2 Configuration Interface Mode</ipxact:displayName> + <ipxact:value>TG_CFG_AMM_EXPORT_MODE_EXPORT</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_LPDDR3_INFI_TG2_ERR_TEST" type="bit"> + <ipxact:name>DIAG_LPDDR3_INFI_TG2_ERR_TEST</ipxact:name> + <ipxact:displayName>Run diagnostic on infinite test duration</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_LPDDR3_SEPARATE_READ_WRITE_ITFS" type="bit"> + <ipxact:name>DIAG_LPDDR3_SEPARATE_READ_WRITE_ITFS</ipxact:name> + <ipxact:displayName>PARAM_DIAG_SEPARATE_READ_WRITE_ITFS_NAME</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_LPDDR3_DISABLE_AFI_P2C_REGISTERS" type="bit"> + <ipxact:name>DIAG_LPDDR3_DISABLE_AFI_P2C_REGISTERS</ipxact:name> + <ipxact:displayName>Disable P2C Register Stage</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_LPDDR3_SIM_MEMORY_PRELOAD" type="bit"> + <ipxact:name>DIAG_LPDDR3_SIM_MEMORY_PRELOAD</ipxact:name> + <ipxact:displayName>Preload memory</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_LPDDR3_SIM_MEMORY_PRELOAD_PRI_EMIF_FILE" type="string"> + <ipxact:name>DIAG_LPDDR3_SIM_MEMORY_PRELOAD_PRI_EMIF_FILE</ipxact:name> + <ipxact:displayName>Memory preload-data filename for primary interface</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_LPDDR3_SIM_MEMORY_PRELOAD_SEC_EMIF_FILE" type="string"> + <ipxact:name>DIAG_LPDDR3_SIM_MEMORY_PRELOAD_SEC_EMIF_FILE</ipxact:name> + <ipxact:displayName>Memory preload-data filename for secondary interface</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_LPDDR3_USE_SIM_MEMORY_VALIDATION_TG" type="bit"> + <ipxact:name>DIAG_LPDDR3_USE_SIM_MEMORY_VALIDATION_TG</ipxact:name> + <ipxact:displayName>Use traffic generator to validate memory contents in Example Design simulation</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_LPDDR3_EX_DESIGN_SEPARATE_RZQS" type="bit"> + <ipxact:name>DIAG_LPDDR3_EX_DESIGN_SEPARATE_RZQS</ipxact:name> + <ipxact:displayName>PARAM_DIAG_LPDDR3_EX_DESIGN_SEPARATE_RZQS_NAME</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_LPDDR3_SKIP_CA_LEVEL" type="bit"> + <ipxact:name>DIAG_LPDDR3_SKIP_CA_LEVEL</ipxact:name> + <ipxact:displayName>Skip address/command leveling calibration</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DIAG_LPDDR3_SKIP_CA_DESKEW" type="bit"> + <ipxact:name>DIAG_LPDDR3_SKIP_CA_DESKEW</ipxact:name> + <ipxact:displayName>Skip address/command deskew calibration</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="EX_DESIGN_GUI_GEN_SIM" type="bit"> + <ipxact:name>EX_DESIGN_GUI_GEN_SIM</ipxact:name> + <ipxact:displayName>PARAM_EX_DESIGN_GUI_GEN_SIM_NAME</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="EX_DESIGN_GUI_GEN_SYNTH" type="bit"> + <ipxact:name>EX_DESIGN_GUI_GEN_SYNTH</ipxact:name> + <ipxact:displayName>PARAM_EX_DESIGN_GUI_GEN_SYNTH_NAME</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="EX_DESIGN_GUI_TARGET_DEV_KIT" type="string"> + <ipxact:name>EX_DESIGN_GUI_TARGET_DEV_KIT</ipxact:name> + <ipxact:displayName>PARAM_EX_DESIGN_GUI_TARGET_DEV_KIT_NAME</ipxact:displayName> + <ipxact:value>TARGET_DEV_KIT_NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="EX_DESIGN_GUI_PREV_PRESET" type="string"> + <ipxact:name>EX_DESIGN_GUI_PREV_PRESET</ipxact:name> + <ipxact:displayName>PARAM_EX_DESIGN_GUI_PREV_PRESET_NAME</ipxact:displayName> + <ipxact:value>TARGET_DEV_KIT_NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="EX_DESIGN_GUI_DDR3_SEL_DESIGN" type="string"> + <ipxact:name>EX_DESIGN_GUI_DDR3_SEL_DESIGN</ipxact:name> + <ipxact:displayName>Select design</ipxact:displayName> + <ipxact:value>AVAIL_EX_DESIGNS_GEN_DESIGN</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="EX_DESIGN_GUI_DDR3_GEN_SIM" type="bit"> + <ipxact:name>EX_DESIGN_GUI_DDR3_GEN_SIM</ipxact:name> + <ipxact:displayName>Simulation</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="EX_DESIGN_GUI_DDR3_GEN_SYNTH" type="bit"> + <ipxact:name>EX_DESIGN_GUI_DDR3_GEN_SYNTH</ipxact:name> + <ipxact:displayName>Synthesis</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="EX_DESIGN_GUI_DDR3_HDL_FORMAT" type="string"> + <ipxact:name>EX_DESIGN_GUI_DDR3_HDL_FORMAT</ipxact:name> + <ipxact:displayName>Simulation HDL format</ipxact:displayName> + <ipxact:value>HDL_FORMAT_VERILOG</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="EX_DESIGN_GUI_DDR3_TARGET_DEV_KIT" type="string"> + <ipxact:name>EX_DESIGN_GUI_DDR3_TARGET_DEV_KIT</ipxact:name> + <ipxact:displayName>Select board</ipxact:displayName> + <ipxact:value>TARGET_DEV_KIT_NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="EX_DESIGN_GUI_DDR3_PREV_PRESET" type="string"> + <ipxact:name>EX_DESIGN_GUI_DDR3_PREV_PRESET</ipxact:name> + <ipxact:displayName>PARAM_EX_DESIGN_PREV_PRESET_NAME</ipxact:displayName> + <ipxact:value>TARGET_DEV_KIT_NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="EX_DESIGN_GUI_DDR4_SEL_DESIGN" type="string"> + <ipxact:name>EX_DESIGN_GUI_DDR4_SEL_DESIGN</ipxact:name> + <ipxact:displayName>Select design</ipxact:displayName> + <ipxact:value>AVAIL_EX_DESIGNS_GEN_DESIGN</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="EX_DESIGN_GUI_DDR4_GEN_SIM" type="bit"> + <ipxact:name>EX_DESIGN_GUI_DDR4_GEN_SIM</ipxact:name> + <ipxact:displayName>Simulation</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="EX_DESIGN_GUI_DDR4_GEN_SYNTH" type="bit"> + <ipxact:name>EX_DESIGN_GUI_DDR4_GEN_SYNTH</ipxact:name> + <ipxact:displayName>Synthesis</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="EX_DESIGN_GUI_DDR4_HDL_FORMAT" type="string"> + <ipxact:name>EX_DESIGN_GUI_DDR4_HDL_FORMAT</ipxact:name> + <ipxact:displayName>Simulation HDL format</ipxact:displayName> + <ipxact:value>HDL_FORMAT_VERILOG</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="EX_DESIGN_GUI_DDR4_TARGET_DEV_KIT" type="string"> + <ipxact:name>EX_DESIGN_GUI_DDR4_TARGET_DEV_KIT</ipxact:name> + <ipxact:displayName>Select board</ipxact:displayName> + <ipxact:value>TARGET_DEV_KIT_NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="EX_DESIGN_GUI_DDR4_PREV_PRESET" type="string"> + <ipxact:name>EX_DESIGN_GUI_DDR4_PREV_PRESET</ipxact:name> + <ipxact:displayName>PARAM_EX_DESIGN_PREV_PRESET_NAME</ipxact:displayName> + <ipxact:value>TARGET_DEV_KIT_NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="EX_DESIGN_GUI_QDR2_SEL_DESIGN" type="string"> + <ipxact:name>EX_DESIGN_GUI_QDR2_SEL_DESIGN</ipxact:name> + <ipxact:displayName>Select design</ipxact:displayName> + <ipxact:value>AVAIL_EX_DESIGNS_GEN_DESIGN</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="EX_DESIGN_GUI_QDR2_GEN_SIM" type="bit"> + <ipxact:name>EX_DESIGN_GUI_QDR2_GEN_SIM</ipxact:name> + <ipxact:displayName>Simulation</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="EX_DESIGN_GUI_QDR2_GEN_SYNTH" type="bit"> + <ipxact:name>EX_DESIGN_GUI_QDR2_GEN_SYNTH</ipxact:name> + <ipxact:displayName>Synthesis</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="EX_DESIGN_GUI_QDR2_HDL_FORMAT" type="string"> + <ipxact:name>EX_DESIGN_GUI_QDR2_HDL_FORMAT</ipxact:name> + <ipxact:displayName>Simulation HDL format</ipxact:displayName> + <ipxact:value>HDL_FORMAT_VERILOG</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="EX_DESIGN_GUI_QDR2_TARGET_DEV_KIT" type="string"> + <ipxact:name>EX_DESIGN_GUI_QDR2_TARGET_DEV_KIT</ipxact:name> + <ipxact:displayName>Select board</ipxact:displayName> + <ipxact:value>TARGET_DEV_KIT_NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="EX_DESIGN_GUI_QDR2_PREV_PRESET" type="string"> + <ipxact:name>EX_DESIGN_GUI_QDR2_PREV_PRESET</ipxact:name> + <ipxact:displayName>PARAM_EX_DESIGN_PREV_PRESET_NAME</ipxact:displayName> + <ipxact:value>TARGET_DEV_KIT_NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="EX_DESIGN_GUI_QDR4_SEL_DESIGN" type="string"> + <ipxact:name>EX_DESIGN_GUI_QDR4_SEL_DESIGN</ipxact:name> + <ipxact:displayName>Select design</ipxact:displayName> + <ipxact:value>AVAIL_EX_DESIGNS_GEN_DESIGN</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="EX_DESIGN_GUI_QDR4_GEN_SIM" type="bit"> + <ipxact:name>EX_DESIGN_GUI_QDR4_GEN_SIM</ipxact:name> + <ipxact:displayName>Simulation</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="EX_DESIGN_GUI_QDR4_GEN_SYNTH" type="bit"> + <ipxact:name>EX_DESIGN_GUI_QDR4_GEN_SYNTH</ipxact:name> + <ipxact:displayName>Synthesis</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="EX_DESIGN_GUI_QDR4_HDL_FORMAT" type="string"> + <ipxact:name>EX_DESIGN_GUI_QDR4_HDL_FORMAT</ipxact:name> + <ipxact:displayName>Simulation HDL format</ipxact:displayName> + <ipxact:value>HDL_FORMAT_VERILOG</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="EX_DESIGN_GUI_QDR4_TARGET_DEV_KIT" type="string"> + <ipxact:name>EX_DESIGN_GUI_QDR4_TARGET_DEV_KIT</ipxact:name> + <ipxact:displayName>Select board</ipxact:displayName> + <ipxact:value>TARGET_DEV_KIT_NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="EX_DESIGN_GUI_QDR4_PREV_PRESET" type="string"> + <ipxact:name>EX_DESIGN_GUI_QDR4_PREV_PRESET</ipxact:name> + <ipxact:displayName>PARAM_EX_DESIGN_PREV_PRESET_NAME</ipxact:displayName> + <ipxact:value>TARGET_DEV_KIT_NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="EX_DESIGN_GUI_RLD2_SEL_DESIGN" type="string"> + <ipxact:name>EX_DESIGN_GUI_RLD2_SEL_DESIGN</ipxact:name> + <ipxact:displayName>Select design</ipxact:displayName> + <ipxact:value>AVAIL_EX_DESIGNS_GEN_DESIGN</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="EX_DESIGN_GUI_RLD2_GEN_SIM" type="bit"> + <ipxact:name>EX_DESIGN_GUI_RLD2_GEN_SIM</ipxact:name> + <ipxact:displayName>Simulation</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="EX_DESIGN_GUI_RLD2_GEN_SYNTH" type="bit"> + <ipxact:name>EX_DESIGN_GUI_RLD2_GEN_SYNTH</ipxact:name> + <ipxact:displayName>Synthesis</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="EX_DESIGN_GUI_RLD2_HDL_FORMAT" type="string"> + <ipxact:name>EX_DESIGN_GUI_RLD2_HDL_FORMAT</ipxact:name> + <ipxact:displayName>Simulation HDL format</ipxact:displayName> + <ipxact:value>HDL_FORMAT_VERILOG</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="EX_DESIGN_GUI_RLD2_TARGET_DEV_KIT" type="string"> + <ipxact:name>EX_DESIGN_GUI_RLD2_TARGET_DEV_KIT</ipxact:name> + <ipxact:displayName>Select board</ipxact:displayName> + <ipxact:value>TARGET_DEV_KIT_NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="EX_DESIGN_GUI_RLD2_PREV_PRESET" type="string"> + <ipxact:name>EX_DESIGN_GUI_RLD2_PREV_PRESET</ipxact:name> + <ipxact:displayName>PARAM_EX_DESIGN_PREV_PRESET_NAME</ipxact:displayName> + <ipxact:value>TARGET_DEV_KIT_NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="EX_DESIGN_GUI_RLD3_SEL_DESIGN" type="string"> + <ipxact:name>EX_DESIGN_GUI_RLD3_SEL_DESIGN</ipxact:name> + <ipxact:displayName>Select design</ipxact:displayName> + <ipxact:value>AVAIL_EX_DESIGNS_GEN_DESIGN</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="EX_DESIGN_GUI_RLD3_GEN_SIM" type="bit"> + <ipxact:name>EX_DESIGN_GUI_RLD3_GEN_SIM</ipxact:name> + <ipxact:displayName>Simulation</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="EX_DESIGN_GUI_RLD3_GEN_SYNTH" type="bit"> + <ipxact:name>EX_DESIGN_GUI_RLD3_GEN_SYNTH</ipxact:name> + <ipxact:displayName>Synthesis</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="EX_DESIGN_GUI_RLD3_HDL_FORMAT" type="string"> + <ipxact:name>EX_DESIGN_GUI_RLD3_HDL_FORMAT</ipxact:name> + <ipxact:displayName>Simulation HDL format</ipxact:displayName> + <ipxact:value>HDL_FORMAT_VERILOG</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="EX_DESIGN_GUI_RLD3_TARGET_DEV_KIT" type="string"> + <ipxact:name>EX_DESIGN_GUI_RLD3_TARGET_DEV_KIT</ipxact:name> + <ipxact:displayName>Select board</ipxact:displayName> + <ipxact:value>TARGET_DEV_KIT_NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="EX_DESIGN_GUI_RLD3_PREV_PRESET" type="string"> + <ipxact:name>EX_DESIGN_GUI_RLD3_PREV_PRESET</ipxact:name> + <ipxact:displayName>PARAM_EX_DESIGN_PREV_PRESET_NAME</ipxact:displayName> + <ipxact:value>TARGET_DEV_KIT_NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="EX_DESIGN_GUI_LPDDR3_SEL_DESIGN" type="string"> + <ipxact:name>EX_DESIGN_GUI_LPDDR3_SEL_DESIGN</ipxact:name> + <ipxact:displayName>Select design</ipxact:displayName> + <ipxact:value>AVAIL_EX_DESIGNS_GEN_DESIGN</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="EX_DESIGN_GUI_LPDDR3_GEN_SIM" type="bit"> + <ipxact:name>EX_DESIGN_GUI_LPDDR3_GEN_SIM</ipxact:name> + <ipxact:displayName>Simulation</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="EX_DESIGN_GUI_LPDDR3_GEN_SYNTH" type="bit"> + <ipxact:name>EX_DESIGN_GUI_LPDDR3_GEN_SYNTH</ipxact:name> + <ipxact:displayName>Synthesis</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="EX_DESIGN_GUI_LPDDR3_HDL_FORMAT" type="string"> + <ipxact:name>EX_DESIGN_GUI_LPDDR3_HDL_FORMAT</ipxact:name> + <ipxact:displayName>Simulation HDL format</ipxact:displayName> + <ipxact:value>HDL_FORMAT_VERILOG</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="EX_DESIGN_GUI_LPDDR3_TARGET_DEV_KIT" type="string"> + <ipxact:name>EX_DESIGN_GUI_LPDDR3_TARGET_DEV_KIT</ipxact:name> + <ipxact:displayName>Select board</ipxact:displayName> + <ipxact:value>TARGET_DEV_KIT_NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="EX_DESIGN_GUI_LPDDR3_PREV_PRESET" type="string"> + <ipxact:name>EX_DESIGN_GUI_LPDDR3_PREV_PRESET</ipxact:name> + <ipxact:displayName>PARAM_EX_DESIGN_PREV_PRESET_NAME</ipxact:displayName> + <ipxact:value>TARGET_DEV_KIT_NONE</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_module_parameters> + <altera:altera_system_parameters> + <ipxact:parameters> + <ipxact:parameter parameterId="device" type="string"> + <ipxact:name>device</ipxact:name> + <ipxact:displayName>Device</ipxact:displayName> + <ipxact:value>10AX115U3F45E2SG</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="deviceFamily" type="string"> + <ipxact:name>deviceFamily</ipxact:name> + <ipxact:displayName>Device family</ipxact:displayName> + <ipxact:value>Arria 10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="deviceSpeedGrade" type="string"> + <ipxact:name>deviceSpeedGrade</ipxact:name> + <ipxact:displayName>Device Speed Grade</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="generationId" type="int"> + <ipxact:name>generationId</ipxact:name> + <ipxact:displayName>Generation Id</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="bonusData" type="string"> + <ipxact:name>bonusData</ipxact:name> + <ipxact:displayName>bonusData</ipxact:displayName> + <ipxact:value>bonusData +{ + element emif_0 + { + datum _sortIndex + { + value = "0"; + type = "int"; + } + } +} +</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hideFromIPCatalog" type="bit"> + <ipxact:name>hideFromIPCatalog</ipxact:name> + <ipxact:displayName>Hide from IP Catalog</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="lockedInterfaceDefinition" type="string"> + <ipxact:name>lockedInterfaceDefinition</ipxact:name> + <ipxact:displayName>lockedInterfaceDefinition</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="systemInfos" type="string"> + <ipxact:name>systemInfos</ipxact:name> + <ipxact:displayName>systemInfos</ipxact:displayName> + <ipxact:value><systemInfosDefinition> + <connPtSystemInfos> + <entry> + <key>ctrl_amm_0</key> + <value> + <connectionPointName>ctrl_amm_0</connectionPointName> + <suppliedSystemInfos/> + <consumedSystemInfos> + <entry> + <key>ADDRESS_MAP</key> + <value>&lt;address-map&gt;&lt;slave name='ctrl_amm_0' start='0x0' end='0x240000000' datawidth='576' /&gt;&lt;/address-map&gt;</value> + </entry> + <entry> + <key>ADDRESS_WIDTH</key> + <value>34</value> + </entry> + <entry> + <key>MAX_SLAVE_DATA_WIDTH</key> + <value>576</value> + </entry> + </consumedSystemInfos> + </value> + </entry> + <entry> + <key>emif_usr_clk</key> + <value> + <connectionPointName>emif_usr_clk</connectionPointName> + <suppliedSystemInfos/> + <consumedSystemInfos> + <entry> + <key>CLOCK_RATE</key> + <value>300000000</value> + </entry> + </consumedSystemInfos> + </value> + </entry> + </connPtSystemInfos> +</systemInfosDefinition></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_system_parameters> + <altera:altera_interface_boundary> + <altera:interface_mapping altera:name="ctrl_amm_0" altera:internal="emif_0.ctrl_amm_0"></altera:interface_mapping> + <altera:interface_mapping altera:name="emif_usr_clk" altera:internal="emif_0.emif_usr_clk"></altera:interface_mapping> + <altera:interface_mapping altera:name="emif_usr_reset_n" altera:internal="emif_0.emif_usr_reset_n"></altera:interface_mapping> + <altera:interface_mapping altera:name="global_reset_n" altera:internal="emif_0.global_reset_n"></altera:interface_mapping> + <altera:interface_mapping altera:name="mem" altera:internal="emif_0.mem"></altera:interface_mapping> + <altera:interface_mapping altera:name="oct" altera:internal="emif_0.oct"></altera:interface_mapping> + <altera:interface_mapping altera:name="pll_ref_clk" altera:internal="emif_0.pll_ref_clk"></altera:interface_mapping> + <altera:interface_mapping altera:name="status" altera:internal="emif_0.status"></altera:interface_mapping> + </altera:altera_interface_boundary> + <altera:altera_has_warnings>true</altera:altera_has_warnings> + <altera:altera_has_errors>true</altera:altera_has_errors> + </ipxact:vendorExtensions> +</ipxact:component> \ No newline at end of file diff --git a/libraries/technology/ip_arria10_e2sg/ddr4_8g_2400/ip_arria10_e2sg_ddr4_8g_2400.qsys b/libraries/technology/ip_arria10_e2sg/ddr4_8g_2400/ip_arria10_e2sg_ddr4_8g_2400.qsys deleted file mode 100644 index 5a828ee7b6..0000000000 --- a/libraries/technology/ip_arria10_e2sg/ddr4_8g_2400/ip_arria10_e2sg_ddr4_8g_2400.qsys +++ /dev/null @@ -1,1317 +0,0 @@ -<?xml version="1.0" encoding="UTF-8"?> -<system name="ip_arria10_e2sg_ddr4_8g_2400"> - <component - name="$${FILENAME}" - displayName="$${FILENAME}" - version="1.0" - description="" - tags="AUTHORSHIP=Intel Corporation /// INTERNAL_COMPONENT=true" - categories="System" - tool="QsysPro" /> - <parameter name="bonusData"><![CDATA[bonusData -{ - element $system - { - } - element ddr4_inst - { - datum _sortIndex - { - value = "0"; - type = "int"; - } - } -} -]]></parameter> - <parameter name="device" value="10AX115U3F45E2SG" /> - <parameter name="deviceFamily" value="Arria 10" /> - <parameter name="deviceSpeedGrade" value="2" /> - <parameter name="fabricMode" value="QSYS" /> - <parameter name="generateLegacySim" value="false" /> - <parameter name="generationId" value="0" /> - <parameter name="globalResetBus" value="false" /> - <parameter name="hdlLanguage" value="VERILOG" /> - <parameter name="hideFromIPCatalog" value="true" /> - <parameter name="lockedInterfaceDefinition" value="" /> - <parameter name="sopcBorderPoints" value="false" /> - <parameter name="systemHash" value="0" /> - <parameter name="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos> - <entry> - <key>ctrl_amm_avalon_slave_0</key> - <value> - <connectionPointName>ctrl_amm_avalon_slave_0</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='ctrl_amm_avalon_slave_0' start='0x0' end='0x240000000' datawidth='576' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>34</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>576</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>emif_usr_clk_clock_source</key> - <value> - <connectionPointName>emif_usr_clk_clock_source</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>300000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> -</systemInfosDefinition>]]></parameter> - <parameter name="systemScripts" value="" /> - <parameter name="testBenchDutName" value="" /> - <parameter name="timeStamp" value="0" /> - <parameter name="useTestBenchNamingPattern" value="false" /> - <instanceScript></instanceScript> - <interface - name="ctrl_amm_avalon_slave_0" - internal="ddr4_inst.ctrl_amm_avalon_slave_0" - type="avalon" - dir="end"> - <port name="amm_address_0" internal="amm_address_0" /> - <port name="amm_burstcount_0" internal="amm_burstcount_0" /> - <port name="amm_byteenable_0" internal="amm_byteenable_0" /> - <port name="amm_read_0" internal="amm_read_0" /> - <port name="amm_readdata_0" internal="amm_readdata_0" /> - <port name="amm_readdatavalid_0" internal="amm_readdatavalid_0" /> - <port name="amm_ready_0" internal="amm_ready_0" /> - <port name="amm_write_0" internal="amm_write_0" /> - <port name="amm_writedata_0" internal="amm_writedata_0" /> - </interface> - <interface - name="emif_usr_clk_clock_source" - internal="ddr4_inst.emif_usr_clk_clock_source" - type="clock" - dir="start"> - <port name="emif_usr_clk" internal="emif_usr_clk" /> - </interface> - <interface - name="emif_usr_reset_reset_source" - internal="ddr4_inst.emif_usr_reset_reset_source" - type="reset" - dir="start"> - <port name="emif_usr_reset_n" internal="emif_usr_reset_n" /> - </interface> - <interface - name="global_reset_reset_sink" - internal="ddr4_inst.global_reset_reset_sink" - type="reset" - dir="end"> - <port name="global_reset_n" internal="global_reset_n" /> - </interface> - <interface - name="mem_conduit_end" - internal="ddr4_inst.mem_conduit_end" - type="conduit" - dir="end"> - <port name="mem_a" internal="mem_a" /> - <port name="mem_act_n" internal="mem_act_n" /> - <port name="mem_alert_n" internal="mem_alert_n" /> - <port name="mem_ba" internal="mem_ba" /> - <port name="mem_bg" internal="mem_bg" /> - <port name="mem_ck" internal="mem_ck" /> - <port name="mem_ck_n" internal="mem_ck_n" /> - <port name="mem_cke" internal="mem_cke" /> - <port name="mem_cs_n" internal="mem_cs_n" /> - <port name="mem_dbi_n" internal="mem_dbi_n" /> - <port name="mem_dq" internal="mem_dq" /> - <port name="mem_dqs" internal="mem_dqs" /> - <port name="mem_dqs_n" internal="mem_dqs_n" /> - <port name="mem_odt" internal="mem_odt" /> - <port name="mem_par" internal="mem_par" /> - <port name="mem_reset_n" internal="mem_reset_n" /> - </interface> - <interface - name="oct_conduit_end" - internal="ddr4_inst.oct_conduit_end" - type="conduit" - dir="end"> - <port name="oct_rzqin" internal="oct_rzqin" /> - </interface> - <interface - name="pll_ref_clk_clock_sink" - internal="ddr4_inst.pll_ref_clk_clock_sink" - type="clock" - dir="end"> - <port name="pll_ref_clk" internal="pll_ref_clk" /> - </interface> - <interface - name="status_conduit_end" - internal="ddr4_inst.status_conduit_end" - type="conduit" - dir="end"> - <port name="local_cal_fail" internal="local_cal_fail" /> - <port name="local_cal_success" internal="local_cal_success" /> - </interface> - <module - name="ddr4_inst" - kind="altera_emif" - version="19.1.0" - enabled="1" - autoexport="1"> - <parameter name="BOARD_DDR3_AC_TO_CK_SKEW_NS" value="0.0" /> - <parameter name="BOARD_DDR3_BRD_SKEW_WITHIN_AC_NS" value="0.02" /> - <parameter name="BOARD_DDR3_BRD_SKEW_WITHIN_DQS_NS" value="0.02" /> - <parameter name="BOARD_DDR3_DQS_TO_CK_SKEW_NS" value="0.02" /> - <parameter name="BOARD_DDR3_IS_SKEW_WITHIN_AC_DESKEWED" value="false" /> - <parameter name="BOARD_DDR3_IS_SKEW_WITHIN_DQS_DESKEWED" value="false" /> - <parameter name="BOARD_DDR3_MAX_CK_DELAY_NS" value="0.6" /> - <parameter name="BOARD_DDR3_MAX_DQS_DELAY_NS" value="0.6" /> - <parameter name="BOARD_DDR3_PKG_BRD_SKEW_WITHIN_AC_NS" value="0.02" /> - <parameter name="BOARD_DDR3_PKG_BRD_SKEW_WITHIN_DQS_NS" value="0.02" /> - <parameter name="BOARD_DDR3_SKEW_BETWEEN_DIMMS_NS" value="0.05" /> - <parameter name="BOARD_DDR3_SKEW_BETWEEN_DQS_NS" value="0.02" /> - <parameter name="BOARD_DDR3_USER_AC_ISI_NS" value="0.094" /> - <parameter name="BOARD_DDR3_USER_AC_SLEW_RATE" value="1.0" /> - <parameter name="BOARD_DDR3_USER_CK_SLEW_RATE" value="2.0" /> - <parameter name="BOARD_DDR3_USER_RCLK_ISI_NS" value="0.094" /> - <parameter name="BOARD_DDR3_USER_RCLK_SLEW_RATE" value="4.0" /> - <parameter name="BOARD_DDR3_USER_RDATA_ISI_NS" value="0.063" /> - <parameter name="BOARD_DDR3_USER_RDATA_SLEW_RATE" value="2.5" /> - <parameter name="BOARD_DDR3_USER_WCLK_ISI_NS" value="0.031" /> - <parameter name="BOARD_DDR3_USER_WCLK_SLEW_RATE" value="4.0" /> - <parameter name="BOARD_DDR3_USER_WDATA_ISI_NS" value="0.063" /> - <parameter name="BOARD_DDR3_USER_WDATA_SLEW_RATE" value="2.0" /> - <parameter name="BOARD_DDR3_USE_DEFAULT_ISI_VALUES" value="true" /> - <parameter name="BOARD_DDR3_USE_DEFAULT_SLEW_RATES" value="true" /> - <parameter name="BOARD_DDR4_AC_TO_CK_SKEW_NS" value="0.0" /> - <parameter name="BOARD_DDR4_BRD_SKEW_WITHIN_AC_NS" value="0.02" /> - <parameter name="BOARD_DDR4_BRD_SKEW_WITHIN_DQS_NS" value="0.02" /> - <parameter name="BOARD_DDR4_DQS_TO_CK_SKEW_NS" value="0.02" /> - <parameter name="BOARD_DDR4_IS_SKEW_WITHIN_AC_DESKEWED" value="false" /> - <parameter name="BOARD_DDR4_IS_SKEW_WITHIN_DQS_DESKEWED" value="true" /> - <parameter name="BOARD_DDR4_MAX_CK_DELAY_NS" value="0.6" /> - <parameter name="BOARD_DDR4_MAX_DQS_DELAY_NS" value="0.6" /> - <parameter name="BOARD_DDR4_PKG_BRD_SKEW_WITHIN_AC_NS" value="0.02" /> - <parameter name="BOARD_DDR4_PKG_BRD_SKEW_WITHIN_DQS_NS" value="0.02" /> - <parameter name="BOARD_DDR4_SKEW_BETWEEN_DIMMS_NS" value="0.05" /> - <parameter name="BOARD_DDR4_SKEW_BETWEEN_DQS_NS" value="0.02" /> - <parameter name="BOARD_DDR4_USER_AC_ISI_NS" value="0.094" /> - <parameter name="BOARD_DDR4_USER_AC_SLEW_RATE" value="2.0" /> - <parameter name="BOARD_DDR4_USER_CK_SLEW_RATE" value="4.0" /> - <parameter name="BOARD_DDR4_USER_RCLK_ISI_NS" value="0.094" /> - <parameter name="BOARD_DDR4_USER_RCLK_SLEW_RATE" value="4.0" /> - <parameter name="BOARD_DDR4_USER_RDATA_ISI_NS" value="0.063" /> - <parameter name="BOARD_DDR4_USER_RDATA_SLEW_RATE" value="4.0" /> - <parameter name="BOARD_DDR4_USER_WCLK_ISI_NS" value="0.031" /> - <parameter name="BOARD_DDR4_USER_WCLK_SLEW_RATE" value="4.0" /> - <parameter name="BOARD_DDR4_USER_WDATA_ISI_NS" value="0.063" /> - <parameter name="BOARD_DDR4_USER_WDATA_SLEW_RATE" value="2.0" /> - <parameter name="BOARD_DDR4_USE_DEFAULT_ISI_VALUES" value="true" /> - <parameter name="BOARD_DDR4_USE_DEFAULT_SLEW_RATES" value="true" /> - <parameter name="BOARD_LPDDR3_AC_TO_CK_SKEW_NS" value="0.0" /> - <parameter name="BOARD_LPDDR3_BRD_SKEW_WITHIN_AC_NS" value="0.02" /> - <parameter name="BOARD_LPDDR3_BRD_SKEW_WITHIN_DQS_NS" value="0.02" /> - <parameter name="BOARD_LPDDR3_DQS_TO_CK_SKEW_NS" value="0.02" /> - <parameter name="BOARD_LPDDR3_IS_SKEW_WITHIN_AC_DESKEWED" value="true" /> - <parameter name="BOARD_LPDDR3_IS_SKEW_WITHIN_DQS_DESKEWED" value="false" /> - <parameter name="BOARD_LPDDR3_MAX_CK_DELAY_NS" value="0.6" /> - <parameter name="BOARD_LPDDR3_MAX_DQS_DELAY_NS" value="0.6" /> - <parameter name="BOARD_LPDDR3_PKG_BRD_SKEW_WITHIN_AC_NS" value="0.02" /> - <parameter name="BOARD_LPDDR3_PKG_BRD_SKEW_WITHIN_DQS_NS" value="0.02" /> - <parameter name="BOARD_LPDDR3_SKEW_BETWEEN_DIMMS_NS" value="0.05" /> - <parameter name="BOARD_LPDDR3_SKEW_BETWEEN_DQS_NS" value="0.02" /> - <parameter name="BOARD_LPDDR3_USER_AC_ISI_NS" value="0.0" /> - <parameter name="BOARD_LPDDR3_USER_AC_SLEW_RATE" value="2.0" /> - <parameter name="BOARD_LPDDR3_USER_CK_SLEW_RATE" value="4.0" /> - <parameter name="BOARD_LPDDR3_USER_RCLK_ISI_NS" value="0.0" /> - <parameter name="BOARD_LPDDR3_USER_RCLK_SLEW_RATE" value="4.0" /> - <parameter name="BOARD_LPDDR3_USER_RDATA_ISI_NS" value="0.0" /> - <parameter name="BOARD_LPDDR3_USER_RDATA_SLEW_RATE" value="2.0" /> - <parameter name="BOARD_LPDDR3_USER_WCLK_ISI_NS" value="0.0" /> - <parameter name="BOARD_LPDDR3_USER_WCLK_SLEW_RATE" value="4.0" /> - <parameter name="BOARD_LPDDR3_USER_WDATA_ISI_NS" value="0.0" /> - <parameter name="BOARD_LPDDR3_USER_WDATA_SLEW_RATE" value="2.0" /> - <parameter name="BOARD_LPDDR3_USE_DEFAULT_ISI_VALUES" value="true" /> - <parameter name="BOARD_LPDDR3_USE_DEFAULT_SLEW_RATES" value="true" /> - <parameter name="BOARD_QDR2_AC_TO_K_SKEW_NS" value="0.0" /> - <parameter name="BOARD_QDR2_BRD_SKEW_WITHIN_AC_NS" value="0.02" /> - <parameter name="BOARD_QDR2_BRD_SKEW_WITHIN_D_NS" value="0.02" /> - <parameter name="BOARD_QDR2_BRD_SKEW_WITHIN_Q_NS" value="0.02" /> - <parameter name="BOARD_QDR2_IS_SKEW_WITHIN_AC_DESKEWED" value="true" /> - <parameter name="BOARD_QDR2_IS_SKEW_WITHIN_D_DESKEWED" value="false" /> - <parameter name="BOARD_QDR2_IS_SKEW_WITHIN_Q_DESKEWED" value="false" /> - <parameter name="BOARD_QDR2_MAX_K_DELAY_NS" value="0.6" /> - <parameter name="BOARD_QDR2_PKG_BRD_SKEW_WITHIN_AC_NS" value="0.02" /> - <parameter name="BOARD_QDR2_PKG_BRD_SKEW_WITHIN_D_NS" value="0.02" /> - <parameter name="BOARD_QDR2_PKG_BRD_SKEW_WITHIN_Q_NS" value="0.02" /> - <parameter name="BOARD_QDR2_USER_AC_ISI_NS" value="0.094" /> - <parameter name="BOARD_QDR2_USER_AC_SLEW_RATE" value="2.0" /> - <parameter name="BOARD_QDR2_USER_K_SLEW_RATE" value="4.0" /> - <parameter name="BOARD_QDR2_USER_RCLK_ISI_NS" value="0.094" /> - <parameter name="BOARD_QDR2_USER_RCLK_SLEW_RATE" value="2.0" /> - <parameter name="BOARD_QDR2_USER_RDATA_ISI_NS" value="0.063" /> - <parameter name="BOARD_QDR2_USER_RDATA_SLEW_RATE" value="2.0" /> - <parameter name="BOARD_QDR2_USER_WCLK_ISI_NS" value="0.031" /> - <parameter name="BOARD_QDR2_USER_WDATA_ISI_NS" value="0.063" /> - <parameter name="BOARD_QDR2_USER_WDATA_SLEW_RATE" value="2.0" /> - <parameter name="BOARD_QDR2_USE_DEFAULT_ISI_VALUES" value="true" /> - <parameter name="BOARD_QDR2_USE_DEFAULT_SLEW_RATES" value="true" /> - <parameter name="BOARD_QDR4_AC_TO_CK_SKEW_NS" value="0.0" /> - <parameter name="BOARD_QDR4_BRD_SKEW_WITHIN_AC_NS" value="0.02" /> - <parameter name="BOARD_QDR4_BRD_SKEW_WITHIN_QK_NS" value="0.02" /> - <parameter name="BOARD_QDR4_DK_TO_CK_SKEW_NS" value="-0.02" /> - <parameter name="BOARD_QDR4_IS_SKEW_WITHIN_AC_DESKEWED" value="true" /> - <parameter name="BOARD_QDR4_IS_SKEW_WITHIN_QK_DESKEWED" value="false" /> - <parameter name="BOARD_QDR4_MAX_CK_DELAY_NS" value="0.6" /> - <parameter name="BOARD_QDR4_MAX_DK_DELAY_NS" value="0.6" /> - <parameter name="BOARD_QDR4_PKG_BRD_SKEW_WITHIN_AC_NS" value="0.02" /> - <parameter name="BOARD_QDR4_PKG_BRD_SKEW_WITHIN_QK_NS" value="0.02" /> - <parameter name="BOARD_QDR4_SKEW_BETWEEN_DIMMS_NS" value="0.05" /> - <parameter name="BOARD_QDR4_SKEW_BETWEEN_DK_NS" value="0.02" /> - <parameter name="BOARD_QDR4_USER_AC_ISI_NS" value="0.0" /> - <parameter name="BOARD_QDR4_USER_AC_SLEW_RATE" value="2.0" /> - <parameter name="BOARD_QDR4_USER_CK_SLEW_RATE" value="4.0" /> - <parameter name="BOARD_QDR4_USER_RCLK_ISI_NS" value="0.0" /> - <parameter name="BOARD_QDR4_USER_RCLK_SLEW_RATE" value="4.0" /> - <parameter name="BOARD_QDR4_USER_RDATA_ISI_NS" value="0.0" /> - <parameter name="BOARD_QDR4_USER_RDATA_SLEW_RATE" value="3.5" /> - <parameter name="BOARD_QDR4_USER_WCLK_ISI_NS" value="0.0" /> - <parameter name="BOARD_QDR4_USER_WCLK_SLEW_RATE" value="4.0" /> - <parameter name="BOARD_QDR4_USER_WDATA_ISI_NS" value="0.0" /> - <parameter name="BOARD_QDR4_USER_WDATA_SLEW_RATE" value="2.0" /> - <parameter name="BOARD_QDR4_USE_DEFAULT_ISI_VALUES" value="true" /> - <parameter name="BOARD_QDR4_USE_DEFAULT_SLEW_RATES" value="true" /> - <parameter name="BOARD_RLD3_AC_TO_CK_SKEW_NS" value="0.0" /> - <parameter name="BOARD_RLD3_BRD_SKEW_WITHIN_AC_NS" value="0.02" /> - <parameter name="BOARD_RLD3_BRD_SKEW_WITHIN_QK_NS" value="0.02" /> - <parameter name="BOARD_RLD3_DK_TO_CK_SKEW_NS" value="-0.02" /> - <parameter name="BOARD_RLD3_IS_SKEW_WITHIN_AC_DESKEWED" value="true" /> - <parameter name="BOARD_RLD3_IS_SKEW_WITHIN_QK_DESKEWED" value="false" /> - <parameter name="BOARD_RLD3_MAX_CK_DELAY_NS" value="0.6" /> - <parameter name="BOARD_RLD3_MAX_DK_DELAY_NS" value="0.6" /> - <parameter name="BOARD_RLD3_PKG_BRD_SKEW_WITHIN_AC_NS" value="0.02" /> - <parameter name="BOARD_RLD3_PKG_BRD_SKEW_WITHIN_QK_NS" value="0.02" /> - <parameter name="BOARD_RLD3_SKEW_BETWEEN_DIMMS_NS" value="0.05" /> - <parameter name="BOARD_RLD3_SKEW_BETWEEN_DK_NS" value="0.02" /> - <parameter name="BOARD_RLD3_USER_AC_ISI_NS" value="0.094" /> - <parameter name="BOARD_RLD3_USER_AC_SLEW_RATE" value="2.0" /> - <parameter name="BOARD_RLD3_USER_CK_SLEW_RATE" value="4.0" /> - <parameter name="BOARD_RLD3_USER_RCLK_ISI_NS" value="0.094" /> - <parameter name="BOARD_RLD3_USER_RCLK_SLEW_RATE" value="4.0" /> - <parameter name="BOARD_RLD3_USER_RDATA_ISI_NS" value="0.063" /> - <parameter name="BOARD_RLD3_USER_RDATA_SLEW_RATE" value="3.5" /> - <parameter name="BOARD_RLD3_USER_WCLK_ISI_NS" value="0.031" /> - <parameter name="BOARD_RLD3_USER_WCLK_SLEW_RATE" value="4.0" /> - <parameter name="BOARD_RLD3_USER_WDATA_ISI_NS" value="0.063" /> - <parameter name="BOARD_RLD3_USER_WDATA_SLEW_RATE" value="2.0" /> - <parameter name="BOARD_RLD3_USE_DEFAULT_ISI_VALUES" value="true" /> - <parameter name="BOARD_RLD3_USE_DEFAULT_SLEW_RATES" value="true" /> - <parameter name="CAL_DEBUG_CLOCK_FREQUENCY" value="50000000" /> - <parameter name="CTRL_DDR3_ADDR_ORDER_ENUM">DDR3_CTRL_ADDR_ORDER_CS_R_B_C</parameter> - <parameter name="CTRL_DDR3_AUTO_POWER_DOWN_CYCS" value="32" /> - <parameter name="CTRL_DDR3_AUTO_POWER_DOWN_EN" value="false" /> - <parameter name="CTRL_DDR3_AUTO_PRECHARGE_EN" value="false" /> - <parameter name="CTRL_DDR3_AVL_PROTOCOL_ENUM">CTRL_AVL_PROTOCOL_MM</parameter> - <parameter name="CTRL_DDR3_ECC_AUTO_CORRECTION_EN" value="false" /> - <parameter name="CTRL_DDR3_ECC_EN" value="false" /> - <parameter name="CTRL_DDR3_ECC_READDATAERROR_EN" value="false" /> - <parameter name="CTRL_DDR3_MMR_EN" value="false" /> - <parameter name="CTRL_DDR3_RD_TO_RD_DIFF_CHIP_DELTA_CYCS" value="0" /> - <parameter name="CTRL_DDR3_RD_TO_WR_DIFF_CHIP_DELTA_CYCS" value="0" /> - <parameter name="CTRL_DDR3_RD_TO_WR_SAME_CHIP_DELTA_CYCS" value="0" /> - <parameter name="CTRL_DDR3_REORDER_EN" value="true" /> - <parameter name="CTRL_DDR3_SELF_REFRESH_EN" value="false" /> - <parameter name="CTRL_DDR3_STARVE_LIMIT" value="63" /> - <parameter name="CTRL_DDR3_USER_PRIORITY_EN" value="false" /> - <parameter name="CTRL_DDR3_USER_REFRESH_EN" value="false" /> - <parameter name="CTRL_DDR3_WR_TO_RD_DIFF_CHIP_DELTA_CYCS" value="0" /> - <parameter name="CTRL_DDR3_WR_TO_RD_SAME_CHIP_DELTA_CYCS" value="0" /> - <parameter name="CTRL_DDR3_WR_TO_WR_DIFF_CHIP_DELTA_CYCS" value="0" /> - <parameter name="CTRL_DDR4_ADDR_ORDER_ENUM">DDR4_CTRL_ADDR_ORDER_CS_R_B_C_BG</parameter> - <parameter name="CTRL_DDR4_AUTO_POWER_DOWN_CYCS" value="32" /> - <parameter name="CTRL_DDR4_AUTO_POWER_DOWN_EN" value="false" /> - <parameter name="CTRL_DDR4_AUTO_PRECHARGE_EN" value="false" /> - <parameter name="CTRL_DDR4_AVL_PROTOCOL_ENUM">CTRL_AVL_PROTOCOL_MM</parameter> - <parameter name="CTRL_DDR4_ECC_AUTO_CORRECTION_EN" value="false" /> - <parameter name="CTRL_DDR4_ECC_EN" value="false" /> - <parameter name="CTRL_DDR4_ECC_READDATAERROR_EN" value="false" /> - <parameter name="CTRL_DDR4_MMR_EN" value="false" /> - <parameter name="CTRL_DDR4_RD_TO_RD_DIFF_CHIP_DELTA_CYCS" value="0" /> - <parameter name="CTRL_DDR4_RD_TO_WR_DIFF_CHIP_DELTA_CYCS" value="0" /> - <parameter name="CTRL_DDR4_RD_TO_WR_SAME_CHIP_DELTA_CYCS" value="0" /> - <parameter name="CTRL_DDR4_REORDER_EN" value="true" /> - <parameter name="CTRL_DDR4_SELF_REFRESH_EN" value="false" /> - <parameter name="CTRL_DDR4_STARVE_LIMIT" value="63" /> - <parameter name="CTRL_DDR4_USER_PRIORITY_EN" value="false" /> - <parameter name="CTRL_DDR4_USER_REFRESH_EN" value="false" /> - <parameter name="CTRL_DDR4_WR_TO_RD_DIFF_CHIP_DELTA_CYCS" value="0" /> - <parameter name="CTRL_DDR4_WR_TO_RD_SAME_CHIP_DELTA_CYCS" value="0" /> - <parameter name="CTRL_DDR4_WR_TO_WR_DIFF_CHIP_DELTA_CYCS" value="0" /> - <parameter name="CTRL_LPDDR3_ADDR_ORDER_ENUM">LPDDR3_CTRL_ADDR_ORDER_CS_R_B_C</parameter> - <parameter name="CTRL_LPDDR3_AUTO_POWER_DOWN_CYCS" value="32" /> - <parameter name="CTRL_LPDDR3_AUTO_POWER_DOWN_EN" value="false" /> - <parameter name="CTRL_LPDDR3_AUTO_PRECHARGE_EN" value="false" /> - <parameter name="CTRL_LPDDR3_AVL_PROTOCOL_ENUM">CTRL_AVL_PROTOCOL_MM</parameter> - <parameter name="CTRL_LPDDR3_MMR_EN" value="false" /> - <parameter name="CTRL_LPDDR3_RD_TO_RD_DIFF_CHIP_DELTA_CYCS" value="0" /> - <parameter name="CTRL_LPDDR3_RD_TO_WR_DIFF_CHIP_DELTA_CYCS" value="0" /> - <parameter name="CTRL_LPDDR3_RD_TO_WR_SAME_CHIP_DELTA_CYCS" value="0" /> - <parameter name="CTRL_LPDDR3_REORDER_EN" value="true" /> - <parameter name="CTRL_LPDDR3_SELF_REFRESH_EN" value="false" /> - <parameter name="CTRL_LPDDR3_STARVE_LIMIT" value="10" /> - <parameter name="CTRL_LPDDR3_USER_PRIORITY_EN" value="false" /> - <parameter name="CTRL_LPDDR3_USER_REFRESH_EN" value="false" /> - <parameter name="CTRL_LPDDR3_WR_TO_RD_DIFF_CHIP_DELTA_CYCS" value="0" /> - <parameter name="CTRL_LPDDR3_WR_TO_RD_SAME_CHIP_DELTA_CYCS" value="0" /> - <parameter name="CTRL_LPDDR3_WR_TO_WR_DIFF_CHIP_DELTA_CYCS" value="0" /> - <parameter name="CTRL_QDR2_AVL_ENABLE_POWER_OF_TWO_BUS" value="false" /> - <parameter name="CTRL_QDR2_AVL_MAX_BURST_COUNT" value="4" /> - <parameter name="CTRL_QDR2_AVL_PROTOCOL_ENUM">CTRL_AVL_PROTOCOL_MM</parameter> - <parameter name="CTRL_QDR4_ADD_RAW_TURNAROUND_DELAY_CYC" value="0" /> - <parameter name="CTRL_QDR4_ADD_WAR_TURNAROUND_DELAY_CYC" value="0" /> - <parameter name="CTRL_QDR4_AVL_ENABLE_POWER_OF_TWO_BUS" value="false" /> - <parameter name="CTRL_QDR4_AVL_MAX_BURST_COUNT" value="4" /> - <parameter name="CTRL_QDR4_AVL_PROTOCOL_ENUM">CTRL_AVL_PROTOCOL_MM</parameter> - <parameter name="CTRL_QDR4_DEF_RAW_TURNAROUND_DELAY_CYC" value="4" /> - <parameter name="CTRL_RLD2_AVL_PROTOCOL_ENUM">CTRL_AVL_PROTOCOL_MM</parameter> - <parameter name="CTRL_RLD3_ADDR_ORDER_ENUM">RLD3_CTRL_ADDR_ORDER_CS_R_B_C</parameter> - <parameter name="CTRL_RLD3_AVL_PROTOCOL_ENUM">CTRL_AVL_PROTOCOL_MM</parameter> - <parameter name="DIAG_BOARD_DELAY_CONFIG_STR" value="" /> - <parameter name="DIAG_DB_RESET_AUTO_RELEASE" value="avl_release" /> - <parameter name="DIAG_DDR3_ABSTRACT_PHY" value="false" /> - <parameter name="DIAG_DDR3_BYPASS_DEFAULT_PATTERN" value="false" /> - <parameter name="DIAG_DDR3_BYPASS_USER_STAGE" value="true" /> - <parameter name="DIAG_DDR3_CAL_ADDR0" value="0" /> - <parameter name="DIAG_DDR3_CAL_ADDR1" value="8" /> - <parameter name="DIAG_DDR3_CAL_ENABLE_MICRON_AP" value="false" /> - <parameter name="DIAG_DDR3_CAL_ENABLE_NON_DES" value="false" /> - <parameter name="DIAG_DDR3_CAL_FULL_CAL_ON_RESET" value="true" /> - <parameter name="DIAG_DDR3_CA_DESKEW_EN" value="false" /> - <parameter name="DIAG_DDR3_CA_LEVEL_EN" value="true" /> - <parameter name="DIAG_DDR3_DISABLE_AFI_P2C_REGISTERS" value="false" /> - <parameter name="DIAG_DDR3_EFFICIENCY_MONITOR">EFFMON_MODE_DISABLED</parameter> - <parameter name="DIAG_DDR3_EXPORT_SEQ_AVALON_HEAD_OF_CHAIN" value="true" /> - <parameter name="DIAG_DDR3_EXPORT_SEQ_AVALON_MASTER" value="true" /> - <parameter name="DIAG_DDR3_EXPORT_SEQ_AVALON_SLAVE">CAL_DEBUG_EXPORT_MODE_DISABLED</parameter> - <parameter name="DIAG_DDR3_EXPORT_TG_CFG_AVALON_SLAVE">TG_CFG_AMM_EXPORT_MODE_EXPORT</parameter> - <parameter name="DIAG_DDR3_EX_DESIGN_ISSP_EN" value="true" /> - <parameter name="DIAG_DDR3_EX_DESIGN_NUM_OF_SLAVES" value="1" /> - <parameter name="DIAG_DDR3_EX_DESIGN_SEPARATE_RZQS" value="false" /> - <parameter name="DIAG_DDR3_INFI_TG2_ERR_TEST" value="false" /> - <parameter name="DIAG_DDR3_INTERFACE_ID" value="0" /> - <parameter name="DIAG_DDR3_SEPARATE_READ_WRITE_ITFS" value="false" /> - <parameter name="DIAG_DDR3_SIM_CAL_MODE_ENUM" value="SIM_CAL_MODE_SKIP" /> - <parameter name="DIAG_DDR3_SIM_VERBOSE" value="true" /> - <parameter name="DIAG_DDR3_USER_SIM_MEMORY_PRELOAD" value="false" /> - <parameter name="DIAG_DDR3_USER_SIM_MEMORY_PRELOAD_PRI_EMIF_FILE">EMIF_PRI_PRELOAD.txt</parameter> - <parameter name="DIAG_DDR3_USER_SIM_MEMORY_PRELOAD_SEC_EMIF_FILE">EMIF_SEC_PRELOAD.txt</parameter> - <parameter name="DIAG_DDR3_USER_USE_SIM_MEMORY_VALIDATION_TG" value="true" /> - <parameter name="DIAG_DDR3_USE_TG_AVL_2" value="false" /> - <parameter name="DIAG_DDR4_ABSTRACT_PHY" value="false" /> - <parameter name="DIAG_DDR4_BYPASS_DEFAULT_PATTERN" value="false" /> - <parameter name="DIAG_DDR4_BYPASS_USER_STAGE" value="true" /> - <parameter name="DIAG_DDR4_CAL_ADDR0" value="0" /> - <parameter name="DIAG_DDR4_CAL_ADDR1" value="8" /> - <parameter name="DIAG_DDR4_CAL_ENABLE_NON_DES" value="false" /> - <parameter name="DIAG_DDR4_CAL_FULL_CAL_ON_RESET" value="true" /> - <parameter name="DIAG_DDR4_DISABLE_AFI_P2C_REGISTERS" value="false" /> - <parameter name="DIAG_DDR4_EFFICIENCY_MONITOR">EFFMON_MODE_DISABLED</parameter> - <parameter name="DIAG_DDR4_EXPORT_SEQ_AVALON_HEAD_OF_CHAIN" value="true" /> - <parameter name="DIAG_DDR4_EXPORT_SEQ_AVALON_MASTER" value="true" /> - <parameter name="DIAG_DDR4_EXPORT_SEQ_AVALON_SLAVE">CAL_DEBUG_EXPORT_MODE_DISABLED</parameter> - <parameter name="DIAG_DDR4_EXPORT_TG_CFG_AVALON_SLAVE">TG_CFG_AMM_EXPORT_MODE_EXPORT</parameter> - <parameter name="DIAG_DDR4_EX_DESIGN_ISSP_EN" value="true" /> - <parameter name="DIAG_DDR4_EX_DESIGN_NUM_OF_SLAVES" value="1" /> - <parameter name="DIAG_DDR4_EX_DESIGN_SEPARATE_RZQS" value="false" /> - <parameter name="DIAG_DDR4_INFI_TG2_ERR_TEST" value="false" /> - <parameter name="DIAG_DDR4_INTERFACE_ID" value="0" /> - <parameter name="DIAG_DDR4_SEPARATE_READ_WRITE_ITFS" value="false" /> - <parameter name="DIAG_DDR4_SIM_CAL_MODE_ENUM" value="SIM_CAL_MODE_SKIP" /> - <parameter name="DIAG_DDR4_SIM_VERBOSE" value="true" /> - <parameter name="DIAG_DDR4_SKIP_CA_DESKEW" value="false" /> - <parameter name="DIAG_DDR4_SKIP_CA_LEVEL" value="false" /> - <parameter name="DIAG_DDR4_SKIP_VREF_CAL" value="true" /> - <parameter name="DIAG_DDR4_USER_SIM_MEMORY_PRELOAD" value="false" /> - <parameter name="DIAG_DDR4_USER_SIM_MEMORY_PRELOAD_PRI_EMIF_FILE">EMIF_PRI_PRELOAD.txt</parameter> - <parameter name="DIAG_DDR4_USER_SIM_MEMORY_PRELOAD_SEC_EMIF_FILE">EMIF_SEC_PRELOAD.txt</parameter> - <parameter name="DIAG_DDR4_USER_USE_SIM_MEMORY_VALIDATION_TG" value="true" /> - <parameter name="DIAG_DDR4_USE_TG_AVL_2" value="false" /> - <parameter name="DIAG_ECLIPSE_DEBUG" value="false" /> - <parameter name="DIAG_ENABLE_HPS_EMIF_DEBUG" value="false" /> - <parameter name="DIAG_ENABLE_JTAG_UART" value="false" /> - <parameter name="DIAG_ENABLE_JTAG_UART_HEX" value="false" /> - <parameter name="DIAG_EXPORT_PLL_LOCKED" value="false" /> - <parameter name="DIAG_EXPORT_PLL_REF_CLK_OUT" value="false" /> - <parameter name="DIAG_EXPORT_VJI" value="false" /> - <parameter name="DIAG_EXPOSE_DFT_SIGNALS" value="false" /> - <parameter name="DIAG_EXTRA_CONFIGS" value="" /> - <parameter name="DIAG_EXT_DOCS" value="false" /> - <parameter name="DIAG_EX_DESIGN_ADD_TEST_EMIFS" value="" /> - <parameter name="DIAG_EX_DESIGN_SEPARATE_RESETS" value="false" /> - <parameter name="DIAG_FAST_SIM_OVERRIDE">FAST_SIM_OVERRIDE_DEFAULT</parameter> - <parameter name="DIAG_HMC_HRC" value="auto" /> - <parameter name="DIAG_LPDDR3_ABSTRACT_PHY" value="false" /> - <parameter name="DIAG_LPDDR3_BYPASS_DEFAULT_PATTERN" value="false" /> - <parameter name="DIAG_LPDDR3_BYPASS_USER_STAGE" value="true" /> - <parameter name="DIAG_LPDDR3_DISABLE_AFI_P2C_REGISTERS" value="false" /> - <parameter name="DIAG_LPDDR3_EFFICIENCY_MONITOR">EFFMON_MODE_DISABLED</parameter> - <parameter name="DIAG_LPDDR3_EXPORT_SEQ_AVALON_HEAD_OF_CHAIN" value="true" /> - <parameter name="DIAG_LPDDR3_EXPORT_SEQ_AVALON_MASTER" value="false" /> - <parameter name="DIAG_LPDDR3_EXPORT_SEQ_AVALON_SLAVE">CAL_DEBUG_EXPORT_MODE_DISABLED</parameter> - <parameter name="DIAG_LPDDR3_EXPORT_TG_CFG_AVALON_SLAVE">TG_CFG_AMM_EXPORT_MODE_EXPORT</parameter> - <parameter name="DIAG_LPDDR3_EX_DESIGN_ISSP_EN" value="true" /> - <parameter name="DIAG_LPDDR3_EX_DESIGN_NUM_OF_SLAVES" value="1" /> - <parameter name="DIAG_LPDDR3_EX_DESIGN_SEPARATE_RZQS" value="false" /> - <parameter name="DIAG_LPDDR3_INFI_TG2_ERR_TEST" value="false" /> - <parameter name="DIAG_LPDDR3_INTERFACE_ID" value="0" /> - <parameter name="DIAG_LPDDR3_SEPARATE_READ_WRITE_ITFS" value="false" /> - <parameter name="DIAG_LPDDR3_SIM_CAL_MODE_ENUM" value="SIM_CAL_MODE_SKIP" /> - <parameter name="DIAG_LPDDR3_SIM_VERBOSE" value="true" /> - <parameter name="DIAG_LPDDR3_SKIP_CA_DESKEW" value="false" /> - <parameter name="DIAG_LPDDR3_SKIP_CA_LEVEL" value="false" /> - <parameter name="DIAG_LPDDR3_USER_SIM_MEMORY_PRELOAD" value="false" /> - <parameter name="DIAG_LPDDR3_USER_SIM_MEMORY_PRELOAD_PRI_EMIF_FILE">EMIF_PRI_PRELOAD.txt</parameter> - <parameter name="DIAG_LPDDR3_USER_SIM_MEMORY_PRELOAD_SEC_EMIF_FILE">EMIF_SEC_PRELOAD.txt</parameter> - <parameter name="DIAG_LPDDR3_USER_USE_SIM_MEMORY_VALIDATION_TG" value="true" /> - <parameter name="DIAG_LPDDR3_USE_TG_AVL_2" value="false" /> - <parameter name="DIAG_QDR2_ABSTRACT_PHY" value="false" /> - <parameter name="DIAG_QDR2_BYPASS_DEFAULT_PATTERN" value="false" /> - <parameter name="DIAG_QDR2_BYPASS_USER_STAGE" value="true" /> - <parameter name="DIAG_QDR2_DISABLE_AFI_P2C_REGISTERS" value="false" /> - <parameter name="DIAG_QDR2_EFFICIENCY_MONITOR">EFFMON_MODE_DISABLED</parameter> - <parameter name="DIAG_QDR2_EXPORT_SEQ_AVALON_HEAD_OF_CHAIN" value="true" /> - <parameter name="DIAG_QDR2_EXPORT_SEQ_AVALON_MASTER" value="true" /> - <parameter name="DIAG_QDR2_EXPORT_SEQ_AVALON_SLAVE">CAL_DEBUG_EXPORT_MODE_DISABLED</parameter> - <parameter name="DIAG_QDR2_EXPORT_TG_CFG_AVALON_SLAVE">TG_CFG_AMM_EXPORT_MODE_EXPORT</parameter> - <parameter name="DIAG_QDR2_EX_DESIGN_ISSP_EN" value="true" /> - <parameter name="DIAG_QDR2_EX_DESIGN_NUM_OF_SLAVES" value="1" /> - <parameter name="DIAG_QDR2_EX_DESIGN_SEPARATE_RZQS" value="false" /> - <parameter name="DIAG_QDR2_INFI_TG2_ERR_TEST" value="false" /> - <parameter name="DIAG_QDR2_INTERFACE_ID" value="0" /> - <parameter name="DIAG_QDR2_SEPARATE_READ_WRITE_ITFS" value="false" /> - <parameter name="DIAG_QDR2_SIM_CAL_MODE_ENUM" value="SIM_CAL_MODE_SKIP" /> - <parameter name="DIAG_QDR2_SIM_VERBOSE" value="true" /> - <parameter name="DIAG_QDR2_USER_SIM_MEMORY_PRELOAD" value="false" /> - <parameter name="DIAG_QDR2_USER_SIM_MEMORY_PRELOAD_PRI_EMIF_FILE">EMIF_PRI_PRELOAD.txt</parameter> - <parameter name="DIAG_QDR2_USER_SIM_MEMORY_PRELOAD_SEC_EMIF_FILE">EMIF_SEC_PRELOAD.txt</parameter> - <parameter name="DIAG_QDR2_USER_USE_SIM_MEMORY_VALIDATION_TG" value="true" /> - <parameter name="DIAG_QDR2_USE_TG_AVL_2" value="false" /> - <parameter name="DIAG_QDR4_ABSTRACT_PHY" value="false" /> - <parameter name="DIAG_QDR4_BYPASS_DEFAULT_PATTERN" value="false" /> - <parameter name="DIAG_QDR4_BYPASS_USER_STAGE" value="true" /> - <parameter name="DIAG_QDR4_DISABLE_AFI_P2C_REGISTERS" value="false" /> - <parameter name="DIAG_QDR4_EFFICIENCY_MONITOR">EFFMON_MODE_DISABLED</parameter> - <parameter name="DIAG_QDR4_EXPORT_SEQ_AVALON_HEAD_OF_CHAIN" value="true" /> - <parameter name="DIAG_QDR4_EXPORT_SEQ_AVALON_MASTER" value="true" /> - <parameter name="DIAG_QDR4_EXPORT_SEQ_AVALON_SLAVE">CAL_DEBUG_EXPORT_MODE_DISABLED</parameter> - <parameter name="DIAG_QDR4_EXPORT_TG_CFG_AVALON_SLAVE">TG_CFG_AMM_EXPORT_MODE_EXPORT</parameter> - <parameter name="DIAG_QDR4_EX_DESIGN_ISSP_EN" value="true" /> - <parameter name="DIAG_QDR4_EX_DESIGN_NUM_OF_SLAVES" value="1" /> - <parameter name="DIAG_QDR4_EX_DESIGN_SEPARATE_RZQS" value="false" /> - <parameter name="DIAG_QDR4_INFI_TG2_ERR_TEST" value="false" /> - <parameter name="DIAG_QDR4_INTERFACE_ID" value="0" /> - <parameter name="DIAG_QDR4_SEPARATE_READ_WRITE_ITFS" value="false" /> - <parameter name="DIAG_QDR4_SIM_CAL_MODE_ENUM" value="SIM_CAL_MODE_SKIP" /> - <parameter name="DIAG_QDR4_SIM_VERBOSE" value="true" /> - <parameter name="DIAG_QDR4_SKIP_VREF_CAL" value="false" /> - <parameter name="DIAG_QDR4_USER_SIM_MEMORY_PRELOAD" value="false" /> - <parameter name="DIAG_QDR4_USER_SIM_MEMORY_PRELOAD_PRI_EMIF_FILE">EMIF_PRI_PRELOAD.txt</parameter> - <parameter name="DIAG_QDR4_USER_SIM_MEMORY_PRELOAD_SEC_EMIF_FILE">EMIF_SEC_PRELOAD.txt</parameter> - <parameter name="DIAG_QDR4_USER_USE_SIM_MEMORY_VALIDATION_TG" value="true" /> - <parameter name="DIAG_QDR4_USE_TG_AVL_2" value="false" /> - <parameter name="DIAG_RLD2_ABSTRACT_PHY" value="false" /> - <parameter name="DIAG_RLD2_BYPASS_DEFAULT_PATTERN" value="false" /> - <parameter name="DIAG_RLD2_BYPASS_USER_STAGE" value="true" /> - <parameter name="DIAG_RLD2_DISABLE_AFI_P2C_REGISTERS" value="false" /> - <parameter name="DIAG_RLD2_EFFICIENCY_MONITOR">EFFMON_MODE_DISABLED</parameter> - <parameter name="DIAG_RLD2_EXPORT_SEQ_AVALON_HEAD_OF_CHAIN" value="true" /> - <parameter name="DIAG_RLD2_EXPORT_SEQ_AVALON_MASTER" value="true" /> - <parameter name="DIAG_RLD2_EXPORT_SEQ_AVALON_SLAVE">CAL_DEBUG_EXPORT_MODE_DISABLED</parameter> - <parameter name="DIAG_RLD2_EXPORT_TG_CFG_AVALON_SLAVE">TG_CFG_AMM_EXPORT_MODE_EXPORT</parameter> - <parameter name="DIAG_RLD2_EX_DESIGN_ISSP_EN" value="true" /> - <parameter name="DIAG_RLD2_EX_DESIGN_NUM_OF_SLAVES" value="1" /> - <parameter name="DIAG_RLD2_EX_DESIGN_SEPARATE_RZQS" value="false" /> - <parameter name="DIAG_RLD2_INFI_TG2_ERR_TEST" value="false" /> - <parameter name="DIAG_RLD2_INTERFACE_ID" value="0" /> - <parameter name="DIAG_RLD2_SEPARATE_READ_WRITE_ITFS" value="false" /> - <parameter name="DIAG_RLD2_SIM_CAL_MODE_ENUM" value="SIM_CAL_MODE_SKIP" /> - <parameter name="DIAG_RLD2_SIM_VERBOSE" value="true" /> - <parameter name="DIAG_RLD2_USER_SIM_MEMORY_PRELOAD" value="false" /> - <parameter name="DIAG_RLD2_USER_SIM_MEMORY_PRELOAD_PRI_EMIF_FILE">EMIF_PRI_PRELOAD.txt</parameter> - <parameter name="DIAG_RLD2_USER_SIM_MEMORY_PRELOAD_SEC_EMIF_FILE">EMIF_SEC_PRELOAD.txt</parameter> - <parameter name="DIAG_RLD2_USER_USE_SIM_MEMORY_VALIDATION_TG" value="true" /> - <parameter name="DIAG_RLD2_USE_TG_AVL_2" value="false" /> - <parameter name="DIAG_RLD3_ABSTRACT_PHY" value="false" /> - <parameter name="DIAG_RLD3_BYPASS_DEFAULT_PATTERN" value="false" /> - <parameter name="DIAG_RLD3_BYPASS_USER_STAGE" value="true" /> - <parameter name="DIAG_RLD3_CA_DESKEW_EN" value="false" /> - <parameter name="DIAG_RLD3_CA_LEVEL_EN" value="false" /> - <parameter name="DIAG_RLD3_DISABLE_AFI_P2C_REGISTERS" value="false" /> - <parameter name="DIAG_RLD3_EFFICIENCY_MONITOR">EFFMON_MODE_DISABLED</parameter> - <parameter name="DIAG_RLD3_EXPORT_SEQ_AVALON_HEAD_OF_CHAIN" value="true" /> - <parameter name="DIAG_RLD3_EXPORT_SEQ_AVALON_MASTER" value="true" /> - <parameter name="DIAG_RLD3_EXPORT_SEQ_AVALON_SLAVE">CAL_DEBUG_EXPORT_MODE_DISABLED</parameter> - <parameter name="DIAG_RLD3_EXPORT_TG_CFG_AVALON_SLAVE">TG_CFG_AMM_EXPORT_MODE_EXPORT</parameter> - <parameter name="DIAG_RLD3_EX_DESIGN_ISSP_EN" value="true" /> - <parameter name="DIAG_RLD3_EX_DESIGN_NUM_OF_SLAVES" value="1" /> - <parameter name="DIAG_RLD3_EX_DESIGN_SEPARATE_RZQS" value="false" /> - <parameter name="DIAG_RLD3_INFI_TG2_ERR_TEST" value="false" /> - <parameter name="DIAG_RLD3_INTERFACE_ID" value="0" /> - <parameter name="DIAG_RLD3_SEPARATE_READ_WRITE_ITFS" value="false" /> - <parameter name="DIAG_RLD3_SIM_CAL_MODE_ENUM" value="SIM_CAL_MODE_SKIP" /> - <parameter name="DIAG_RLD3_SIM_VERBOSE" value="true" /> - <parameter name="DIAG_RLD3_USER_SIM_MEMORY_PRELOAD" value="false" /> - <parameter name="DIAG_RLD3_USER_SIM_MEMORY_PRELOAD_PRI_EMIF_FILE">EMIF_PRI_PRELOAD.txt</parameter> - <parameter name="DIAG_RLD3_USER_SIM_MEMORY_PRELOAD_SEC_EMIF_FILE">EMIF_SEC_PRELOAD.txt</parameter> - <parameter name="DIAG_RLD3_USER_USE_SIM_MEMORY_VALIDATION_TG" value="true" /> - <parameter name="DIAG_RLD3_USE_TG_AVL_2" value="false" /> - <parameter name="DIAG_RS232_UART_BAUDRATE" value="57600" /> - <parameter name="DIAG_SEQ_RESET_AUTO_RELEASE" value="avl" /> - <parameter name="DIAG_SIM_REGTEST_MODE" value="false" /> - <parameter name="DIAG_SOFT_NIOS_CLOCK_FREQUENCY" value="100" /> - <parameter name="DIAG_SOFT_NIOS_MODE">SOFT_NIOS_MODE_DISABLED</parameter> - <parameter name="DIAG_SYNTH_FOR_SIM" value="false" /> - <parameter name="DIAG_TG_AVL_2_NUM_CFG_INTERFACES" value="0" /> - <parameter name="DIAG_TIMING_REGTEST_MODE" value="false" /> - <parameter name="DIAG_USE_BOARD_DELAY_MODEL" value="false" /> - <parameter name="DIAG_USE_RS232_UART" value="false" /> - <parameter name="DIAG_VERBOSE_IOAUX" value="false" /> - <parameter name="EX_DESIGN_GUI_DDR3_GEN_SIM" value="true" /> - <parameter name="EX_DESIGN_GUI_DDR3_GEN_SYNTH" value="true" /> - <parameter name="EX_DESIGN_GUI_DDR3_HDL_FORMAT" value="HDL_FORMAT_VERILOG" /> - <parameter name="EX_DESIGN_GUI_DDR3_PREV_PRESET" value="TARGET_DEV_KIT_NONE" /> - <parameter name="EX_DESIGN_GUI_DDR3_SEL_DESIGN">AVAIL_EX_DESIGNS_GEN_DESIGN</parameter> - <parameter name="EX_DESIGN_GUI_DDR3_TARGET_DEV_KIT" value="TARGET_DEV_KIT_NONE" /> - <parameter name="EX_DESIGN_GUI_DDR4_GEN_SIM" value="true" /> - <parameter name="EX_DESIGN_GUI_DDR4_GEN_SYNTH" value="true" /> - <parameter name="EX_DESIGN_GUI_DDR4_HDL_FORMAT" value="HDL_FORMAT_VERILOG" /> - <parameter name="EX_DESIGN_GUI_DDR4_PREV_PRESET" value="TARGET_DEV_KIT_NONE" /> - <parameter name="EX_DESIGN_GUI_DDR4_SEL_DESIGN">AVAIL_EX_DESIGNS_GEN_DESIGN</parameter> - <parameter name="EX_DESIGN_GUI_DDR4_TARGET_DEV_KIT" value="TARGET_DEV_KIT_NONE" /> - <parameter name="EX_DESIGN_GUI_LPDDR3_GEN_SIM" value="true" /> - <parameter name="EX_DESIGN_GUI_LPDDR3_GEN_SYNTH" value="true" /> - <parameter name="EX_DESIGN_GUI_LPDDR3_HDL_FORMAT" value="HDL_FORMAT_VERILOG" /> - <parameter name="EX_DESIGN_GUI_LPDDR3_PREV_PRESET" value="TARGET_DEV_KIT_NONE" /> - <parameter name="EX_DESIGN_GUI_LPDDR3_SEL_DESIGN">AVAIL_EX_DESIGNS_GEN_DESIGN</parameter> - <parameter - name="EX_DESIGN_GUI_LPDDR3_TARGET_DEV_KIT" - value="TARGET_DEV_KIT_NONE" /> - <parameter name="EX_DESIGN_GUI_QDR2_GEN_SIM" value="true" /> - <parameter name="EX_DESIGN_GUI_QDR2_GEN_SYNTH" value="true" /> - <parameter name="EX_DESIGN_GUI_QDR2_HDL_FORMAT" value="HDL_FORMAT_VERILOG" /> - <parameter name="EX_DESIGN_GUI_QDR2_PREV_PRESET" value="TARGET_DEV_KIT_NONE" /> - <parameter name="EX_DESIGN_GUI_QDR2_SEL_DESIGN">AVAIL_EX_DESIGNS_GEN_DESIGN</parameter> - <parameter name="EX_DESIGN_GUI_QDR2_TARGET_DEV_KIT" value="TARGET_DEV_KIT_NONE" /> - <parameter name="EX_DESIGN_GUI_QDR4_GEN_SIM" value="true" /> - <parameter name="EX_DESIGN_GUI_QDR4_GEN_SYNTH" value="true" /> - <parameter name="EX_DESIGN_GUI_QDR4_HDL_FORMAT" value="HDL_FORMAT_VERILOG" /> - <parameter name="EX_DESIGN_GUI_QDR4_PREV_PRESET" value="TARGET_DEV_KIT_NONE" /> - <parameter name="EX_DESIGN_GUI_QDR4_SEL_DESIGN">AVAIL_EX_DESIGNS_GEN_DESIGN</parameter> - <parameter name="EX_DESIGN_GUI_QDR4_TARGET_DEV_KIT" value="TARGET_DEV_KIT_NONE" /> - <parameter name="EX_DESIGN_GUI_RLD2_GEN_SIM" value="true" /> - <parameter name="EX_DESIGN_GUI_RLD2_GEN_SYNTH" value="true" /> - <parameter name="EX_DESIGN_GUI_RLD2_HDL_FORMAT" value="HDL_FORMAT_VERILOG" /> - <parameter name="EX_DESIGN_GUI_RLD2_PREV_PRESET" value="TARGET_DEV_KIT_NONE" /> - <parameter name="EX_DESIGN_GUI_RLD2_SEL_DESIGN">AVAIL_EX_DESIGNS_GEN_DESIGN</parameter> - <parameter name="EX_DESIGN_GUI_RLD2_TARGET_DEV_KIT" value="TARGET_DEV_KIT_NONE" /> - <parameter name="EX_DESIGN_GUI_RLD3_GEN_SIM" value="true" /> - <parameter name="EX_DESIGN_GUI_RLD3_GEN_SYNTH" value="true" /> - <parameter name="EX_DESIGN_GUI_RLD3_HDL_FORMAT" value="HDL_FORMAT_VERILOG" /> - <parameter name="EX_DESIGN_GUI_RLD3_PREV_PRESET" value="TARGET_DEV_KIT_NONE" /> - <parameter name="EX_DESIGN_GUI_RLD3_SEL_DESIGN">AVAIL_EX_DESIGNS_GEN_DESIGN</parameter> - <parameter name="EX_DESIGN_GUI_RLD3_TARGET_DEV_KIT" value="TARGET_DEV_KIT_NONE" /> - <parameter name="INTERNAL_TESTING_MODE" value="false" /> - <parameter name="IS_ED_SLAVE" value="false" /> - <parameter name="MEM_DDR3_ALERT_N_DQS_GROUP" value="0" /> - <parameter name="MEM_DDR3_ALERT_N_PLACEMENT_ENUM">DDR3_ALERT_N_PLACEMENT_AC_LANES</parameter> - <parameter name="MEM_DDR3_ASR_ENUM" value="DDR3_ASR_MANUAL" /> - <parameter name="MEM_DDR3_ATCL_ENUM" value="DDR3_ATCL_DISABLED" /> - <parameter name="MEM_DDR3_BANK_ADDR_WIDTH" value="3" /> - <parameter name="MEM_DDR3_BL_ENUM" value="DDR3_BL_BL8" /> - <parameter name="MEM_DDR3_BT_ENUM" value="DDR3_BT_SEQUENTIAL" /> - <parameter name="MEM_DDR3_CFG_GEN_DBE" value="false" /> - <parameter name="MEM_DDR3_CFG_GEN_SBE" value="false" /> - <parameter name="MEM_DDR3_CKE_PER_DIMM" value="1" /> - <parameter name="MEM_DDR3_CK_WIDTH" value="1" /> - <parameter name="MEM_DDR3_COL_ADDR_WIDTH" value="10" /> - <parameter name="MEM_DDR3_DISCRETE_CS_WIDTH" value="1" /> - <parameter name="MEM_DDR3_DISCRETE_MIRROR_ADDRESSING_EN" value="false" /> - <parameter name="MEM_DDR3_DLL_EN" value="true" /> - <parameter name="MEM_DDR3_DM_EN" value="true" /> - <parameter name="MEM_DDR3_DQ_PER_DQS" value="8" /> - <parameter name="MEM_DDR3_DQ_WIDTH" value="72" /> - <parameter name="MEM_DDR3_DRV_STR_ENUM" value="DDR3_DRV_STR_RZQ_6" /> - <parameter name="MEM_DDR3_FORMAT_ENUM" value="MEM_FORMAT_UDIMM" /> - <parameter name="MEM_DDR3_HIDE_ADV_MR_SETTINGS" value="true" /> - <parameter name="MEM_DDR3_LRDIMM_EXTENDED_CONFIG">0x000000000000000000</parameter> - <parameter name="MEM_DDR3_MIRROR_ADDRESSING_EN" value="false" /> - <parameter name="MEM_DDR3_NUM_OF_DIMMS" value="1" /> - <parameter name="MEM_DDR3_PD_ENUM" value="DDR3_PD_OFF" /> - <parameter name="MEM_DDR3_RANKS_PER_DIMM" value="1" /> - <parameter name="MEM_DDR3_RDIMM_CONFIG" value="0000000000000000" /> - <parameter name="MEM_DDR3_ROW_ADDR_WIDTH" value="14" /> - <parameter name="MEM_DDR3_RTT_NOM_ENUM">DDR3_RTT_NOM_ODT_DISABLED</parameter> - <parameter name="MEM_DDR3_RTT_WR_ENUM">DDR3_RTT_WR_ODT_DISABLED</parameter> - <parameter name="MEM_DDR3_R_ODT0_1X1" value="off" /> - <parameter name="MEM_DDR3_R_ODT0_2X2" value="off,on" /> - <parameter name="MEM_DDR3_R_ODT0_4X2" value="off,off,on,on" /> - <parameter name="MEM_DDR3_R_ODT0_4X4" value="off,off,off,off" /> - <parameter name="MEM_DDR3_R_ODT1_2X2" value="on,off" /> - <parameter name="MEM_DDR3_R_ODT1_4X2" value="on,on,off,off" /> - <parameter name="MEM_DDR3_R_ODT1_4X4" value="off,off,on,on" /> - <parameter name="MEM_DDR3_R_ODT2_4X4" value="off,off,off,off" /> - <parameter name="MEM_DDR3_R_ODT3_4X4" value="on,on,off,off" /> - <parameter name="MEM_DDR3_R_ODTN_1X1" value="Rank 0" /> - <parameter name="MEM_DDR3_R_ODTN_2X2" value="Rank 0,Rank 1" /> - <parameter name="MEM_DDR3_R_ODTN_4X2">Rank 0,Rank 1,Rank 2,Rank 3</parameter> - <parameter name="MEM_DDR3_R_ODTN_4X4">Rank 0,Rank 1,Rank 2,Rank 3</parameter> - <parameter name="MEM_DDR3_SPEEDBIN_ENUM" value="DDR3_SPEEDBIN_2133" /> - <parameter name="MEM_DDR3_SRT_ENUM" value="DDR3_SRT_NORMAL" /> - <parameter name="MEM_DDR3_TCL" value="7" /> - <parameter name="MEM_DDR3_TDH_DC_MV" value="100" /> - <parameter name="MEM_DDR3_TDH_PS" value="55" /> - <parameter name="MEM_DDR3_TDQSCK_PS" value="180" /> - <parameter name="MEM_DDR3_TDQSQ_PS" value="75" /> - <parameter name="MEM_DDR3_TDQSS_CYC" value="0.27" /> - <parameter name="MEM_DDR3_TDSH_CYC" value="0.18" /> - <parameter name="MEM_DDR3_TDSS_CYC" value="0.18" /> - <parameter name="MEM_DDR3_TDS_AC_MV" value="135" /> - <parameter name="MEM_DDR3_TDS_PS" value="53" /> - <parameter name="MEM_DDR3_TFAW_NS" value="25.0" /> - <parameter name="MEM_DDR3_TIH_DC_MV" value="100" /> - <parameter name="MEM_DDR3_TIH_PS" value="95" /> - <parameter name="MEM_DDR3_TINIT_US" value="500" /> - <parameter name="MEM_DDR3_TIS_AC_MV" value="135" /> - <parameter name="MEM_DDR3_TIS_PS" value="60" /> - <parameter name="MEM_DDR3_TMRD_CK_CYC" value="4" /> - <parameter name="MEM_DDR3_TQH_CYC" value="0.38" /> - <parameter name="MEM_DDR3_TQSH_CYC" value="0.4" /> - <parameter name="MEM_DDR3_TRAS_NS" value="33.0" /> - <parameter name="MEM_DDR3_TRCD_NS" value="13.09" /> - <parameter name="MEM_DDR3_TREFI_US" value="7.8" /> - <parameter name="MEM_DDR3_TRFC_NS" value="160.0" /> - <parameter name="MEM_DDR3_TRP_NS" value="13.09" /> - <parameter name="MEM_DDR3_TRRD_CYC" value="6" /> - <parameter name="MEM_DDR3_TRTP_CYC" value="8" /> - <parameter name="MEM_DDR3_TWLH_PS" value="125.0" /> - <parameter name="MEM_DDR3_TWLS_PS" value="125.0" /> - <parameter name="MEM_DDR3_TWR_NS" value="15.0" /> - <parameter name="MEM_DDR3_TWTR_CYC" value="4" /> - <parameter name="MEM_DDR3_USE_DEFAULT_ODT" value="true" /> - <parameter name="MEM_DDR3_WTCL" value="6" /> - <parameter name="MEM_DDR3_W_ODT0_1X1" value="on" /> - <parameter name="MEM_DDR3_W_ODT0_2X2" value="on,on" /> - <parameter name="MEM_DDR3_W_ODT0_4X2" value="off,off,on,on" /> - <parameter name="MEM_DDR3_W_ODT0_4X4" value="on,on,off,off" /> - <parameter name="MEM_DDR3_W_ODT1_2X2" value="on,on" /> - <parameter name="MEM_DDR3_W_ODT1_4X2" value="on,on,off,off" /> - <parameter name="MEM_DDR3_W_ODT1_4X4" value="off,off,on,on" /> - <parameter name="MEM_DDR3_W_ODT2_4X4" value="off,off,on,on" /> - <parameter name="MEM_DDR3_W_ODT3_4X4" value="on,on,off,off" /> - <parameter name="MEM_DDR3_W_ODTN_1X1" value="Rank 0" /> - <parameter name="MEM_DDR3_W_ODTN_2X2" value="Rank 0,Rank 1" /> - <parameter name="MEM_DDR3_W_ODTN_4X2">Rank 0,Rank 1,Rank 2,Rank 3</parameter> - <parameter name="MEM_DDR3_W_ODTN_4X4">Rank 0,Rank 1,Rank 2,Rank 3</parameter> - <parameter name="MEM_DDR4_AC_PARITY_LATENCY">DDR4_AC_PARITY_LATENCY_DISABLE</parameter> - <parameter name="MEM_DDR4_AC_PERSISTENT_ERROR" value="false" /> - <parameter name="MEM_DDR4_ALERT_N_AC_LANE" value="0" /> - <parameter name="MEM_DDR4_ALERT_N_AC_PIN" value="0" /> - <parameter name="MEM_DDR4_ALERT_N_DQS_GROUP" value="0" /> - <parameter name="MEM_DDR4_ALERT_N_PLACEMENT_ENUM">DDR4_ALERT_N_PLACEMENT_DATA_LANES</parameter> - <parameter name="MEM_DDR4_ALERT_PAR_EN" value="true" /> - <parameter name="MEM_DDR4_ASR_ENUM">DDR4_ASR_MANUAL_NORMAL</parameter> - <parameter name="MEM_DDR4_ATCL_ENUM" value="DDR4_ATCL_DISABLED" /> - <parameter name="MEM_DDR4_BANK_ADDR_WIDTH" value="2" /> - <parameter name="MEM_DDR4_BANK_GROUP_WIDTH" value="2" /> - <parameter name="MEM_DDR4_BL_ENUM" value="DDR4_BL_BL8" /> - <parameter name="MEM_DDR4_BT_ENUM" value="DDR4_BT_SEQUENTIAL" /> - <parameter name="MEM_DDR4_CAL_MODE" value="0" /> - <parameter name="MEM_DDR4_CFG_GEN_DBE" value="false" /> - <parameter name="MEM_DDR4_CFG_GEN_SBE" value="false" /> - <parameter name="MEM_DDR4_CHIP_ID_WIDTH" value="0" /> - <parameter name="MEM_DDR4_CKE_PER_DIMM" value="1" /> - <parameter name="MEM_DDR4_CK_WIDTH" value="2" /> - <parameter name="MEM_DDR4_COL_ADDR_WIDTH" value="10" /> - <parameter name="MEM_DDR4_DB_DQ_DRV_ENUM">DDR4_DB_DRV_STR_RZQ_7</parameter> - <parameter name="MEM_DDR4_DB_RTT_NOM_ENUM">DDR4_DB_RTT_NOM_ODT_DISABLED</parameter> - <parameter name="MEM_DDR4_DB_RTT_PARK_ENUM">DDR4_DB_RTT_PARK_ODT_DISABLED</parameter> - <parameter name="MEM_DDR4_DB_RTT_WR_ENUM">DDR4_DB_RTT_WR_RZQ_3</parameter> - <parameter name="MEM_DDR4_DEFAULT_VREFOUT" value="false" /> - <parameter name="MEM_DDR4_DISCRETE_CS_WIDTH" value="1" /> - <parameter name="MEM_DDR4_DISCRETE_MIRROR_ADDRESSING_EN" value="false" /> - <parameter name="MEM_DDR4_DLL_EN" value="true" /> - <parameter name="MEM_DDR4_DM_EN" value="true" /> - <parameter name="MEM_DDR4_DQ_PER_DQS" value="8" /> - <parameter name="MEM_DDR4_DQ_WIDTH" value="72" /> - <parameter name="MEM_DDR4_DRV_STR_ENUM" value="DDR4_DRV_STR_RZQ_7" /> - <parameter name="MEM_DDR4_FINE_GRANULARITY_REFRESH">DDR4_FINE_REFRESH_FIXED_1X</parameter> - <parameter name="MEM_DDR4_FORMAT_ENUM" value="MEM_FORMAT_SODIMM" /> - <parameter name="MEM_DDR4_GEARDOWN" value="DDR4_GEARDOWN_HR" /> - <parameter name="MEM_DDR4_HIDE_ADV_MR_SETTINGS" value="true" /> - <parameter name="MEM_DDR4_INTERNAL_VREFDQ_MONITOR" value="false" /> - <parameter name="MEM_DDR4_LRDIMM_ODT_LESS_BS" value="true" /> - <parameter name="MEM_DDR4_LRDIMM_ODT_LESS_BS_PARK_OHM" value="240" /> - <parameter name="MEM_DDR4_LRDIMM_VREFDQ_VALUE" value="1D" /> - <parameter name="MEM_DDR4_MAX_POWERDOWN" value="false" /> - <parameter name="MEM_DDR4_MIRROR_ADDRESSING_EN" value="false" /> - <parameter name="MEM_DDR4_MPR_READ_FORMAT">DDR4_MPR_READ_FORMAT_SERIAL</parameter> - <parameter name="MEM_DDR4_NUM_OF_DIMMS" value="1" /> - <parameter name="MEM_DDR4_ODT_IN_POWERDOWN" value="true" /> - <parameter name="MEM_DDR4_PER_DRAM_ADDR" value="false" /> - <parameter name="MEM_DDR4_RANKS_PER_DIMM" value="2" /> - <parameter name="MEM_DDR4_RCD_CA_IBT_ENUM" value="DDR4_RCD_CA_IBT_100" /> - <parameter name="MEM_DDR4_RCD_CKE_IBT_ENUM">DDR4_RCD_CKE_IBT_100</parameter> - <parameter name="MEM_DDR4_RCD_CS_IBT_ENUM" value="DDR4_RCD_CS_IBT_100" /> - <parameter name="MEM_DDR4_RCD_ODT_IBT_ENUM">DDR4_RCD_ODT_IBT_100</parameter> - <parameter name="MEM_DDR4_READ_DBI" value="false" /> - <parameter name="MEM_DDR4_READ_PREAMBLE" value="1" /> - <parameter name="MEM_DDR4_READ_PREAMBLE_TRAINING" value="false" /> - <parameter name="MEM_DDR4_ROW_ADDR_WIDTH" value="15" /> - <parameter name="MEM_DDR4_RTT_NOM_ENUM">DDR4_RTT_NOM_ODT_DISABLED</parameter> - <parameter name="MEM_DDR4_RTT_PARK">DDR4_RTT_PARK_ODT_DISABLED</parameter> - <parameter name="MEM_DDR4_RTT_WR_ENUM">DDR4_RTT_WR_ODT_DISABLED</parameter> - <parameter name="MEM_DDR4_R_ODT0_1X1" value="off" /> - <parameter name="MEM_DDR4_R_ODT0_2X2" value="off,on" /> - <parameter name="MEM_DDR4_R_ODT0_4X2" value="off,off,on,on" /> - <parameter name="MEM_DDR4_R_ODT0_4X4" value="off,off,off,off" /> - <parameter name="MEM_DDR4_R_ODT1_2X2" value="on,off" /> - <parameter name="MEM_DDR4_R_ODT1_4X2" value="on,on,off,off" /> - <parameter name="MEM_DDR4_R_ODT1_4X4" value="off,off,on,on" /> - <parameter name="MEM_DDR4_R_ODT2_4X4" value="off,off,off,off" /> - <parameter name="MEM_DDR4_R_ODT3_4X4" value="on,on,off,off" /> - <parameter name="MEM_DDR4_R_ODTN_1X1" value="Rank 0" /> - <parameter name="MEM_DDR4_R_ODTN_2X2" value="Rank 0,Rank 1" /> - <parameter name="MEM_DDR4_R_ODTN_4X2">Rank 0,Rank 1,Rank 2,Rank 3</parameter> - <parameter name="MEM_DDR4_R_ODTN_4X4">Rank 0,Rank 1,Rank 2,Rank 3</parameter> - <parameter name="MEM_DDR4_SELF_RFSH_ABORT" value="false" /> - <parameter name="MEM_DDR4_SPD_133_RCD_DB_VENDOR_LSB" value="0" /> - <parameter name="MEM_DDR4_SPD_134_RCD_DB_VENDOR_MSB" value="0" /> - <parameter name="MEM_DDR4_SPD_135_RCD_REV" value="0" /> - <parameter name="MEM_DDR4_SPD_137_RCD_CA_DRV" value="101" /> - <parameter name="MEM_DDR4_SPD_138_RCD_CK_DRV" value="5" /> - <parameter name="MEM_DDR4_SPD_139_DB_REV" value="0" /> - <parameter name="MEM_DDR4_SPD_140_DRAM_VREFDQ_R0" value="29" /> - <parameter name="MEM_DDR4_SPD_141_DRAM_VREFDQ_R1" value="29" /> - <parameter name="MEM_DDR4_SPD_142_DRAM_VREFDQ_R2" value="29" /> - <parameter name="MEM_DDR4_SPD_143_DRAM_VREFDQ_R3" value="29" /> - <parameter name="MEM_DDR4_SPD_144_DB_VREFDQ" value="37" /> - <parameter name="MEM_DDR4_SPD_145_DB_MDQ_DRV" value="21" /> - <parameter name="MEM_DDR4_SPD_148_DRAM_DRV" value="0" /> - <parameter name="MEM_DDR4_SPD_149_DRAM_RTT_WR_NOM" value="20" /> - <parameter name="MEM_DDR4_SPD_152_DRAM_RTT_PARK" value="39" /> - <parameter name="MEM_DDR4_SPEEDBIN_ENUM" value="DDR4_SPEEDBIN_2400" /> - <parameter name="MEM_DDR4_TCCD_L_CYC" value="5" /> - <parameter name="MEM_DDR4_TCCD_S_CYC" value="4" /> - <parameter name="MEM_DDR4_TCL" value="18" /> - <parameter name="MEM_DDR4_TDIVW_DJ_CYC" value="0.1" /> - <parameter name="MEM_DDR4_TDIVW_TOTAL_UI" value="0.2" /> - <parameter name="MEM_DDR4_TDQSCK_PS" value="180" /> - <parameter name="MEM_DDR4_TDQSQ_PS" value="66" /> - <parameter name="MEM_DDR4_TDQSQ_UI" value="0.16" /> - <parameter name="MEM_DDR4_TDQSS_CYC" value="0.27" /> - <parameter name="MEM_DDR4_TDSH_CYC" value="0.18" /> - <parameter name="MEM_DDR4_TDSS_CYC" value="0.18" /> - <parameter name="MEM_DDR4_TDVWP_UI" value="0.72" /> - <parameter name="MEM_DDR4_TEMP_CONTROLLED_RFSH_ENA" value="false" /> - <parameter name="MEM_DDR4_TEMP_CONTROLLED_RFSH_RANGE">DDR4_TEMP_CONTROLLED_RFSH_NORMAL</parameter> - <parameter name="MEM_DDR4_TEMP_SENSOR_READOUT" value="false" /> - <parameter name="MEM_DDR4_TFAW_DLR_CYC" value="16" /> - <parameter name="MEM_DDR4_TFAW_NS" value="25.0" /> - <parameter name="MEM_DDR4_TIH_DC_MV" value="75" /> - <parameter name="MEM_DDR4_TIH_PS" value="95" /> - <parameter name="MEM_DDR4_TINIT_US" value="500" /> - <parameter name="MEM_DDR4_TIS_AC_MV" value="100" /> - <parameter name="MEM_DDR4_TIS_PS" value="60" /> - <parameter name="MEM_DDR4_TMRD_CK_CYC" value="8" /> - <parameter name="MEM_DDR4_TQH_CYC" value="0.38" /> - <parameter name="MEM_DDR4_TQH_UI" value="0.76" /> - <parameter name="MEM_DDR4_TQSH_CYC" value="0.38" /> - <parameter name="MEM_DDR4_TRAS_NS" value="33.0" /> - <parameter name="MEM_DDR4_TRCD_NS" value="14.06" /> - <parameter name="MEM_DDR4_TREFI_US" value="7.8" /> - <parameter name="MEM_DDR4_TRFC_DLR_NS" value="90.0" /> - <parameter name="MEM_DDR4_TRFC_NS" value="160.0" /> - <parameter name="MEM_DDR4_TRP_NS" value="14.06" /> - <parameter name="MEM_DDR4_TRRD_DLR_CYC" value="4" /> - <parameter name="MEM_DDR4_TRRD_L_CYC" value="5" /> - <parameter name="MEM_DDR4_TRRD_S_CYC" value="4" /> - <parameter name="MEM_DDR4_TWLH_CYC" value="0.13" /> - <parameter name="MEM_DDR4_TWLH_PS" value="0.0" /> - <parameter name="MEM_DDR4_TWLS_CYC" value="0.13" /> - <parameter name="MEM_DDR4_TWLS_PS" value="0.0" /> - <parameter name="MEM_DDR4_TWR_NS" value="15.0" /> - <parameter name="MEM_DDR4_TWTR_L_CYC" value="4" /> - <parameter name="MEM_DDR4_TWTR_S_CYC" value="2" /> - <parameter name="MEM_DDR4_USER_VREFDQ_TRAINING_RANGE">DDR4_VREFDQ_TRAINING_RANGE_1</parameter> - <parameter name="MEM_DDR4_USER_VREFDQ_TRAINING_VALUE" value="60.0" /> - <parameter name="MEM_DDR4_USE_DEFAULT_ODT" value="true" /> - <parameter name="MEM_DDR4_VDIVW_TOTAL" value="136" /> - <parameter name="MEM_DDR4_WRITE_CRC" value="false" /> - <parameter name="MEM_DDR4_WRITE_DBI" value="false" /> - <parameter name="MEM_DDR4_WRITE_PREAMBLE" value="1" /> - <parameter name="MEM_DDR4_WTCL" value="18" /> - <parameter name="MEM_DDR4_W_ODT0_1X1" value="on" /> - <parameter name="MEM_DDR4_W_ODT0_2X2" value="on,on" /> - <parameter name="MEM_DDR4_W_ODT0_4X2" value="off,off,on,on" /> - <parameter name="MEM_DDR4_W_ODT0_4X4" value="on,on,off,off" /> - <parameter name="MEM_DDR4_W_ODT1_2X2" value="on,on" /> - <parameter name="MEM_DDR4_W_ODT1_4X2" value="on,on,off,off" /> - <parameter name="MEM_DDR4_W_ODT1_4X4" value="off,off,on,on" /> - <parameter name="MEM_DDR4_W_ODT2_4X4" value="off,off,on,on" /> - <parameter name="MEM_DDR4_W_ODT3_4X4" value="on,on,off,off" /> - <parameter name="MEM_DDR4_W_ODTN_1X1" value="Rank 0" /> - <parameter name="MEM_DDR4_W_ODTN_2X2" value="Rank 0,Rank 1" /> - <parameter name="MEM_DDR4_W_ODTN_4X2">Rank 0,Rank 1,Rank 2,Rank 3</parameter> - <parameter name="MEM_DDR4_W_ODTN_4X4">Rank 0,Rank 1,Rank 2,Rank 3</parameter> - <parameter name="MEM_LPDDR3_BANK_ADDR_WIDTH" value="3" /> - <parameter name="MEM_LPDDR3_BL" value="LPDDR3_BL_BL8" /> - <parameter name="MEM_LPDDR3_CK_WIDTH" value="1" /> - <parameter name="MEM_LPDDR3_COL_ADDR_WIDTH" value="10" /> - <parameter name="MEM_LPDDR3_DATA_LATENCY" value="LPDDR3_DL_RL12_WL6" /> - <parameter name="MEM_LPDDR3_DISCRETE_CS_WIDTH" value="1" /> - <parameter name="MEM_LPDDR3_DM_EN" value="true" /> - <parameter name="MEM_LPDDR3_DQODT">LPDDR3_DQODT_DISABLE</parameter> - <parameter name="MEM_LPDDR3_DQ_WIDTH" value="32" /> - <parameter name="MEM_LPDDR3_DRV_STR">LPDDR3_DRV_STR_40D_40U</parameter> - <parameter name="MEM_LPDDR3_PDODT">LPDDR3_PDODT_DISABLED</parameter> - <parameter name="MEM_LPDDR3_ROW_ADDR_WIDTH" value="15" /> - <parameter name="MEM_LPDDR3_R_ODT0_1X1" value="off" /> - <parameter name="MEM_LPDDR3_R_ODT0_2X2" value="off,off" /> - <parameter name="MEM_LPDDR3_R_ODT0_4X4" value="off,off,on,on" /> - <parameter name="MEM_LPDDR3_R_ODT1_2X2" value="off,off" /> - <parameter name="MEM_LPDDR3_R_ODT1_4X4" value="off,off,off,off" /> - <parameter name="MEM_LPDDR3_R_ODT2_4X4" value="on,on,off,off" /> - <parameter name="MEM_LPDDR3_R_ODT3_4X4" value="off,off,off,off" /> - <parameter name="MEM_LPDDR3_R_ODTN_1X1" value="Rank 0" /> - <parameter name="MEM_LPDDR3_R_ODTN_2X2" value="Rank 0,Rank 1" /> - <parameter name="MEM_LPDDR3_R_ODTN_4X4">Rank 0,Rank 1,Rank 2,Rank 3</parameter> - <parameter name="MEM_LPDDR3_SPEEDBIN_ENUM">LPDDR3_SPEEDBIN_1600</parameter> - <parameter name="MEM_LPDDR3_TDH_DC_MV" value="100" /> - <parameter name="MEM_LPDDR3_TDH_PS" value="100" /> - <parameter name="MEM_LPDDR3_TDQSCKDL" value="614" /> - <parameter name="MEM_LPDDR3_TDQSQ_PS" value="135" /> - <parameter name="MEM_LPDDR3_TDQSS_CYC" value="1.25" /> - <parameter name="MEM_LPDDR3_TDSH_CYC" value="0.2" /> - <parameter name="MEM_LPDDR3_TDSS_CYC" value="0.2" /> - <parameter name="MEM_LPDDR3_TDS_AC_MV" value="150" /> - <parameter name="MEM_LPDDR3_TDS_PS" value="75" /> - <parameter name="MEM_LPDDR3_TFAW_NS" value="50.0" /> - <parameter name="MEM_LPDDR3_TIH_DC_MV" value="100" /> - <parameter name="MEM_LPDDR3_TIH_PS" value="100" /> - <parameter name="MEM_LPDDR3_TINIT_US" value="500" /> - <parameter name="MEM_LPDDR3_TIS_AC_MV" value="150" /> - <parameter name="MEM_LPDDR3_TIS_PS" value="75" /> - <parameter name="MEM_LPDDR3_TMRR_CK_CYC" value="4" /> - <parameter name="MEM_LPDDR3_TMRW_CK_CYC" value="10" /> - <parameter name="MEM_LPDDR3_TQH_CYC" value="0.38" /> - <parameter name="MEM_LPDDR3_TQSH_CYC" value="0.38" /> - <parameter name="MEM_LPDDR3_TRAS_NS" value="42.5" /> - <parameter name="MEM_LPDDR3_TRCD_NS" value="18.75" /> - <parameter name="MEM_LPDDR3_TREFI_US" value="3.9" /> - <parameter name="MEM_LPDDR3_TRFC_NS" value="210.0" /> - <parameter name="MEM_LPDDR3_TRP_NS" value="18.75" /> - <parameter name="MEM_LPDDR3_TRRD_CYC" value="2" /> - <parameter name="MEM_LPDDR3_TRTP_CYC" value="4" /> - <parameter name="MEM_LPDDR3_TWLH_PS" value="175.0" /> - <parameter name="MEM_LPDDR3_TWLS_PS" value="175.0" /> - <parameter name="MEM_LPDDR3_TWR_NS" value="15.0" /> - <parameter name="MEM_LPDDR3_TWTR_CYC" value="4" /> - <parameter name="MEM_LPDDR3_USE_DEFAULT_ODT" value="true" /> - <parameter name="MEM_LPDDR3_W_ODT0_1X1" value="on" /> - <parameter name="MEM_LPDDR3_W_ODT0_2X2" value="on,off" /> - <parameter name="MEM_LPDDR3_W_ODT0_4X4" value="on,on,on,on" /> - <parameter name="MEM_LPDDR3_W_ODT1_2X2" value="off,on" /> - <parameter name="MEM_LPDDR3_W_ODT1_4X4" value="off,off,off,off" /> - <parameter name="MEM_LPDDR3_W_ODT2_4X4" value="on,on,on,on" /> - <parameter name="MEM_LPDDR3_W_ODT3_4X4" value="off,off,off,off" /> - <parameter name="MEM_LPDDR3_W_ODTN_1X1" value="Rank 0" /> - <parameter name="MEM_LPDDR3_W_ODTN_2X2" value="Rank 0,Rank 1" /> - <parameter name="MEM_LPDDR3_W_ODTN_4X4">Rank 0,Rank 1,Rank 2,Rank 3</parameter> - <parameter name="MEM_QDR2_ADDR_WIDTH" value="19" /> - <parameter name="MEM_QDR2_BL" value="4" /> - <parameter name="MEM_QDR2_BWS_EN" value="true" /> - <parameter name="MEM_QDR2_DATA_PER_DEVICE" value="36" /> - <parameter name="MEM_QDR2_INTERNAL_JITTER_NS" value="0.08" /> - <parameter name="MEM_QDR2_SPEEDBIN_ENUM" value="QDR2_SPEEDBIN_633" /> - <parameter name="MEM_QDR2_TCCQO_NS" value="0.45" /> - <parameter name="MEM_QDR2_TCQDOH_NS" value="-0.09" /> - <parameter name="MEM_QDR2_TCQD_NS" value="0.09" /> - <parameter name="MEM_QDR2_TCQH_NS" value="0.71" /> - <parameter name="MEM_QDR2_THA_NS" value="0.18" /> - <parameter name="MEM_QDR2_THD_NS" value="0.18" /> - <parameter name="MEM_QDR2_TRL_CYC" value="2.5" /> - <parameter name="MEM_QDR2_TSA_NS" value="0.23" /> - <parameter name="MEM_QDR2_TSD_NS" value="0.23" /> - <parameter name="MEM_QDR2_WIDTH_EXPANDED" value="false" /> - <parameter name="MEM_QDR4_AC_ODT_MODE_ENUM" value="QDR4_ODT_25_PCT" /> - <parameter name="MEM_QDR4_ADDR_INV_ENA" value="false" /> - <parameter name="MEM_QDR4_ADDR_WIDTH" value="21" /> - <parameter name="MEM_QDR4_CK_ODT_MODE_ENUM" value="QDR4_ODT_25_PCT" /> - <parameter name="MEM_QDR4_DATA_INV_ENA" value="false" /> - <parameter name="MEM_QDR4_DATA_ODT_MODE_ENUM" value="QDR4_ODT_25_PCT" /> - <parameter name="MEM_QDR4_DQ_PER_PORT_PER_DEVICE" value="36" /> - <parameter name="MEM_QDR4_MEM_TYPE_ENUM" value="MEM_XP" /> - <parameter name="MEM_QDR4_PD_OUTPUT_DRIVE_MODE_ENUM">QDR4_OUTPUT_DRIVE_25_PCT</parameter> - <parameter name="MEM_QDR4_PU_OUTPUT_DRIVE_MODE_ENUM">QDR4_OUTPUT_DRIVE_25_PCT</parameter> - <parameter name="MEM_QDR4_SKIP_ODT_SWEEPING" value="true" /> - <parameter name="MEM_QDR4_SPEEDBIN_ENUM" value="QDR4_SPEEDBIN_2133" /> - <parameter name="MEM_QDR4_TASH_PS" value="170" /> - <parameter name="MEM_QDR4_TCKDK_MAX_PS" value="150" /> - <parameter name="MEM_QDR4_TCKDK_MIN_PS" value="-150" /> - <parameter name="MEM_QDR4_TCKQK_MAX_PS" value="225" /> - <parameter name="MEM_QDR4_TCSH_PS" value="170" /> - <parameter name="MEM_QDR4_TISH_PS" value="150" /> - <parameter name="MEM_QDR4_TQH_CYC" value="0.4" /> - <parameter name="MEM_QDR4_TQKQ_MAX_PS" value="75" /> - <parameter name="MEM_QDR4_USE_ADDR_PARITY" value="false" /> - <parameter name="MEM_QDR4_WIDTH_EXPANDED" value="false" /> - <parameter name="MEM_RLD2_ADDR_WIDTH" value="21" /> - <parameter name="MEM_RLD2_BANK_ADDR_WIDTH" value="3" /> - <parameter name="MEM_RLD2_BL" value="4" /> - <parameter name="MEM_RLD2_CONFIG_ENUM">RLD2_CONFIG_TRC_8_TRL_8_TWL_9</parameter> - <parameter name="MEM_RLD2_DM_EN" value="true" /> - <parameter name="MEM_RLD2_DQ_PER_DEVICE" value="9" /> - <parameter name="MEM_RLD2_DRIVE_IMPEDENCE_ENUM">RLD2_DRIVE_IMPEDENCE_INTERNAL_50</parameter> - <parameter name="MEM_RLD2_ODT_MODE_ENUM" value="RLD2_ODT_ON" /> - <parameter name="MEM_RLD2_REFRESH_INTERVAL_US" value="0.24" /> - <parameter name="MEM_RLD2_SPEEDBIN_ENUM" value="RLD2_SPEEDBIN_18" /> - <parameter name="MEM_RLD2_TAH_NS" value="0.3" /> - <parameter name="MEM_RLD2_TAS_NS" value="0.3" /> - <parameter name="MEM_RLD2_TCKDK_MAX_NS" value="0.3" /> - <parameter name="MEM_RLD2_TCKDK_MIN_NS" value="-0.3" /> - <parameter name="MEM_RLD2_TCKH_CYC" value="0.45" /> - <parameter name="MEM_RLD2_TCKQK_MAX_NS" value="0.2" /> - <parameter name="MEM_RLD2_TDH_NS" value="0.17" /> - <parameter name="MEM_RLD2_TDS_NS" value="0.17" /> - <parameter name="MEM_RLD2_TQKH_HCYC" value="0.9" /> - <parameter name="MEM_RLD2_TQKQ_MAX_NS" value="0.12" /> - <parameter name="MEM_RLD2_TQKQ_MIN_NS" value="-0.12" /> - <parameter name="MEM_RLD2_WIDTH_EXPANDED" value="false" /> - <parameter name="MEM_RLD3_ADDR_WIDTH" value="20" /> - <parameter name="MEM_RLD3_AREF_PROTOCOL_ENUM" value="RLD3_AREF_BAC" /> - <parameter name="MEM_RLD3_BANK_ADDR_WIDTH" value="4" /> - <parameter name="MEM_RLD3_BL" value="2" /> - <parameter name="MEM_RLD3_DATA_LATENCY_MODE_ENUM" value="RLD3_DL_RL16_WL17" /> - <parameter name="MEM_RLD3_DEPTH_EXPANDED" value="false" /> - <parameter name="MEM_RLD3_DM_EN" value="true" /> - <parameter name="MEM_RLD3_DQ_PER_DEVICE" value="36" /> - <parameter name="MEM_RLD3_ODT_MODE_ENUM" value="RLD3_ODT_40" /> - <parameter name="MEM_RLD3_OUTPUT_DRIVE_MODE_ENUM">RLD3_OUTPUT_DRIVE_40</parameter> - <parameter name="MEM_RLD3_SPEEDBIN_ENUM" value="RLD3_SPEEDBIN_093E" /> - <parameter name="MEM_RLD3_TCKDK_MAX_CYC" value="0.27" /> - <parameter name="MEM_RLD3_TCKDK_MIN_CYC" value="-0.27" /> - <parameter name="MEM_RLD3_TCKQK_MAX_PS" value="135" /> - <parameter name="MEM_RLD3_TDH_DC_MV" value="100" /> - <parameter name="MEM_RLD3_TDH_PS" value="5" /> - <parameter name="MEM_RLD3_TDS_AC_MV" value="150" /> - <parameter name="MEM_RLD3_TDS_PS" value="-30" /> - <parameter name="MEM_RLD3_TIH_DC_MV" value="100" /> - <parameter name="MEM_RLD3_TIH_PS" value="65" /> - <parameter name="MEM_RLD3_TIS_AC_MV" value="150" /> - <parameter name="MEM_RLD3_TIS_PS" value="85" /> - <parameter name="MEM_RLD3_TQH_CYC" value="0.38" /> - <parameter name="MEM_RLD3_TQKQ_MAX_PS" value="75" /> - <parameter name="MEM_RLD3_T_RC_MODE_ENUM" value="RLD3_TRC_9" /> - <parameter name="MEM_RLD3_WIDTH_EXPANDED" value="false" /> - <parameter name="MEM_RLD3_WRITE_PROTOCOL_ENUM" value="RLD3_WRITE_1BANK" /> - <parameter name="PHY_DDR3_CAL_ADDR0" value="0" /> - <parameter name="PHY_DDR3_CAL_ADDR1" value="8" /> - <parameter name="PHY_DDR3_CAL_ENABLE_NON_DES" value="true" /> - <parameter name="PHY_DDR3_CONFIG_ENUM">CONFIG_PHY_AND_HARD_CTRL</parameter> - <parameter name="PHY_DDR3_CORE_CLKS_SHARING_ENUM">CORE_CLKS_SHARING_DISABLED</parameter> - <parameter name="PHY_DDR3_CORE_CLKS_SHARING_EXPOSE_SLAVE_OUT" value="false" /> - <parameter name="PHY_DDR3_DEFAULT_IO" value="true" /> - <parameter name="PHY_DDR3_DEFAULT_REF_CLK_FREQ" value="false" /> - <parameter name="PHY_DDR3_HPS_ENABLE_EARLY_RELEASE" value="false" /> - <parameter name="PHY_DDR3_IO_VOLTAGE" value="1.5" /> - <parameter name="PHY_DDR3_MEM_CLK_FREQ_MHZ" value="1066.667" /> - <parameter name="PHY_DDR3_MIMIC_HPS_EMIF" value="false" /> - <parameter name="PHY_DDR3_RATE_ENUM" value="RATE_QUARTER" /> - <parameter name="PHY_DDR3_REF_CLK_JITTER_PS" value="10.0" /> - <parameter name="PHY_DDR3_USER_AC_IO_STD_ENUM" value="unset" /> - <parameter name="PHY_DDR3_USER_AC_MODE_ENUM" value="unset" /> - <parameter name="PHY_DDR3_USER_AC_SLEW_RATE_ENUM" value="SLEW_RATE_FAST" /> - <parameter name="PHY_DDR3_USER_AUTO_STARTING_VREFIN_EN" value="true" /> - <parameter name="PHY_DDR3_USER_CK_IO_STD_ENUM" value="unset" /> - <parameter name="PHY_DDR3_USER_CK_MODE_ENUM" value="unset" /> - <parameter name="PHY_DDR3_USER_CK_SLEW_RATE_ENUM" value="SLEW_RATE_FAST" /> - <parameter name="PHY_DDR3_USER_DATA_IN_MODE_ENUM" value="unset" /> - <parameter name="PHY_DDR3_USER_DATA_IO_STD_ENUM" value="unset" /> - <parameter name="PHY_DDR3_USER_DATA_OUT_MODE_ENUM" value="unset" /> - <parameter name="PHY_DDR3_USER_DLL_CORE_UPDN_EN" value="true" /> - <parameter name="PHY_DDR3_USER_PERIODIC_OCT_RECAL_ENUM">PERIODIC_OCT_RECAL_AUTO</parameter> - <parameter name="PHY_DDR3_USER_PING_PONG_EN" value="false" /> - <parameter name="PHY_DDR3_USER_PLL_REF_CLK_IO_STD_ENUM" value="unset" /> - <parameter name="PHY_DDR3_USER_REF_CLK_FREQ_MHZ" value="133.333" /> - <parameter name="PHY_DDR3_USER_RZQ_IO_STD_ENUM" value="unset" /> - <parameter name="PHY_DDR3_USER_STARTING_VREFIN" value="70.0" /> - <parameter name="PHY_DDR4_CONFIG_ENUM">CONFIG_PHY_AND_HARD_CTRL</parameter> - <parameter name="PHY_DDR4_CORE_CLKS_SHARING_ENUM">CORE_CLKS_SHARING_DISABLED</parameter> - <parameter name="PHY_DDR4_CORE_CLKS_SHARING_EXPOSE_SLAVE_OUT" value="false" /> - <parameter name="PHY_DDR4_DEFAULT_IO" value="true" /> - <parameter name="PHY_DDR4_DEFAULT_REF_CLK_FREQ" value="false" /> - <parameter name="PHY_DDR4_HPS_ENABLE_EARLY_RELEASE" value="false" /> - <parameter name="PHY_DDR4_IO_VOLTAGE" value="1.2" /> - <parameter name="PHY_DDR4_MEM_CLK_FREQ_MHZ" value="1200.0" /> - <parameter name="PHY_DDR4_MIMIC_HPS_EMIF" value="false" /> - <parameter name="PHY_DDR4_RATE_ENUM" value="RATE_QUARTER" /> - <parameter name="PHY_DDR4_REF_CLK_JITTER_PS" value="10.0" /> - <parameter name="PHY_DDR4_USER_AC_IO_STD_ENUM" value="unset" /> - <parameter name="PHY_DDR4_USER_AC_MODE_ENUM" value="unset" /> - <parameter name="PHY_DDR4_USER_AC_SLEW_RATE_ENUM" value="SLEW_RATE_FAST" /> - <parameter name="PHY_DDR4_USER_AUTO_STARTING_VREFIN_EN" value="true" /> - <parameter name="PHY_DDR4_USER_CK_IO_STD_ENUM" value="unset" /> - <parameter name="PHY_DDR4_USER_CK_MODE_ENUM" value="unset" /> - <parameter name="PHY_DDR4_USER_CK_SLEW_RATE_ENUM" value="SLEW_RATE_FAST" /> - <parameter name="PHY_DDR4_USER_CLAMSHELL_EN" value="false" /> - <parameter name="PHY_DDR4_USER_DATA_IN_MODE_ENUM" value="unset" /> - <parameter name="PHY_DDR4_USER_DATA_IO_STD_ENUM" value="unset" /> - <parameter name="PHY_DDR4_USER_DATA_OUT_MODE_ENUM" value="unset" /> - <parameter name="PHY_DDR4_USER_DLL_CORE_UPDN_EN" value="true" /> - <parameter name="PHY_DDR4_USER_PERIODIC_OCT_RECAL_ENUM">PERIODIC_OCT_RECAL_AUTO</parameter> - <parameter name="PHY_DDR4_USER_PING_PONG_EN" value="false" /> - <parameter name="PHY_DDR4_USER_PLL_REF_CLK_IO_STD_ENUM" value="unset" /> - <parameter name="PHY_DDR4_USER_REF_CLK_FREQ_MHZ" value="25.0" /> - <parameter name="PHY_DDR4_USER_RZQ_IO_STD_ENUM" value="unset" /> - <parameter name="PHY_DDR4_USER_STARTING_VREFIN" value="70.0" /> - <parameter name="PHY_LPDDR3_CONFIG_ENUM">CONFIG_PHY_AND_HARD_CTRL</parameter> - <parameter name="PHY_LPDDR3_CORE_CLKS_SHARING_ENUM">CORE_CLKS_SHARING_DISABLED</parameter> - <parameter name="PHY_LPDDR3_CORE_CLKS_SHARING_EXPOSE_SLAVE_OUT" value="false" /> - <parameter name="PHY_LPDDR3_DEFAULT_IO" value="true" /> - <parameter name="PHY_LPDDR3_DEFAULT_REF_CLK_FREQ" value="true" /> - <parameter name="PHY_LPDDR3_HPS_ENABLE_EARLY_RELEASE" value="false" /> - <parameter name="PHY_LPDDR3_IO_VOLTAGE" value="1.2" /> - <parameter name="PHY_LPDDR3_MEM_CLK_FREQ_MHZ" value="800.0" /> - <parameter name="PHY_LPDDR3_MIMIC_HPS_EMIF" value="false" /> - <parameter name="PHY_LPDDR3_RATE_ENUM" value="RATE_QUARTER" /> - <parameter name="PHY_LPDDR3_REF_CLK_JITTER_PS" value="10.0" /> - <parameter name="PHY_LPDDR3_USER_AC_IO_STD_ENUM" value="unset" /> - <parameter name="PHY_LPDDR3_USER_AC_MODE_ENUM" value="unset" /> - <parameter name="PHY_LPDDR3_USER_AC_SLEW_RATE_ENUM" value="SLEW_RATE_FAST" /> - <parameter name="PHY_LPDDR3_USER_AUTO_STARTING_VREFIN_EN" value="true" /> - <parameter name="PHY_LPDDR3_USER_CK_IO_STD_ENUM" value="unset" /> - <parameter name="PHY_LPDDR3_USER_CK_MODE_ENUM" value="unset" /> - <parameter name="PHY_LPDDR3_USER_CK_SLEW_RATE_ENUM" value="SLEW_RATE_FAST" /> - <parameter name="PHY_LPDDR3_USER_DATA_IN_MODE_ENUM" value="unset" /> - <parameter name="PHY_LPDDR3_USER_DATA_IO_STD_ENUM" value="unset" /> - <parameter name="PHY_LPDDR3_USER_DATA_OUT_MODE_ENUM" value="unset" /> - <parameter name="PHY_LPDDR3_USER_DLL_CORE_UPDN_EN" value="false" /> - <parameter name="PHY_LPDDR3_USER_PERIODIC_OCT_RECAL_ENUM">PERIODIC_OCT_RECAL_AUTO</parameter> - <parameter name="PHY_LPDDR3_USER_PING_PONG_EN" value="false" /> - <parameter name="PHY_LPDDR3_USER_PLL_REF_CLK_IO_STD_ENUM" value="unset" /> - <parameter name="PHY_LPDDR3_USER_REF_CLK_FREQ_MHZ" value="-1.0" /> - <parameter name="PHY_LPDDR3_USER_RZQ_IO_STD_ENUM" value="unset" /> - <parameter name="PHY_LPDDR3_USER_STARTING_VREFIN" value="70.0" /> - <parameter name="PHY_QDR2_CONFIG_ENUM">CONFIG_PHY_AND_SOFT_CTRL</parameter> - <parameter name="PHY_QDR2_CORE_CLKS_SHARING_ENUM">CORE_CLKS_SHARING_DISABLED</parameter> - <parameter name="PHY_QDR2_CORE_CLKS_SHARING_EXPOSE_SLAVE_OUT" value="false" /> - <parameter name="PHY_QDR2_DEFAULT_IO" value="true" /> - <parameter name="PHY_QDR2_DEFAULT_REF_CLK_FREQ" value="true" /> - <parameter name="PHY_QDR2_HPS_ENABLE_EARLY_RELEASE" value="false" /> - <parameter name="PHY_QDR2_IO_VOLTAGE" value="1.5" /> - <parameter name="PHY_QDR2_MEM_CLK_FREQ_MHZ" value="633.333" /> - <parameter name="PHY_QDR2_MIMIC_HPS_EMIF" value="false" /> - <parameter name="PHY_QDR2_RATE_ENUM" value="RATE_HALF" /> - <parameter name="PHY_QDR2_REF_CLK_JITTER_PS" value="10.0" /> - <parameter name="PHY_QDR2_USER_AC_IO_STD_ENUM" value="unset" /> - <parameter name="PHY_QDR2_USER_AC_MODE_ENUM" value="unset" /> - <parameter name="PHY_QDR2_USER_AC_SLEW_RATE_ENUM" value="SLEW_RATE_FAST" /> - <parameter name="PHY_QDR2_USER_AUTO_STARTING_VREFIN_EN" value="true" /> - <parameter name="PHY_QDR2_USER_CK_IO_STD_ENUM" value="unset" /> - <parameter name="PHY_QDR2_USER_CK_MODE_ENUM" value="unset" /> - <parameter name="PHY_QDR2_USER_CK_SLEW_RATE_ENUM" value="SLEW_RATE_FAST" /> - <parameter name="PHY_QDR2_USER_DATA_IN_MODE_ENUM" value="unset" /> - <parameter name="PHY_QDR2_USER_DATA_IO_STD_ENUM" value="unset" /> - <parameter name="PHY_QDR2_USER_DATA_OUT_MODE_ENUM" value="unset" /> - <parameter name="PHY_QDR2_USER_DLL_CORE_UPDN_EN" value="false" /> - <parameter name="PHY_QDR2_USER_PERIODIC_OCT_RECAL_ENUM">PERIODIC_OCT_RECAL_AUTO</parameter> - <parameter name="PHY_QDR2_USER_PING_PONG_EN" value="false" /> - <parameter name="PHY_QDR2_USER_PLL_REF_CLK_IO_STD_ENUM" value="unset" /> - <parameter name="PHY_QDR2_USER_REF_CLK_FREQ_MHZ" value="-1.0" /> - <parameter name="PHY_QDR2_USER_RZQ_IO_STD_ENUM" value="unset" /> - <parameter name="PHY_QDR2_USER_STARTING_VREFIN" value="70.0" /> - <parameter name="PHY_QDR4_CONFIG_ENUM">CONFIG_PHY_AND_SOFT_CTRL</parameter> - <parameter name="PHY_QDR4_CORE_CLKS_SHARING_ENUM">CORE_CLKS_SHARING_DISABLED</parameter> - <parameter name="PHY_QDR4_CORE_CLKS_SHARING_EXPOSE_SLAVE_OUT" value="false" /> - <parameter name="PHY_QDR4_DEFAULT_IO" value="true" /> - <parameter name="PHY_QDR4_DEFAULT_REF_CLK_FREQ" value="true" /> - <parameter name="PHY_QDR4_HPS_ENABLE_EARLY_RELEASE" value="false" /> - <parameter name="PHY_QDR4_IO_VOLTAGE" value="1.2" /> - <parameter name="PHY_QDR4_MEM_CLK_FREQ_MHZ" value="1066.667" /> - <parameter name="PHY_QDR4_MIMIC_HPS_EMIF" value="false" /> - <parameter name="PHY_QDR4_RATE_ENUM" value="RATE_QUARTER" /> - <parameter name="PHY_QDR4_REF_CLK_JITTER_PS" value="10.0" /> - <parameter name="PHY_QDR4_USER_AC_IO_STD_ENUM" value="unset" /> - <parameter name="PHY_QDR4_USER_AC_MODE_ENUM" value="unset" /> - <parameter name="PHY_QDR4_USER_AC_SLEW_RATE_ENUM" value="SLEW_RATE_FAST" /> - <parameter name="PHY_QDR4_USER_AUTO_STARTING_VREFIN_EN" value="true" /> - <parameter name="PHY_QDR4_USER_CK_IO_STD_ENUM" value="unset" /> - <parameter name="PHY_QDR4_USER_CK_MODE_ENUM" value="unset" /> - <parameter name="PHY_QDR4_USER_CK_SLEW_RATE_ENUM" value="SLEW_RATE_FAST" /> - <parameter name="PHY_QDR4_USER_DATA_IN_MODE_ENUM" value="unset" /> - <parameter name="PHY_QDR4_USER_DATA_IO_STD_ENUM" value="unset" /> - <parameter name="PHY_QDR4_USER_DATA_OUT_MODE_ENUM" value="unset" /> - <parameter name="PHY_QDR4_USER_DLL_CORE_UPDN_EN" value="true" /> - <parameter name="PHY_QDR4_USER_PERIODIC_OCT_RECAL_ENUM">PERIODIC_OCT_RECAL_AUTO</parameter> - <parameter name="PHY_QDR4_USER_PING_PONG_EN" value="false" /> - <parameter name="PHY_QDR4_USER_PLL_REF_CLK_IO_STD_ENUM" value="unset" /> - <parameter name="PHY_QDR4_USER_REF_CLK_FREQ_MHZ" value="-1.0" /> - <parameter name="PHY_QDR4_USER_RZQ_IO_STD_ENUM" value="unset" /> - <parameter name="PHY_QDR4_USER_STARTING_VREFIN" value="70.0" /> - <parameter name="PHY_RLD2_CONFIG_ENUM">CONFIG_PHY_AND_SOFT_CTRL</parameter> - <parameter name="PHY_RLD2_CORE_CLKS_SHARING_ENUM">CORE_CLKS_SHARING_DISABLED</parameter> - <parameter name="PHY_RLD2_CORE_CLKS_SHARING_EXPOSE_SLAVE_OUT" value="false" /> - <parameter name="PHY_RLD2_DEFAULT_IO" value="true" /> - <parameter name="PHY_RLD2_DEFAULT_REF_CLK_FREQ" value="true" /> - <parameter name="PHY_RLD2_HPS_ENABLE_EARLY_RELEASE" value="false" /> - <parameter name="PHY_RLD2_IO_VOLTAGE" value="1.8" /> - <parameter name="PHY_RLD2_MEM_CLK_FREQ_MHZ" value="533.333" /> - <parameter name="PHY_RLD2_MIMIC_HPS_EMIF" value="false" /> - <parameter name="PHY_RLD2_RATE_ENUM" value="RATE_HALF" /> - <parameter name="PHY_RLD2_REF_CLK_JITTER_PS" value="10.0" /> - <parameter name="PHY_RLD2_USER_AC_IO_STD_ENUM" value="unset" /> - <parameter name="PHY_RLD2_USER_AC_MODE_ENUM" value="unset" /> - <parameter name="PHY_RLD2_USER_AC_SLEW_RATE_ENUM" value="SLEW_RATE_FAST" /> - <parameter name="PHY_RLD2_USER_AUTO_STARTING_VREFIN_EN" value="true" /> - <parameter name="PHY_RLD2_USER_CK_IO_STD_ENUM" value="unset" /> - <parameter name="PHY_RLD2_USER_CK_MODE_ENUM" value="unset" /> - <parameter name="PHY_RLD2_USER_CK_SLEW_RATE_ENUM" value="SLEW_RATE_FAST" /> - <parameter name="PHY_RLD2_USER_DATA_IN_MODE_ENUM" value="unset" /> - <parameter name="PHY_RLD2_USER_DATA_IO_STD_ENUM" value="unset" /> - <parameter name="PHY_RLD2_USER_DATA_OUT_MODE_ENUM" value="unset" /> - <parameter name="PHY_RLD2_USER_DLL_CORE_UPDN_EN" value="false" /> - <parameter name="PHY_RLD2_USER_PERIODIC_OCT_RECAL_ENUM">PERIODIC_OCT_RECAL_AUTO</parameter> - <parameter name="PHY_RLD2_USER_PING_PONG_EN" value="false" /> - <parameter name="PHY_RLD2_USER_PLL_REF_CLK_IO_STD_ENUM" value="unset" /> - <parameter name="PHY_RLD2_USER_REF_CLK_FREQ_MHZ" value="-1.0" /> - <parameter name="PHY_RLD2_USER_RZQ_IO_STD_ENUM" value="unset" /> - <parameter name="PHY_RLD2_USER_STARTING_VREFIN" value="70.0" /> - <parameter name="PHY_RLD3_CONFIG_ENUM" value="CONFIG_PHY_ONLY" /> - <parameter name="PHY_RLD3_CORE_CLKS_SHARING_ENUM">CORE_CLKS_SHARING_DISABLED</parameter> - <parameter name="PHY_RLD3_CORE_CLKS_SHARING_EXPOSE_SLAVE_OUT" value="false" /> - <parameter name="PHY_RLD3_DEFAULT_IO" value="true" /> - <parameter name="PHY_RLD3_DEFAULT_REF_CLK_FREQ" value="true" /> - <parameter name="PHY_RLD3_HPS_ENABLE_EARLY_RELEASE" value="false" /> - <parameter name="PHY_RLD3_IO_VOLTAGE" value="1.2" /> - <parameter name="PHY_RLD3_MEM_CLK_FREQ_MHZ" value="1066.667" /> - <parameter name="PHY_RLD3_MIMIC_HPS_EMIF" value="false" /> - <parameter name="PHY_RLD3_RATE_ENUM" value="RATE_QUARTER" /> - <parameter name="PHY_RLD3_REF_CLK_JITTER_PS" value="10.0" /> - <parameter name="PHY_RLD3_USER_AC_IO_STD_ENUM" value="unset" /> - <parameter name="PHY_RLD3_USER_AC_MODE_ENUM" value="unset" /> - <parameter name="PHY_RLD3_USER_AC_SLEW_RATE_ENUM" value="SLEW_RATE_FAST" /> - <parameter name="PHY_RLD3_USER_AUTO_STARTING_VREFIN_EN" value="true" /> - <parameter name="PHY_RLD3_USER_CK_IO_STD_ENUM" value="unset" /> - <parameter name="PHY_RLD3_USER_CK_MODE_ENUM" value="unset" /> - <parameter name="PHY_RLD3_USER_CK_SLEW_RATE_ENUM" value="SLEW_RATE_FAST" /> - <parameter name="PHY_RLD3_USER_DATA_IN_MODE_ENUM" value="unset" /> - <parameter name="PHY_RLD3_USER_DATA_IO_STD_ENUM" value="unset" /> - <parameter name="PHY_RLD3_USER_DATA_OUT_MODE_ENUM" value="unset" /> - <parameter name="PHY_RLD3_USER_DLL_CORE_UPDN_EN" value="false" /> - <parameter name="PHY_RLD3_USER_PERIODIC_OCT_RECAL_ENUM">PERIODIC_OCT_RECAL_AUTO</parameter> - <parameter name="PHY_RLD3_USER_PING_PONG_EN" value="false" /> - <parameter name="PHY_RLD3_USER_PLL_REF_CLK_IO_STD_ENUM" value="unset" /> - <parameter name="PHY_RLD3_USER_REF_CLK_FREQ_MHZ" value="-1.0" /> - <parameter name="PHY_RLD3_USER_RZQ_IO_STD_ENUM" value="unset" /> - <parameter name="PHY_RLD3_USER_STARTING_VREFIN" value="70.0" /> - <parameter name="PLL_ADD_EXTRA_CLKS" value="false" /> - <parameter name="PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_0" value="50.0" /> - <parameter name="PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_1" value="50.0" /> - <parameter name="PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_2" value="50.0" /> - <parameter name="PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_3" value="50.0" /> - <parameter name="PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_4" value="50.0" /> - <parameter name="PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_5" value="50.0" /> - <parameter name="PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_6" value="50.0" /> - <parameter name="PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_7" value="50.0" /> - <parameter name="PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_8" value="50.0" /> - <parameter name="PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_GUI_0" value="50.0" /> - <parameter name="PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_GUI_1" value="50.0" /> - <parameter name="PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_GUI_2" value="50.0" /> - <parameter name="PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_GUI_3" value="50.0" /> - <parameter name="PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_GUI_4" value="50.0" /> - <parameter name="PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_GUI_5" value="50.0" /> - <parameter name="PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_GUI_6" value="50.0" /> - <parameter name="PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_GUI_7" value="50.0" /> - <parameter name="PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_GUI_8" value="50.0" /> - <parameter name="PLL_EXTRA_CLK_ACTUAL_FREQ_MHZ_GUI_0" value="0.0" /> - <parameter name="PLL_EXTRA_CLK_ACTUAL_FREQ_MHZ_GUI_1" value="0.0" /> - <parameter name="PLL_EXTRA_CLK_ACTUAL_FREQ_MHZ_GUI_2" value="0.0" /> - <parameter name="PLL_EXTRA_CLK_ACTUAL_FREQ_MHZ_GUI_3" value="0.0" /> - <parameter name="PLL_EXTRA_CLK_ACTUAL_FREQ_MHZ_GUI_4" value="0.0" /> - <parameter name="PLL_EXTRA_CLK_ACTUAL_FREQ_MHZ_GUI_5" value="100.0" /> - <parameter name="PLL_EXTRA_CLK_ACTUAL_FREQ_MHZ_GUI_6" value="100.0" /> - <parameter name="PLL_EXTRA_CLK_ACTUAL_FREQ_MHZ_GUI_7" value="100.0" /> - <parameter name="PLL_EXTRA_CLK_ACTUAL_FREQ_MHZ_GUI_8" value="100.0" /> - <parameter name="PLL_EXTRA_CLK_ACTUAL_PHASE_DEG_GUI_0" value="0.0" /> - <parameter name="PLL_EXTRA_CLK_ACTUAL_PHASE_DEG_GUI_1" value="0.0" /> - <parameter name="PLL_EXTRA_CLK_ACTUAL_PHASE_DEG_GUI_2" value="0.0" /> - <parameter name="PLL_EXTRA_CLK_ACTUAL_PHASE_DEG_GUI_3" value="0.0" /> - <parameter name="PLL_EXTRA_CLK_ACTUAL_PHASE_DEG_GUI_4" value="0.0" /> - <parameter name="PLL_EXTRA_CLK_ACTUAL_PHASE_DEG_GUI_5" value="0.0" /> - <parameter name="PLL_EXTRA_CLK_ACTUAL_PHASE_DEG_GUI_6" value="0.0" /> - <parameter name="PLL_EXTRA_CLK_ACTUAL_PHASE_DEG_GUI_7" value="0.0" /> - <parameter name="PLL_EXTRA_CLK_ACTUAL_PHASE_DEG_GUI_8" value="0.0" /> - <parameter name="PLL_EXTRA_CLK_ACTUAL_PHASE_PS_GUI_0" value="0.0" /> - <parameter name="PLL_EXTRA_CLK_ACTUAL_PHASE_PS_GUI_1" value="0.0" /> - <parameter name="PLL_EXTRA_CLK_ACTUAL_PHASE_PS_GUI_2" value="0.0" /> - <parameter name="PLL_EXTRA_CLK_ACTUAL_PHASE_PS_GUI_3" value="0.0" /> - <parameter name="PLL_EXTRA_CLK_ACTUAL_PHASE_PS_GUI_4" value="0.0" /> - <parameter name="PLL_EXTRA_CLK_ACTUAL_PHASE_PS_GUI_5" value="0.0" /> - <parameter name="PLL_EXTRA_CLK_ACTUAL_PHASE_PS_GUI_6" value="0.0" /> - <parameter name="PLL_EXTRA_CLK_ACTUAL_PHASE_PS_GUI_7" value="0.0" /> - <parameter name="PLL_EXTRA_CLK_ACTUAL_PHASE_PS_GUI_8" value="0.0" /> - <parameter name="PLL_EXTRA_CLK_DESIRED_DUTY_CYCLE_GUI_0" value="50.0" /> - <parameter name="PLL_EXTRA_CLK_DESIRED_DUTY_CYCLE_GUI_1" value="50.0" /> - <parameter name="PLL_EXTRA_CLK_DESIRED_DUTY_CYCLE_GUI_2" value="50.0" /> - <parameter name="PLL_EXTRA_CLK_DESIRED_DUTY_CYCLE_GUI_3" value="50.0" /> - <parameter name="PLL_EXTRA_CLK_DESIRED_DUTY_CYCLE_GUI_4" value="50.0" /> - <parameter name="PLL_EXTRA_CLK_DESIRED_DUTY_CYCLE_GUI_5" value="50.0" /> - <parameter name="PLL_EXTRA_CLK_DESIRED_DUTY_CYCLE_GUI_6" value="50.0" /> - <parameter name="PLL_EXTRA_CLK_DESIRED_DUTY_CYCLE_GUI_7" value="50.0" /> - <parameter name="PLL_EXTRA_CLK_DESIRED_DUTY_CYCLE_GUI_8" value="50.0" /> - <parameter name="PLL_EXTRA_CLK_DESIRED_FREQ_MHZ_GUI_0" value="0.0" /> - <parameter name="PLL_EXTRA_CLK_DESIRED_FREQ_MHZ_GUI_1" value="0.0" /> - <parameter name="PLL_EXTRA_CLK_DESIRED_FREQ_MHZ_GUI_2" value="0.0" /> - <parameter name="PLL_EXTRA_CLK_DESIRED_FREQ_MHZ_GUI_3" value="0.0" /> - <parameter name="PLL_EXTRA_CLK_DESIRED_FREQ_MHZ_GUI_4" value="0.0" /> - <parameter name="PLL_EXTRA_CLK_DESIRED_FREQ_MHZ_GUI_5" value="100.0" /> - <parameter name="PLL_EXTRA_CLK_DESIRED_FREQ_MHZ_GUI_6" value="100.0" /> - <parameter name="PLL_EXTRA_CLK_DESIRED_FREQ_MHZ_GUI_7" value="100.0" /> - <parameter name="PLL_EXTRA_CLK_DESIRED_FREQ_MHZ_GUI_8" value="100.0" /> - <parameter name="PLL_EXTRA_CLK_DESIRED_PHASE_GUI_0" value="0.0" /> - <parameter name="PLL_EXTRA_CLK_DESIRED_PHASE_GUI_1" value="0.0" /> - <parameter name="PLL_EXTRA_CLK_DESIRED_PHASE_GUI_2" value="0.0" /> - <parameter name="PLL_EXTRA_CLK_DESIRED_PHASE_GUI_3" value="0.0" /> - <parameter name="PLL_EXTRA_CLK_DESIRED_PHASE_GUI_4" value="0.0" /> - <parameter name="PLL_EXTRA_CLK_DESIRED_PHASE_GUI_5" value="0.0" /> - <parameter name="PLL_EXTRA_CLK_DESIRED_PHASE_GUI_6" value="0.0" /> - <parameter name="PLL_EXTRA_CLK_DESIRED_PHASE_GUI_7" value="0.0" /> - <parameter name="PLL_EXTRA_CLK_DESIRED_PHASE_GUI_8" value="0.0" /> - <parameter name="PLL_EXTRA_CLK_PHASE_SHIFT_UNIT_GUI_0" value="0" /> - <parameter name="PLL_EXTRA_CLK_PHASE_SHIFT_UNIT_GUI_1" value="0" /> - <parameter name="PLL_EXTRA_CLK_PHASE_SHIFT_UNIT_GUI_2" value="0" /> - <parameter name="PLL_EXTRA_CLK_PHASE_SHIFT_UNIT_GUI_3" value="0" /> - <parameter name="PLL_EXTRA_CLK_PHASE_SHIFT_UNIT_GUI_4" value="0" /> - <parameter name="PLL_EXTRA_CLK_PHASE_SHIFT_UNIT_GUI_5" value="0" /> - <parameter name="PLL_EXTRA_CLK_PHASE_SHIFT_UNIT_GUI_6" value="0" /> - <parameter name="PLL_EXTRA_CLK_PHASE_SHIFT_UNIT_GUI_7" value="0" /> - <parameter name="PLL_EXTRA_CLK_PHASE_SHIFT_UNIT_GUI_8" value="0" /> - <parameter name="PLL_USER_NUM_OF_EXTRA_CLKS" value="0" /> - <parameter name="PROTOCOL_ENUM" value="PROTOCOL_DDR4" /> - <parameter name="SHORT_QSYS_INTERFACE_NAMES" value="false" /> - <parameter name="SYS_INFO_DEVICE" value="10AX115U3F45E2SG" /> - <parameter name="SYS_INFO_DEVICE_DIE_REVISIONS" value="" /> - <parameter name="SYS_INFO_DEVICE_FAMILY" value="Arria 10" /> - <parameter name="SYS_INFO_DEVICE_POWER_MODEL" value="STANDARD" /> - <parameter name="SYS_INFO_DEVICE_SPEEDGRADE" value="2" /> - <parameter name="SYS_INFO_DEVICE_TEMPERATURE_GRADE" value="EXTENDED" /> - <parameter name="SYS_INFO_UNIQUE_ID">ip_arria10_e2sg_ddr4_8g_2400_ddr4_inst</parameter> - <parameter name="TRAIT_SUPPORTS_VID" value="0" /> - </module> -</system> diff --git a/libraries/technology/ip_arria10_e2sg/fifo/hdllib.cfg b/libraries/technology/ip_arria10_e2sg/fifo/hdllib.cfg index cfdb7ced1c..07aac80dfb 100644 --- a/libraries/technology/ip_arria10_e2sg/fifo/hdllib.cfg +++ b/libraries/technology/ip_arria10_e2sg/fifo/hdllib.cfg @@ -20,7 +20,7 @@ test_bench_files = [generate_ip_libs] qsys-generate_ip_files = - ip_arria10_e2sg_fifo_sc.qsys - ip_arria10_e2sg_fifo_dc.qsys - ip_arria10_e2sg_fifo_dc_mixed_widths.qsys + ip_arria10_e2sg_fifo_sc.ip + ip_arria10_e2sg_fifo_dc.ip + ip_arria10_e2sg_fifo_dc_mixed_widths.ip diff --git a/libraries/technology/ip_arria10_e2sg/fifo/ip_arria10_e2sg_fifo_dc.ip b/libraries/technology/ip_arria10_e2sg/fifo/ip_arria10_e2sg_fifo_dc.ip new file mode 100644 index 0000000000..2eaec1a60a --- /dev/null +++ b/libraries/technology/ip_arria10_e2sg/fifo/ip_arria10_e2sg_fifo_dc.ip @@ -0,0 +1,654 @@ +<?xml version="1.0" ?> +<ipxact:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact2014/extensions" xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"> + <ipxact:vendor>Intel Corporation</ipxact:vendor> + <ipxact:library>ip_arria10_e2sg_fifo_dc</ipxact:library> + <ipxact:name>fifo_0</ipxact:name> + <ipxact:version>19.1</ipxact:version> + <ipxact:busInterfaces> + <ipxact:busInterface> + <ipxact:name>fifo_input</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>datain</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>data</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>wrreq</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>wrreq</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rdreq</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rdreq</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>wrclk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>wrclk</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rdclk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rdclk</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>aclr</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>aclr</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>fifo_output</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>dataout</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>q</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rdusedw</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rdusedw</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>wrusedw</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>wrusedw</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rdempty</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rdempty</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>wrfull</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>wrfull</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + </ipxact:busInterfaces> + <ipxact:model> + <ipxact:views> + <ipxact:view> + <ipxact:name>QUARTUS_SYNTH</ipxact:name> + <ipxact:envIdentifier>:quartus.altera.com:</ipxact:envIdentifier> + <ipxact:componentInstantiationRef>QUARTUS_SYNTH</ipxact:componentInstantiationRef> + </ipxact:view> + </ipxact:views> + <ipxact:instantiations> + <ipxact:componentInstantiation> + <ipxact:name>QUARTUS_SYNTH</ipxact:name> + <ipxact:moduleName>fifo</ipxact:moduleName> + <ipxact:fileSetRef> + <ipxact:localName>QUARTUS_SYNTH</ipxact:localName> + </ipxact:fileSetRef> + </ipxact:componentInstantiation> + </ipxact:instantiations> + <ipxact:ports> + <ipxact:port> + <ipxact:name>data</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>7</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>wrreq</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rdreq</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>wrclk</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rdclk</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>aclr</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>q</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>7</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rdusedw</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>7</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>wrusedw</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>7</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rdempty</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>wrfull</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + </ipxact:ports> + </ipxact:model> + <ipxact:vendorExtensions> + <altera:entity_info> + <ipxact:vendor>Intel Corporation</ipxact:vendor> + <ipxact:library>ip_arria10_e2sg_fifo_dc</ipxact:library> + <ipxact:name>fifo</ipxact:name> + <ipxact:version>19.1</ipxact:version> + </altera:entity_info> + <altera:altera_module_parameters> + <ipxact:parameters> + <ipxact:parameter parameterId="DEVICE_FAMILY" type="string"> + <ipxact:name>DEVICE_FAMILY</ipxact:name> + <ipxact:displayName>Device Family</ipxact:displayName> + <ipxact:value>Arria 10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_Clock" type="int"> + <ipxact:name>GUI_Clock</ipxact:name> + <ipxact:displayName>Do you want a common clock for reading and writing the FIFO?</ipxact:displayName> + <ipxact:value>4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_CLOCKS_ARE_SYNCHRONIZED" type="int"> + <ipxact:name>GUI_CLOCKS_ARE_SYNCHRONIZED</ipxact:name> + <ipxact:displayName>Are the FIFO clocks synchronized?</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_delaypipe" type="int"> + <ipxact:name>GUI_delaypipe</ipxact:name> + <ipxact:displayName>Which type of optimization do you want?</ipxact:displayName> + <ipxact:value>5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_synStage" type="int"> + <ipxact:name>GUI_synStage</ipxact:name> + <ipxact:displayName>How many sync stages?</ipxact:displayName> + <ipxact:value>3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_LegacyRREQ" type="int"> + <ipxact:name>GUI_LegacyRREQ</ipxact:name> + <ipxact:displayName>Which kind of read access do you want with the 'rdreq' signal?</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_RAM_BLOCK_TYPE" type="string"> + <ipxact:name>GUI_RAM_BLOCK_TYPE</ipxact:name> + <ipxact:displayName>What should the memory block type be?</ipxact:displayName> + <ipxact:value>Auto</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_Optimize" type="int"> + <ipxact:name>GUI_Optimize</ipxact:name> + <ipxact:displayName>Would you like to register the output to maximize performance but use more area?</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_Optimize_max" type="int"> + <ipxact:name>GUI_Optimize_max</ipxact:name> + <ipxact:displayName>Which type of optimization do you want?</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_Width" type="int"> + <ipxact:name>GUI_Width</ipxact:name> + <ipxact:displayName>How wide should the FIFO be?</ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_Depth" type="int"> + <ipxact:name>GUI_Depth</ipxact:name> + <ipxact:displayName>How deep should the FIFO be?</ipxact:displayName> + <ipxact:value>256</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_output_width" type="int"> + <ipxact:name>GUI_output_width</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_AlmostFullThr" type="int"> + <ipxact:name>GUI_AlmostFullThr</ipxact:name> + <ipxact:displayName>becomes true when usedw[] is greater than or equal to</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_AlmostEmptyThr" type="int"> + <ipxact:name>GUI_AlmostEmptyThr</ipxact:name> + <ipxact:displayName>becomes true when usedw[] is less than</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_MAX_DEPTH" type="string"> + <ipxact:name>GUI_MAX_DEPTH</ipxact:name> + <ipxact:displayName>Set the maximum block depth to</ipxact:displayName> + <ipxact:value>Auto</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_DISABLE_DCFIFO_EMBEDDED_TIMING_CONSTRAINT" type="bit"> + <ipxact:name>GUI_DISABLE_DCFIFO_EMBEDDED_TIMING_CONSTRAINT</ipxact:name> + <ipxact:displayName>Generate SDC file and disable embedded timing constraint</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_diff_widths" type="bit"> + <ipxact:name>GUI_diff_widths</ipxact:name> + <ipxact:displayName>Use a different output width and set to</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_Full" type="bit"> + <ipxact:name>GUI_Full</ipxact:name> + <ipxact:displayName>full</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_Empty" type="bit"> + <ipxact:name>GUI_Empty</ipxact:name> + <ipxact:displayName>empty</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_UsedW" type="bit"> + <ipxact:name>GUI_UsedW</ipxact:name> + <ipxact:displayName>usedw[] (number of words in the FIFO)</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_AlmostFull" type="bit"> + <ipxact:name>GUI_AlmostFull</ipxact:name> + <ipxact:displayName>almost full</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_AlmostEmpty" type="bit"> + <ipxact:name>GUI_AlmostEmpty</ipxact:name> + <ipxact:displayName>almost empty</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_sc_aclr" type="bit"> + <ipxact:name>GUI_sc_aclr</ipxact:name> + <ipxact:displayName>Asynchronous clear</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_sc_sclr" type="bit"> + <ipxact:name>GUI_sc_sclr</ipxact:name> + <ipxact:displayName>Synchronous clear (flush the FIFO)</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_rsFull" type="bit"> + <ipxact:name>GUI_rsFull</ipxact:name> + <ipxact:displayName>full</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_rsEmpty" type="bit"> + <ipxact:name>GUI_rsEmpty</ipxact:name> + <ipxact:displayName>empty</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_rsUsedW" type="bit"> + <ipxact:name>GUI_rsUsedW</ipxact:name> + <ipxact:displayName>usedw[]</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_wsFull" type="bit"> + <ipxact:name>GUI_wsFull</ipxact:name> + <ipxact:displayName>full</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_wsEmpty" type="bit"> + <ipxact:name>GUI_wsEmpty</ipxact:name> + <ipxact:displayName>empty</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_wsUsedW" type="bit"> + <ipxact:name>GUI_wsUsedW</ipxact:name> + <ipxact:displayName>usedw[]</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_msb_usedw" type="bit"> + <ipxact:name>GUI_msb_usedw</ipxact:name> + <ipxact:displayName>Add an extra MSB to usedw port(s)</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_dc_aclr" type="bit"> + <ipxact:name>GUI_dc_aclr</ipxact:name> + <ipxact:displayName>Asynchronous clear</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_write_aclr_synch" type="bit"> + <ipxact:name>GUI_write_aclr_synch</ipxact:name> + <ipxact:displayName>Add circuit to synchronize 'aclr' input with 'wrclk'</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_read_aclr_synch" type="bit"> + <ipxact:name>GUI_read_aclr_synch</ipxact:name> + <ipxact:displayName>Add circuit to synchronize 'aclr' input with 'rdclk'</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_OVERFLOW_CHECKING" type="bit"> + <ipxact:name>GUI_OVERFLOW_CHECKING</ipxact:name> + <ipxact:displayName>Disable overflow checking. Writing to a full FIFO will corrupt contents.</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_UNDERFLOW_CHECKING" type="bit"> + <ipxact:name>GUI_UNDERFLOW_CHECKING</ipxact:name> + <ipxact:displayName>Disable underflow checking. Reading from an empty FIFO will corrupt contents.</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_LE_BasedFIFO" type="bit"> + <ipxact:name>GUI_LE_BasedFIFO</ipxact:name> + <ipxact:displayName>Implement FIFO storage with logic cells only, even if the device contains memory blocks.</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_MAX_DEPTH_BY_9" type="bit"> + <ipxact:name>GUI_MAX_DEPTH_BY_9</ipxact:name> + <ipxact:displayName>Reduce RAM usage (decreases speed and increases number of Les). Available if data width is divisible by 9.</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_ENABLE_ECC" type="bit"> + <ipxact:name>GUI_ENABLE_ECC</ipxact:name> + <ipxact:displayName>Enable error checking and correcting (ECC)</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_Usedw_width" type="int"> + <ipxact:name>GUI_Usedw_width</ipxact:name> + <ipxact:displayName>GUI_Usedw_width</ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_RdUsedw_width" type="int"> + <ipxact:name>GUI_RdUsedw_width</ipxact:name> + <ipxact:displayName>GUI_RdUsedw_width</ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_WrUsedw_width" type="int"> + <ipxact:name>GUI_WrUsedw_width</ipxact:name> + <ipxact:displayName>GUI_WrUsedw_width</ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_TESTBENCH" type="bit"> + <ipxact:name>GUI_TESTBENCH</ipxact:name> + <ipxact:displayName>TESTBENCH</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_module_parameters> + <altera:altera_system_parameters> + <ipxact:parameters> + <ipxact:parameter parameterId="device" type="string"> + <ipxact:name>device</ipxact:name> + <ipxact:displayName>Device</ipxact:displayName> + <ipxact:value>10AX115U3F45E2SG</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="deviceFamily" type="string"> + <ipxact:name>deviceFamily</ipxact:name> + <ipxact:displayName>Device family</ipxact:displayName> + <ipxact:value>Arria 10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="deviceSpeedGrade" type="string"> + <ipxact:name>deviceSpeedGrade</ipxact:name> + <ipxact:displayName>Device Speed Grade</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="generationId" type="int"> + <ipxact:name>generationId</ipxact:name> + <ipxact:displayName>Generation Id</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="bonusData" type="string"> + <ipxact:name>bonusData</ipxact:name> + <ipxact:displayName>bonusData</ipxact:displayName> + <ipxact:value>bonusData +{ + element fifo_0 + { + datum _sortIndex + { + value = "0"; + type = "int"; + } + } +} +</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hideFromIPCatalog" type="bit"> + <ipxact:name>hideFromIPCatalog</ipxact:name> + <ipxact:displayName>Hide from IP Catalog</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="lockedInterfaceDefinition" type="string"> + <ipxact:name>lockedInterfaceDefinition</ipxact:name> + <ipxact:displayName>lockedInterfaceDefinition</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="systemInfos" type="string"> + <ipxact:name>systemInfos</ipxact:name> + <ipxact:displayName>systemInfos</ipxact:displayName> + <ipxact:value><systemInfosDefinition> + <connPtSystemInfos/> +</systemInfosDefinition></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_system_parameters> + <altera:altera_interface_boundary> + <altera:interface_mapping altera:name="fifo_input" altera:internal="fifo_0.fifo_input" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="aclr" altera:internal="aclr"></altera:port_mapping> + <altera:port_mapping altera:name="data" altera:internal="data"></altera:port_mapping> + <altera:port_mapping altera:name="rdclk" altera:internal="rdclk"></altera:port_mapping> + <altera:port_mapping altera:name="rdreq" altera:internal="rdreq"></altera:port_mapping> + <altera:port_mapping altera:name="wrclk" altera:internal="wrclk"></altera:port_mapping> + <altera:port_mapping altera:name="wrreq" altera:internal="wrreq"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="fifo_output" altera:internal="fifo_0.fifo_output" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="q" altera:internal="q"></altera:port_mapping> + <altera:port_mapping altera:name="rdempty" altera:internal="rdempty"></altera:port_mapping> + <altera:port_mapping altera:name="rdusedw" altera:internal="rdusedw"></altera:port_mapping> + <altera:port_mapping altera:name="wrfull" altera:internal="wrfull"></altera:port_mapping> + <altera:port_mapping altera:name="wrusedw" altera:internal="wrusedw"></altera:port_mapping> + </altera:interface_mapping> + </altera:altera_interface_boundary> + <altera:altera_has_warnings>false</altera:altera_has_warnings> + <altera:altera_has_errors>false</altera:altera_has_errors> + </ipxact:vendorExtensions> +</ipxact:component> \ No newline at end of file diff --git a/libraries/technology/ip_arria10_e2sg/fifo/ip_arria10_e2sg_fifo_dc.qsys b/libraries/technology/ip_arria10_e2sg/fifo/ip_arria10_e2sg_fifo_dc.qsys deleted file mode 100644 index 9fa1571d8f..0000000000 --- a/libraries/technology/ip_arria10_e2sg/fifo/ip_arria10_e2sg_fifo_dc.qsys +++ /dev/null @@ -1,116 +0,0 @@ -<?xml version="1.0" encoding="UTF-8"?> -<system name="ip_arria10_e2sg_fifo_dc"> - <component - name="$${FILENAME}" - displayName="$${FILENAME}" - version="1.0" - description="" - tags="AUTHORSHIP=Intel Corporation" - categories="System" - tool="QsysStandard" /> - <parameter name="bonusData"><![CDATA[bonusData -{ - element $system - { - } - element ip_arria10_fifo_dc - { - datum _sortIndex - { - value = "0"; - type = "int"; - } - } -} -]]></parameter> - <parameter name="device" value="10AX115U3F45E2SG" /> - <parameter name="deviceFamily" value="Arria 10" /> - <parameter name="deviceSpeedGrade" value="2" /> - <parameter name="fabricMode" value="QSYS" /> - <parameter name="generateLegacySim" value="false" /> - <parameter name="generationId" value="0" /> - <parameter name="globalResetBus" value="false" /> - <parameter name="hdlLanguage" value="VERILOG" /> - <parameter name="hideFromIPCatalog" value="false" /> - <parameter name="lockedInterfaceDefinition" value="" /> - <parameter name="sopcBorderPoints" value="false" /> - <parameter name="systemHash" value="0" /> - <parameter name="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos/> -</systemInfosDefinition>]]></parameter> - <parameter name="systemScripts" value="" /> - <parameter name="testBenchDutName" value="" /> - <parameter name="timeStamp" value="0" /> - <parameter name="useTestBenchNamingPattern" value="false" /> - <instanceScript></instanceScript> - <interface - name="fifo_input" - internal="ip_arria10_fifo_dc.fifo_input" - type="conduit" - dir="end"> - <port name="aclr" internal="aclr" /> - <port name="data" internal="data" /> - <port name="rdclk" internal="rdclk" /> - <port name="rdreq" internal="rdreq" /> - <port name="wrclk" internal="wrclk" /> - <port name="wrreq" internal="wrreq" /> - </interface> - <interface - name="fifo_output" - internal="ip_arria10_fifo_dc.fifo_output" - type="conduit" - dir="end"> - <port name="q" internal="q" /> - <port name="rdempty" internal="rdempty" /> - <port name="rdusedw" internal="rdusedw" /> - <port name="wrfull" internal="wrfull" /> - <port name="wrusedw" internal="wrusedw" /> - </interface> - <module - name="ip_arria10_fifo_dc" - kind="fifo" - version="19.1" - enabled="1" - autoexport="1"> - <parameter name="DEVICE_FAMILY" value="Arria 10" /> - <parameter name="GUI_AlmostEmpty" value="false" /> - <parameter name="GUI_AlmostEmptyThr" value="-1" /> - <parameter name="GUI_AlmostFull" value="false" /> - <parameter name="GUI_AlmostFullThr" value="-1" /> - <parameter name="GUI_CLOCKS_ARE_SYNCHRONIZED" value="0" /> - <parameter name="GUI_Clock" value="4" /> - <parameter name="GUI_DISABLE_DCFIFO_EMBEDDED_TIMING_CONSTRAINT" value="true" /> - <parameter name="GUI_Depth" value="256" /> - <parameter name="GUI_ENABLE_ECC" value="false" /> - <parameter name="GUI_Empty" value="true" /> - <parameter name="GUI_Full" value="true" /> - <parameter name="GUI_LE_BasedFIFO" value="false" /> - <parameter name="GUI_LegacyRREQ" value="1" /> - <parameter name="GUI_MAX_DEPTH" value="Auto" /> - <parameter name="GUI_MAX_DEPTH_BY_9" value="false" /> - <parameter name="GUI_OVERFLOW_CHECKING" value="false" /> - <parameter name="GUI_Optimize" value="1" /> - <parameter name="GUI_Optimize_max" value="1" /> - <parameter name="GUI_RAM_BLOCK_TYPE" value="Auto" /> - <parameter name="GUI_TESTBENCH" value="false" /> - <parameter name="GUI_UNDERFLOW_CHECKING" value="false" /> - <parameter name="GUI_UsedW" value="true" /> - <parameter name="GUI_Width" value="8" /> - <parameter name="GUI_dc_aclr" value="true" /> - <parameter name="GUI_delaypipe" value="5" /> - <parameter name="GUI_diff_widths" value="false" /> - <parameter name="GUI_msb_usedw" value="false" /> - <parameter name="GUI_output_width" value="8" /> - <parameter name="GUI_read_aclr_synch" value="false" /> - <parameter name="GUI_rsEmpty" value="true" /> - <parameter name="GUI_rsFull" value="false" /> - <parameter name="GUI_rsUsedW" value="true" /> - <parameter name="GUI_sc_aclr" value="false" /> - <parameter name="GUI_sc_sclr" value="false" /> - <parameter name="GUI_synStage" value="3" /> - <parameter name="GUI_write_aclr_synch" value="true" /> - <parameter name="GUI_wsEmpty" value="false" /> - <parameter name="GUI_wsFull" value="true" /> - <parameter name="GUI_wsUsedW" value="true" /> - </module> -</system> diff --git a/libraries/technology/ip_arria10_e2sg/fifo/ip_arria10_e2sg_fifo_dc_mixed_widths.ip b/libraries/technology/ip_arria10_e2sg/fifo/ip_arria10_e2sg_fifo_dc_mixed_widths.ip new file mode 100644 index 0000000000..d4adc3deed --- /dev/null +++ b/libraries/technology/ip_arria10_e2sg/fifo/ip_arria10_e2sg_fifo_dc_mixed_widths.ip @@ -0,0 +1,654 @@ +<?xml version="1.0" ?> +<ipxact:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact2014/extensions" xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"> + <ipxact:vendor>Intel Corporation</ipxact:vendor> + <ipxact:library>ip_arria10_e2sg_fifo_dc_mixed_widths</ipxact:library> + <ipxact:name>fifo_0</ipxact:name> + <ipxact:version>19.1</ipxact:version> + <ipxact:busInterfaces> + <ipxact:busInterface> + <ipxact:name>fifo_input</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>datain</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>data</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>wrreq</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>wrreq</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rdreq</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rdreq</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>wrclk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>wrclk</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rdclk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rdclk</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>aclr</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>aclr</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>fifo_output</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>dataout</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>q</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rdusedw</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rdusedw</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>wrusedw</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>wrusedw</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rdempty</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rdempty</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>wrfull</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>wrfull</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + </ipxact:busInterfaces> + <ipxact:model> + <ipxact:views> + <ipxact:view> + <ipxact:name>QUARTUS_SYNTH</ipxact:name> + <ipxact:envIdentifier>:quartus.altera.com:</ipxact:envIdentifier> + <ipxact:componentInstantiationRef>QUARTUS_SYNTH</ipxact:componentInstantiationRef> + </ipxact:view> + </ipxact:views> + <ipxact:instantiations> + <ipxact:componentInstantiation> + <ipxact:name>QUARTUS_SYNTH</ipxact:name> + <ipxact:moduleName>fifo</ipxact:moduleName> + <ipxact:fileSetRef> + <ipxact:localName>QUARTUS_SYNTH</ipxact:localName> + </ipxact:fileSetRef> + </ipxact:componentInstantiation> + </ipxact:instantiations> + <ipxact:ports> + <ipxact:port> + <ipxact:name>data</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>7</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>wrreq</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rdreq</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>wrclk</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rdclk</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>aclr</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>q</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>15</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rdusedw</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>6</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>wrusedw</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>7</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rdempty</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>wrfull</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + </ipxact:ports> + </ipxact:model> + <ipxact:vendorExtensions> + <altera:entity_info> + <ipxact:vendor>Intel Corporation</ipxact:vendor> + <ipxact:library>ip_arria10_e2sg_fifo_dc_mixed_widths</ipxact:library> + <ipxact:name>fifo</ipxact:name> + <ipxact:version>19.1</ipxact:version> + </altera:entity_info> + <altera:altera_module_parameters> + <ipxact:parameters> + <ipxact:parameter parameterId="DEVICE_FAMILY" type="string"> + <ipxact:name>DEVICE_FAMILY</ipxact:name> + <ipxact:displayName>Device Family</ipxact:displayName> + <ipxact:value>Arria 10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_Clock" type="int"> + <ipxact:name>GUI_Clock</ipxact:name> + <ipxact:displayName>Do you want a common clock for reading and writing the FIFO?</ipxact:displayName> + <ipxact:value>4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_CLOCKS_ARE_SYNCHRONIZED" type="int"> + <ipxact:name>GUI_CLOCKS_ARE_SYNCHRONIZED</ipxact:name> + <ipxact:displayName>Are the FIFO clocks synchronized?</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_delaypipe" type="int"> + <ipxact:name>GUI_delaypipe</ipxact:name> + <ipxact:displayName>Which type of optimization do you want?</ipxact:displayName> + <ipxact:value>5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_synStage" type="int"> + <ipxact:name>GUI_synStage</ipxact:name> + <ipxact:displayName>How many sync stages?</ipxact:displayName> + <ipxact:value>3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_LegacyRREQ" type="int"> + <ipxact:name>GUI_LegacyRREQ</ipxact:name> + <ipxact:displayName>Which kind of read access do you want with the 'rdreq' signal?</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_RAM_BLOCK_TYPE" type="string"> + <ipxact:name>GUI_RAM_BLOCK_TYPE</ipxact:name> + <ipxact:displayName>What should the memory block type be?</ipxact:displayName> + <ipxact:value>Auto</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_Optimize" type="int"> + <ipxact:name>GUI_Optimize</ipxact:name> + <ipxact:displayName>Would you like to register the output to maximize performance but use more area?</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_Optimize_max" type="int"> + <ipxact:name>GUI_Optimize_max</ipxact:name> + <ipxact:displayName>Which type of optimization do you want?</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_Width" type="int"> + <ipxact:name>GUI_Width</ipxact:name> + <ipxact:displayName>How wide should the FIFO be?</ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_Depth" type="int"> + <ipxact:name>GUI_Depth</ipxact:name> + <ipxact:displayName>How deep should the FIFO be?</ipxact:displayName> + <ipxact:value>256</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_output_width" type="int"> + <ipxact:name>GUI_output_width</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>16</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_AlmostFullThr" type="int"> + <ipxact:name>GUI_AlmostFullThr</ipxact:name> + <ipxact:displayName>becomes true when usedw[] is greater than or equal to</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_AlmostEmptyThr" type="int"> + <ipxact:name>GUI_AlmostEmptyThr</ipxact:name> + <ipxact:displayName>becomes true when usedw[] is less than</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_MAX_DEPTH" type="string"> + <ipxact:name>GUI_MAX_DEPTH</ipxact:name> + <ipxact:displayName>Set the maximum block depth to</ipxact:displayName> + <ipxact:value>Auto</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_DISABLE_DCFIFO_EMBEDDED_TIMING_CONSTRAINT" type="bit"> + <ipxact:name>GUI_DISABLE_DCFIFO_EMBEDDED_TIMING_CONSTRAINT</ipxact:name> + <ipxact:displayName>Generate SDC file and disable embedded timing constraint</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_diff_widths" type="bit"> + <ipxact:name>GUI_diff_widths</ipxact:name> + <ipxact:displayName>Use a different output width and set to</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_Full" type="bit"> + <ipxact:name>GUI_Full</ipxact:name> + <ipxact:displayName>full</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_Empty" type="bit"> + <ipxact:name>GUI_Empty</ipxact:name> + <ipxact:displayName>empty</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_UsedW" type="bit"> + <ipxact:name>GUI_UsedW</ipxact:name> + <ipxact:displayName>usedw[] (number of words in the FIFO)</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_AlmostFull" type="bit"> + <ipxact:name>GUI_AlmostFull</ipxact:name> + <ipxact:displayName>almost full</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_AlmostEmpty" type="bit"> + <ipxact:name>GUI_AlmostEmpty</ipxact:name> + <ipxact:displayName>almost empty</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_sc_aclr" type="bit"> + <ipxact:name>GUI_sc_aclr</ipxact:name> + <ipxact:displayName>Asynchronous clear</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_sc_sclr" type="bit"> + <ipxact:name>GUI_sc_sclr</ipxact:name> + <ipxact:displayName>Synchronous clear (flush the FIFO)</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_rsFull" type="bit"> + <ipxact:name>GUI_rsFull</ipxact:name> + <ipxact:displayName>full</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_rsEmpty" type="bit"> + <ipxact:name>GUI_rsEmpty</ipxact:name> + <ipxact:displayName>empty</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_rsUsedW" type="bit"> + <ipxact:name>GUI_rsUsedW</ipxact:name> + <ipxact:displayName>usedw[]</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_wsFull" type="bit"> + <ipxact:name>GUI_wsFull</ipxact:name> + <ipxact:displayName>full</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_wsEmpty" type="bit"> + <ipxact:name>GUI_wsEmpty</ipxact:name> + <ipxact:displayName>empty</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_wsUsedW" type="bit"> + <ipxact:name>GUI_wsUsedW</ipxact:name> + <ipxact:displayName>usedw[]</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_msb_usedw" type="bit"> + <ipxact:name>GUI_msb_usedw</ipxact:name> + <ipxact:displayName>Add an extra MSB to usedw port(s)</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_dc_aclr" type="bit"> + <ipxact:name>GUI_dc_aclr</ipxact:name> + <ipxact:displayName>Asynchronous clear</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_write_aclr_synch" type="bit"> + <ipxact:name>GUI_write_aclr_synch</ipxact:name> + <ipxact:displayName>Add circuit to synchronize 'aclr' input with 'wrclk'</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_read_aclr_synch" type="bit"> + <ipxact:name>GUI_read_aclr_synch</ipxact:name> + <ipxact:displayName>Add circuit to synchronize 'aclr' input with 'rdclk'</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_OVERFLOW_CHECKING" type="bit"> + <ipxact:name>GUI_OVERFLOW_CHECKING</ipxact:name> + <ipxact:displayName>Disable overflow checking. Writing to a full FIFO will corrupt contents.</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_UNDERFLOW_CHECKING" type="bit"> + <ipxact:name>GUI_UNDERFLOW_CHECKING</ipxact:name> + <ipxact:displayName>Disable underflow checking. Reading from an empty FIFO will corrupt contents.</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_LE_BasedFIFO" type="bit"> + <ipxact:name>GUI_LE_BasedFIFO</ipxact:name> + <ipxact:displayName>Implement FIFO storage with logic cells only, even if the device contains memory blocks.</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_MAX_DEPTH_BY_9" type="bit"> + <ipxact:name>GUI_MAX_DEPTH_BY_9</ipxact:name> + <ipxact:displayName>Reduce RAM usage (decreases speed and increases number of Les). Available if data width is divisible by 9.</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_ENABLE_ECC" type="bit"> + <ipxact:name>GUI_ENABLE_ECC</ipxact:name> + <ipxact:displayName>Enable error checking and correcting (ECC)</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_Usedw_width" type="int"> + <ipxact:name>GUI_Usedw_width</ipxact:name> + <ipxact:displayName>GUI_Usedw_width</ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_RdUsedw_width" type="int"> + <ipxact:name>GUI_RdUsedw_width</ipxact:name> + <ipxact:displayName>GUI_RdUsedw_width</ipxact:displayName> + <ipxact:value>7</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_WrUsedw_width" type="int"> + <ipxact:name>GUI_WrUsedw_width</ipxact:name> + <ipxact:displayName>GUI_WrUsedw_width</ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_TESTBENCH" type="bit"> + <ipxact:name>GUI_TESTBENCH</ipxact:name> + <ipxact:displayName>TESTBENCH</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_module_parameters> + <altera:altera_system_parameters> + <ipxact:parameters> + <ipxact:parameter parameterId="device" type="string"> + <ipxact:name>device</ipxact:name> + <ipxact:displayName>Device</ipxact:displayName> + <ipxact:value>10AX115U3F45E2SG</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="deviceFamily" type="string"> + <ipxact:name>deviceFamily</ipxact:name> + <ipxact:displayName>Device family</ipxact:displayName> + <ipxact:value>Arria 10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="deviceSpeedGrade" type="string"> + <ipxact:name>deviceSpeedGrade</ipxact:name> + <ipxact:displayName>Device Speed Grade</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="generationId" type="int"> + <ipxact:name>generationId</ipxact:name> + <ipxact:displayName>Generation Id</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="bonusData" type="string"> + <ipxact:name>bonusData</ipxact:name> + <ipxact:displayName>bonusData</ipxact:displayName> + <ipxact:value>bonusData +{ + element fifo_0 + { + datum _sortIndex + { + value = "0"; + type = "int"; + } + } +} +</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hideFromIPCatalog" type="bit"> + <ipxact:name>hideFromIPCatalog</ipxact:name> + <ipxact:displayName>Hide from IP Catalog</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="lockedInterfaceDefinition" type="string"> + <ipxact:name>lockedInterfaceDefinition</ipxact:name> + <ipxact:displayName>lockedInterfaceDefinition</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="systemInfos" type="string"> + <ipxact:name>systemInfos</ipxact:name> + <ipxact:displayName>systemInfos</ipxact:displayName> + <ipxact:value><systemInfosDefinition> + <connPtSystemInfos/> +</systemInfosDefinition></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_system_parameters> + <altera:altera_interface_boundary> + <altera:interface_mapping altera:name="fifo_input" altera:internal="fifo_0.fifo_input" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="aclr" altera:internal="aclr"></altera:port_mapping> + <altera:port_mapping altera:name="data" altera:internal="data"></altera:port_mapping> + <altera:port_mapping altera:name="rdclk" altera:internal="rdclk"></altera:port_mapping> + <altera:port_mapping altera:name="rdreq" altera:internal="rdreq"></altera:port_mapping> + <altera:port_mapping altera:name="wrclk" altera:internal="wrclk"></altera:port_mapping> + <altera:port_mapping altera:name="wrreq" altera:internal="wrreq"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="fifo_output" altera:internal="fifo_0.fifo_output" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="q" altera:internal="q"></altera:port_mapping> + <altera:port_mapping altera:name="rdempty" altera:internal="rdempty"></altera:port_mapping> + <altera:port_mapping altera:name="rdusedw" altera:internal="rdusedw"></altera:port_mapping> + <altera:port_mapping altera:name="wrfull" altera:internal="wrfull"></altera:port_mapping> + <altera:port_mapping altera:name="wrusedw" altera:internal="wrusedw"></altera:port_mapping> + </altera:interface_mapping> + </altera:altera_interface_boundary> + <altera:altera_has_warnings>false</altera:altera_has_warnings> + <altera:altera_has_errors>false</altera:altera_has_errors> + </ipxact:vendorExtensions> +</ipxact:component> \ No newline at end of file diff --git a/libraries/technology/ip_arria10_e2sg/fifo/ip_arria10_e2sg_fifo_dc_mixed_widths.qsys b/libraries/technology/ip_arria10_e2sg/fifo/ip_arria10_e2sg_fifo_dc_mixed_widths.qsys deleted file mode 100644 index fb54d0ccd5..0000000000 --- a/libraries/technology/ip_arria10_e2sg/fifo/ip_arria10_e2sg_fifo_dc_mixed_widths.qsys +++ /dev/null @@ -1,116 +0,0 @@ -<?xml version="1.0" encoding="UTF-8"?> -<system name="ip_arria10_e2sg_fifo_dc_mixed_widths"> - <component - name="$${FILENAME}" - displayName="$${FILENAME}" - version="1.0" - description="" - tags="AUTHORSHIP=Intel Corporation" - categories="System" - tool="QsysStandard" /> - <parameter name="bonusData"><![CDATA[bonusData -{ - element $system - { - } - element ip_arria10_fifo_dc_mixed_widths - { - datum _sortIndex - { - value = "0"; - type = "int"; - } - } -} -]]></parameter> - <parameter name="device" value="10AX115U3F45E2SG" /> - <parameter name="deviceFamily" value="Arria 10" /> - <parameter name="deviceSpeedGrade" value="2" /> - <parameter name="fabricMode" value="QSYS" /> - <parameter name="generateLegacySim" value="false" /> - <parameter name="generationId" value="0" /> - <parameter name="globalResetBus" value="false" /> - <parameter name="hdlLanguage" value="VERILOG" /> - <parameter name="hideFromIPCatalog" value="false" /> - <parameter name="lockedInterfaceDefinition" value="" /> - <parameter name="sopcBorderPoints" value="false" /> - <parameter name="systemHash" value="0" /> - <parameter name="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos/> -</systemInfosDefinition>]]></parameter> - <parameter name="systemScripts" value="" /> - <parameter name="testBenchDutName" value="" /> - <parameter name="timeStamp" value="0" /> - <parameter name="useTestBenchNamingPattern" value="false" /> - <instanceScript></instanceScript> - <interface - name="fifo_input" - internal="ip_arria10_fifo_dc_mixed_widths.fifo_input" - type="conduit" - dir="end"> - <port name="aclr" internal="aclr" /> - <port name="data" internal="data" /> - <port name="rdclk" internal="rdclk" /> - <port name="rdreq" internal="rdreq" /> - <port name="wrclk" internal="wrclk" /> - <port name="wrreq" internal="wrreq" /> - </interface> - <interface - name="fifo_output" - internal="ip_arria10_fifo_dc_mixed_widths.fifo_output" - type="conduit" - dir="end"> - <port name="q" internal="q" /> - <port name="rdempty" internal="rdempty" /> - <port name="rdusedw" internal="rdusedw" /> - <port name="wrfull" internal="wrfull" /> - <port name="wrusedw" internal="wrusedw" /> - </interface> - <module - name="ip_arria10_fifo_dc_mixed_widths" - kind="fifo" - version="19.1" - enabled="1" - autoexport="1"> - <parameter name="DEVICE_FAMILY" value="Arria 10" /> - <parameter name="GUI_AlmostEmpty" value="false" /> - <parameter name="GUI_AlmostEmptyThr" value="-1" /> - <parameter name="GUI_AlmostFull" value="false" /> - <parameter name="GUI_AlmostFullThr" value="-1" /> - <parameter name="GUI_CLOCKS_ARE_SYNCHRONIZED" value="0" /> - <parameter name="GUI_Clock" value="4" /> - <parameter name="GUI_DISABLE_DCFIFO_EMBEDDED_TIMING_CONSTRAINT" value="true" /> - <parameter name="GUI_Depth" value="256" /> - <parameter name="GUI_ENABLE_ECC" value="false" /> - <parameter name="GUI_Empty" value="true" /> - <parameter name="GUI_Full" value="true" /> - <parameter name="GUI_LE_BasedFIFO" value="false" /> - <parameter name="GUI_LegacyRREQ" value="1" /> - <parameter name="GUI_MAX_DEPTH" value="Auto" /> - <parameter name="GUI_MAX_DEPTH_BY_9" value="false" /> - <parameter name="GUI_OVERFLOW_CHECKING" value="false" /> - <parameter name="GUI_Optimize" value="1" /> - <parameter name="GUI_Optimize_max" value="1" /> - <parameter name="GUI_RAM_BLOCK_TYPE" value="Auto" /> - <parameter name="GUI_TESTBENCH" value="false" /> - <parameter name="GUI_UNDERFLOW_CHECKING" value="false" /> - <parameter name="GUI_UsedW" value="true" /> - <parameter name="GUI_Width" value="8" /> - <parameter name="GUI_dc_aclr" value="true" /> - <parameter name="GUI_delaypipe" value="5" /> - <parameter name="GUI_diff_widths" value="true" /> - <parameter name="GUI_msb_usedw" value="false" /> - <parameter name="GUI_output_width" value="16" /> - <parameter name="GUI_read_aclr_synch" value="false" /> - <parameter name="GUI_rsEmpty" value="true" /> - <parameter name="GUI_rsFull" value="false" /> - <parameter name="GUI_rsUsedW" value="true" /> - <parameter name="GUI_sc_aclr" value="false" /> - <parameter name="GUI_sc_sclr" value="false" /> - <parameter name="GUI_synStage" value="3" /> - <parameter name="GUI_write_aclr_synch" value="true" /> - <parameter name="GUI_wsEmpty" value="false" /> - <parameter name="GUI_wsFull" value="true" /> - <parameter name="GUI_wsUsedW" value="true" /> - </module> -</system> diff --git a/libraries/technology/ip_arria10_e2sg/fifo/ip_arria10_e2sg_fifo_sc.ip b/libraries/technology/ip_arria10_e2sg/fifo/ip_arria10_e2sg_fifo_sc.ip new file mode 100644 index 0000000000..69a8217dbf --- /dev/null +++ b/libraries/technology/ip_arria10_e2sg/fifo/ip_arria10_e2sg_fifo_sc.ip @@ -0,0 +1,606 @@ +<?xml version="1.0" ?> +<ipxact:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact2014/extensions" xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"> + <ipxact:vendor>Intel Corporation</ipxact:vendor> + <ipxact:library>ip_arria10_e2sg_fifo_sc</ipxact:library> + <ipxact:name>fifo_0</ipxact:name> + <ipxact:version>19.1</ipxact:version> + <ipxact:busInterfaces> + <ipxact:busInterface> + <ipxact:name>fifo_input</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>datain</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>data</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>wrreq</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>wrreq</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rdreq</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rdreq</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>clock</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>aclr</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>aclr</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>fifo_output</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>dataout</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>q</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>usedw</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>usedw</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>full</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>full</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>empty</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>empty</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + </ipxact:busInterfaces> + <ipxact:model> + <ipxact:views> + <ipxact:view> + <ipxact:name>QUARTUS_SYNTH</ipxact:name> + <ipxact:envIdentifier>:quartus.altera.com:</ipxact:envIdentifier> + <ipxact:componentInstantiationRef>QUARTUS_SYNTH</ipxact:componentInstantiationRef> + </ipxact:view> + </ipxact:views> + <ipxact:instantiations> + <ipxact:componentInstantiation> + <ipxact:name>QUARTUS_SYNTH</ipxact:name> + <ipxact:moduleName>fifo</ipxact:moduleName> + <ipxact:fileSetRef> + <ipxact:localName>QUARTUS_SYNTH</ipxact:localName> + </ipxact:fileSetRef> + </ipxact:componentInstantiation> + </ipxact:instantiations> + <ipxact:ports> + <ipxact:port> + <ipxact:name>data</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>7</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>wrreq</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rdreq</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>clock</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>aclr</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>q</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>7</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>usedw</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>7</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>full</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>empty</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + </ipxact:ports> + </ipxact:model> + <ipxact:vendorExtensions> + <altera:entity_info> + <ipxact:vendor>Intel Corporation</ipxact:vendor> + <ipxact:library>ip_arria10_e2sg_fifo_sc</ipxact:library> + <ipxact:name>fifo</ipxact:name> + <ipxact:version>19.1</ipxact:version> + </altera:entity_info> + <altera:altera_module_parameters> + <ipxact:parameters> + <ipxact:parameter parameterId="DEVICE_FAMILY" type="string"> + <ipxact:name>DEVICE_FAMILY</ipxact:name> + <ipxact:displayName>Device Family</ipxact:displayName> + <ipxact:value>Arria 10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_Clock" type="int"> + <ipxact:name>GUI_Clock</ipxact:name> + <ipxact:displayName>Do you want a common clock for reading and writing the FIFO?</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_CLOCKS_ARE_SYNCHRONIZED" type="int"> + <ipxact:name>GUI_CLOCKS_ARE_SYNCHRONIZED</ipxact:name> + <ipxact:displayName>Are the FIFO clocks synchronized?</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_delaypipe" type="int"> + <ipxact:name>GUI_delaypipe</ipxact:name> + <ipxact:displayName>Which type of optimization do you want?</ipxact:displayName> + <ipxact:value>4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_synStage" type="int"> + <ipxact:name>GUI_synStage</ipxact:name> + <ipxact:displayName>How many sync stages?</ipxact:displayName> + <ipxact:value>3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_LegacyRREQ" type="int"> + <ipxact:name>GUI_LegacyRREQ</ipxact:name> + <ipxact:displayName>Which kind of read access do you want with the 'rdreq' signal?</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_RAM_BLOCK_TYPE" type="string"> + <ipxact:name>GUI_RAM_BLOCK_TYPE</ipxact:name> + <ipxact:displayName>What should the memory block type be?</ipxact:displayName> + <ipxact:value>Auto</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_Optimize" type="int"> + <ipxact:name>GUI_Optimize</ipxact:name> + <ipxact:displayName>Would you like to register the output to maximize performance but use more area?</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_Optimize_max" type="int"> + <ipxact:name>GUI_Optimize_max</ipxact:name> + <ipxact:displayName>Which type of optimization do you want?</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_Width" type="int"> + <ipxact:name>GUI_Width</ipxact:name> + <ipxact:displayName>How wide should the FIFO be?</ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_Depth" type="int"> + <ipxact:name>GUI_Depth</ipxact:name> + <ipxact:displayName>How deep should the FIFO be?</ipxact:displayName> + <ipxact:value>256</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_output_width" type="int"> + <ipxact:name>GUI_output_width</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_AlmostFullThr" type="int"> + <ipxact:name>GUI_AlmostFullThr</ipxact:name> + <ipxact:displayName>becomes true when usedw[] is greater than or equal to</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_AlmostEmptyThr" type="int"> + <ipxact:name>GUI_AlmostEmptyThr</ipxact:name> + <ipxact:displayName>becomes true when usedw[] is less than</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_MAX_DEPTH" type="string"> + <ipxact:name>GUI_MAX_DEPTH</ipxact:name> + <ipxact:displayName>Set the maximum block depth to</ipxact:displayName> + <ipxact:value>Auto</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_DISABLE_DCFIFO_EMBEDDED_TIMING_CONSTRAINT" type="bit"> + <ipxact:name>GUI_DISABLE_DCFIFO_EMBEDDED_TIMING_CONSTRAINT</ipxact:name> + <ipxact:displayName>Generate SDC file and disable embedded timing constraint</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_diff_widths" type="bit"> + <ipxact:name>GUI_diff_widths</ipxact:name> + <ipxact:displayName>Use a different output width and set to</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_Full" type="bit"> + <ipxact:name>GUI_Full</ipxact:name> + <ipxact:displayName>full</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_Empty" type="bit"> + <ipxact:name>GUI_Empty</ipxact:name> + <ipxact:displayName>empty</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_UsedW" type="bit"> + <ipxact:name>GUI_UsedW</ipxact:name> + <ipxact:displayName>usedw[] (number of words in the FIFO)</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_AlmostFull" type="bit"> + <ipxact:name>GUI_AlmostFull</ipxact:name> + <ipxact:displayName>almost full</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_AlmostEmpty" type="bit"> + <ipxact:name>GUI_AlmostEmpty</ipxact:name> + <ipxact:displayName>almost empty</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_sc_aclr" type="bit"> + <ipxact:name>GUI_sc_aclr</ipxact:name> + <ipxact:displayName>Asynchronous clear</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_sc_sclr" type="bit"> + <ipxact:name>GUI_sc_sclr</ipxact:name> + <ipxact:displayName>Synchronous clear (flush the FIFO)</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_rsFull" type="bit"> + <ipxact:name>GUI_rsFull</ipxact:name> + <ipxact:displayName>full</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_rsEmpty" type="bit"> + <ipxact:name>GUI_rsEmpty</ipxact:name> + <ipxact:displayName>empty</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_rsUsedW" type="bit"> + <ipxact:name>GUI_rsUsedW</ipxact:name> + <ipxact:displayName>usedw[]</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_wsFull" type="bit"> + <ipxact:name>GUI_wsFull</ipxact:name> + <ipxact:displayName>full</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_wsEmpty" type="bit"> + <ipxact:name>GUI_wsEmpty</ipxact:name> + <ipxact:displayName>empty</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_wsUsedW" type="bit"> + <ipxact:name>GUI_wsUsedW</ipxact:name> + <ipxact:displayName>usedw[]</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_msb_usedw" type="bit"> + <ipxact:name>GUI_msb_usedw</ipxact:name> + <ipxact:displayName>Add an extra MSB to usedw port(s)</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_dc_aclr" type="bit"> + <ipxact:name>GUI_dc_aclr</ipxact:name> + <ipxact:displayName>Asynchronous clear</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_write_aclr_synch" type="bit"> + <ipxact:name>GUI_write_aclr_synch</ipxact:name> + <ipxact:displayName>Add circuit to synchronize 'aclr' input with 'wrclk'</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_read_aclr_synch" type="bit"> + <ipxact:name>GUI_read_aclr_synch</ipxact:name> + <ipxact:displayName>Add circuit to synchronize 'aclr' input with 'rdclk'</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_OVERFLOW_CHECKING" type="bit"> + <ipxact:name>GUI_OVERFLOW_CHECKING</ipxact:name> + <ipxact:displayName>Disable overflow checking. Writing to a full FIFO will corrupt contents.</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_UNDERFLOW_CHECKING" type="bit"> + <ipxact:name>GUI_UNDERFLOW_CHECKING</ipxact:name> + <ipxact:displayName>Disable underflow checking. Reading from an empty FIFO will corrupt contents.</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_LE_BasedFIFO" type="bit"> + <ipxact:name>GUI_LE_BasedFIFO</ipxact:name> + <ipxact:displayName>Implement FIFO storage with logic cells only, even if the device contains memory blocks.</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_MAX_DEPTH_BY_9" type="bit"> + <ipxact:name>GUI_MAX_DEPTH_BY_9</ipxact:name> + <ipxact:displayName>Reduce RAM usage (decreases speed and increases number of Les). Available if data width is divisible by 9.</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_ENABLE_ECC" type="bit"> + <ipxact:name>GUI_ENABLE_ECC</ipxact:name> + <ipxact:displayName>Enable error checking and correcting (ECC)</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_Usedw_width" type="int"> + <ipxact:name>GUI_Usedw_width</ipxact:name> + <ipxact:displayName>GUI_Usedw_width</ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_RdUsedw_width" type="int"> + <ipxact:name>GUI_RdUsedw_width</ipxact:name> + <ipxact:displayName>GUI_RdUsedw_width</ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_WrUsedw_width" type="int"> + <ipxact:name>GUI_WrUsedw_width</ipxact:name> + <ipxact:displayName>GUI_WrUsedw_width</ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_TESTBENCH" type="bit"> + <ipxact:name>GUI_TESTBENCH</ipxact:name> + <ipxact:displayName>TESTBENCH</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_module_parameters> + <altera:altera_system_parameters> + <ipxact:parameters> + <ipxact:parameter parameterId="device" type="string"> + <ipxact:name>device</ipxact:name> + <ipxact:displayName>Device</ipxact:displayName> + <ipxact:value>10AX115U3F45E2SG</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="deviceFamily" type="string"> + <ipxact:name>deviceFamily</ipxact:name> + <ipxact:displayName>Device family</ipxact:displayName> + <ipxact:value>Arria 10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="deviceSpeedGrade" type="string"> + <ipxact:name>deviceSpeedGrade</ipxact:name> + <ipxact:displayName>Device Speed Grade</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="generationId" type="int"> + <ipxact:name>generationId</ipxact:name> + <ipxact:displayName>Generation Id</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="bonusData" type="string"> + <ipxact:name>bonusData</ipxact:name> + <ipxact:displayName>bonusData</ipxact:displayName> + <ipxact:value>bonusData +{ + element fifo_0 + { + datum _sortIndex + { + value = "0"; + type = "int"; + } + } +} +</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hideFromIPCatalog" type="bit"> + <ipxact:name>hideFromIPCatalog</ipxact:name> + <ipxact:displayName>Hide from IP Catalog</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="lockedInterfaceDefinition" type="string"> + <ipxact:name>lockedInterfaceDefinition</ipxact:name> + <ipxact:displayName>lockedInterfaceDefinition</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="systemInfos" type="string"> + <ipxact:name>systemInfos</ipxact:name> + <ipxact:displayName>systemInfos</ipxact:displayName> + <ipxact:value><systemInfosDefinition> + <connPtSystemInfos/> +</systemInfosDefinition></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_system_parameters> + <altera:altera_interface_boundary> + <altera:interface_mapping altera:name="fifo_input" altera:internal="fifo_0.fifo_input" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="aclr" altera:internal="aclr"></altera:port_mapping> + <altera:port_mapping altera:name="clock" altera:internal="clock"></altera:port_mapping> + <altera:port_mapping altera:name="data" altera:internal="data"></altera:port_mapping> + <altera:port_mapping altera:name="rdreq" altera:internal="rdreq"></altera:port_mapping> + <altera:port_mapping altera:name="wrreq" altera:internal="wrreq"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="fifo_output" altera:internal="fifo_0.fifo_output" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="empty" altera:internal="empty"></altera:port_mapping> + <altera:port_mapping altera:name="full" altera:internal="full"></altera:port_mapping> + <altera:port_mapping altera:name="q" altera:internal="q"></altera:port_mapping> + <altera:port_mapping altera:name="usedw" altera:internal="usedw"></altera:port_mapping> + </altera:interface_mapping> + </altera:altera_interface_boundary> + <altera:altera_has_warnings>false</altera:altera_has_warnings> + <altera:altera_has_errors>false</altera:altera_has_errors> + </ipxact:vendorExtensions> +</ipxact:component> \ No newline at end of file diff --git a/libraries/technology/ip_arria10_e2sg/fifo/ip_arria10_e2sg_fifo_sc.qsys b/libraries/technology/ip_arria10_e2sg/fifo/ip_arria10_e2sg_fifo_sc.qsys deleted file mode 100644 index a59de97d75..0000000000 --- a/libraries/technology/ip_arria10_e2sg/fifo/ip_arria10_e2sg_fifo_sc.qsys +++ /dev/null @@ -1,111 +0,0 @@ -<?xml version="1.0" encoding="UTF-8"?> -<system name="ip_arria10_e2sg_fifo_sc"> - <component - name="$${FILENAME}" - displayName="$${FILENAME}" - version="1.0" - description="" - tags="AUTHORSHIP=Intel Corporation" - categories="System" - tool="QsysPro" /> - <parameter name="bonusData"><![CDATA[bonusData -{ - element ip_arria10_fifo_sc - { - datum _sortIndex - { - value = "0"; - type = "int"; - } - } -} -]]></parameter> - <parameter name="device" value="10AX115U3F45E2SG" /> - <parameter name="deviceFamily" value="Arria 10" /> - <parameter name="deviceSpeedGrade" value="2" /> - <parameter name="fabricMode" value="QSYS" /> - <parameter name="generateLegacySim" value="false" /> - <parameter name="generationId" value="0" /> - <parameter name="globalResetBus" value="false" /> - <parameter name="hdlLanguage" value="VERILOG" /> - <parameter name="hideFromIPCatalog" value="false" /> - <parameter name="lockedInterfaceDefinition" value="" /> - <parameter name="sopcBorderPoints" value="false" /> - <parameter name="systemHash" value="0" /> - <parameter name="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos/> -</systemInfosDefinition>]]></parameter> - <parameter name="systemScripts" value="" /> - <parameter name="testBenchDutName" value="" /> - <parameter name="timeStamp" value="0" /> - <parameter name="useTestBenchNamingPattern" value="false" /> - <instanceScript></instanceScript> - <interface - name="fifo_input" - internal="ip_arria10_fifo_sc.fifo_input" - type="conduit" - dir="end"> - <port name="aclr" internal="aclr" /> - <port name="clock" internal="clock" /> - <port name="data" internal="data" /> - <port name="rdreq" internal="rdreq" /> - <port name="wrreq" internal="wrreq" /> - </interface> - <interface - name="fifo_output" - internal="ip_arria10_fifo_sc.fifo_output" - type="conduit" - dir="end"> - <port name="empty" internal="empty" /> - <port name="full" internal="full" /> - <port name="q" internal="q" /> - <port name="usedw" internal="usedw" /> - </interface> - <module - name="ip_arria10_fifo_sc" - kind="fifo" - version="19.1" - enabled="1" - autoexport="1"> - <parameter name="DEVICE_FAMILY" value="Arria 10" /> - <parameter name="GUI_AlmostEmpty" value="false" /> - <parameter name="GUI_AlmostEmptyThr" value="-1" /> - <parameter name="GUI_AlmostFull" value="false" /> - <parameter name="GUI_AlmostFullThr" value="-1" /> - <parameter name="GUI_CLOCKS_ARE_SYNCHRONIZED" value="1" /> - <parameter name="GUI_Clock" value="0" /> - <parameter name="GUI_DISABLE_DCFIFO_EMBEDDED_TIMING_CONSTRAINT" value="true" /> - <parameter name="GUI_Depth" value="256" /> - <parameter name="GUI_ENABLE_ECC" value="false" /> - <parameter name="GUI_Empty" value="true" /> - <parameter name="GUI_Full" value="true" /> - <parameter name="GUI_LE_BasedFIFO" value="false" /> - <parameter name="GUI_LegacyRREQ" value="1" /> - <parameter name="GUI_MAX_DEPTH" value="Auto" /> - <parameter name="GUI_MAX_DEPTH_BY_9" value="false" /> - <parameter name="GUI_OVERFLOW_CHECKING" value="false" /> - <parameter name="GUI_Optimize" value="1" /> - <parameter name="GUI_Optimize_max" value="1" /> - <parameter name="GUI_RAM_BLOCK_TYPE" value="Auto" /> - <parameter name="GUI_TESTBENCH" value="false" /> - <parameter name="GUI_UNDERFLOW_CHECKING" value="false" /> - <parameter name="GUI_UsedW" value="true" /> - <parameter name="GUI_Width" value="8" /> - <parameter name="GUI_dc_aclr" value="false" /> - <parameter name="GUI_delaypipe" value="5" /> - <parameter name="GUI_diff_widths" value="false" /> - <parameter name="GUI_msb_usedw" value="false" /> - <parameter name="GUI_output_width" value="8" /> - <parameter name="GUI_read_aclr_synch" value="false" /> - <parameter name="GUI_rsEmpty" value="true" /> - <parameter name="GUI_rsFull" value="false" /> - <parameter name="GUI_rsUsedW" value="false" /> - <parameter name="GUI_sc_aclr" value="true" /> - <parameter name="GUI_sc_sclr" value="false" /> - <parameter name="GUI_synStage" value="3" /> - <parameter name="GUI_write_aclr_synch" value="false" /> - <parameter name="GUI_wsEmpty" value="false" /> - <parameter name="GUI_wsFull" value="true" /> - <parameter name="GUI_wsUsedW" value="false" /> - </module> -</system> diff --git a/libraries/technology/ip_arria10_e2sg/flash/asmi_parallel/hdllib.cfg b/libraries/technology/ip_arria10_e2sg/flash/asmi_parallel/hdllib.cfg index a1afcae4f1..d3e03c071f 100644 --- a/libraries/technology/ip_arria10_e2sg/flash/asmi_parallel/hdllib.cfg +++ b/libraries/technology/ip_arria10_e2sg/flash/asmi_parallel/hdllib.cfg @@ -20,5 +20,5 @@ quartus_qip_files = [generate_ip_libs] qsys-generate_ip_files = - ip_arria10_e2sg_asmi_parallel.qsys + ip_arria10_e2sg_asmi_parallel.ip diff --git a/libraries/technology/ip_arria10_e2sg/flash/asmi_parallel/ip_arria10_e2sg_asmi_parallel.ip b/libraries/technology/ip_arria10_e2sg/flash/asmi_parallel/ip_arria10_e2sg_asmi_parallel.ip new file mode 100644 index 0000000000..ad1f83cbd0 --- /dev/null +++ b/libraries/technology/ip_arria10_e2sg/flash/asmi_parallel/ip_arria10_e2sg_asmi_parallel.ip @@ -0,0 +1,1337 @@ +<?xml version="1.0" ?> +<ipxact:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact2014/extensions" xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"> + <ipxact:vendor>Intel Corporation</ipxact:vendor> + <ipxact:library>ip_arria10_e2sg_asmi_parallel</ipxact:library> + <ipxact:name>asmi_parallel_0</ipxact:name> + <ipxact:version>19.1.0</ipxact:version> + <ipxact:busInterfaces> + <ipxact:busInterface> + <ipxact:name>clkin</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="clock" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>clkin</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="clockRate" type="longint"> + <ipxact:name>clockRate</ipxact:name> + <ipxact:displayName>Clock rate</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="externallyDriven" type="bit"> + <ipxact:name>externallyDriven</ipxact:name> + <ipxact:displayName>Externally driven</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ptfSchematicName" type="string"> + <ipxact:name>ptfSchematicName</ipxact:name> + <ipxact:displayName>PTF schematic name</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>read</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>read</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>read</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rden</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rden</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rden</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>addr</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>addr</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>addr</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>write</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>write</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>write</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>datain</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>datain</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>datain</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>shift_bytes</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>shift_bytes</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>shift_bytes</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>sector_erase</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>sector_erase</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>sector_erase</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>wren</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>wren</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>wren</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>en4b_addr</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>en4b_addr</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>en4b_addr</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>ex4b_addr</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>ex4b_addr</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>ex4b_addr</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>reset</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="reset" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="reset" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>reset</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>reset</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>Associated clock</ipxact:displayName> + <ipxact:value>clkin</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="synchronousEdges" type="string"> + <ipxact:name>synchronousEdges</ipxact:name> + <ipxact:displayName>Synchronous edges</ipxact:displayName> + <ipxact:value>DEASSERT</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>sce</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>sce</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>sce</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>dataout</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>dataout</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>dataout</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>OUTPUT</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>busy</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>busy</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>busy</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>OUTPUT</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>data_valid</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>data_valid</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>data_valid</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>OUTPUT</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>illegal_write</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>illegal_write</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>illegal_write</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>OUTPUT</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>illegal_erase</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>illegal_erase</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>illegal_erase</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>OUTPUT</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + </ipxact:busInterfaces> + <ipxact:model> + <ipxact:views> + <ipxact:view> + <ipxact:name>QUARTUS_SYNTH</ipxact:name> + <ipxact:envIdentifier>:quartus.altera.com:</ipxact:envIdentifier> + <ipxact:componentInstantiationRef>QUARTUS_SYNTH</ipxact:componentInstantiationRef> + </ipxact:view> + </ipxact:views> + <ipxact:instantiations> + <ipxact:componentInstantiation> + <ipxact:name>QUARTUS_SYNTH</ipxact:name> + <ipxact:moduleName>altera_asmi_parallel</ipxact:moduleName> + <ipxact:fileSetRef> + <ipxact:localName>QUARTUS_SYNTH</ipxact:localName> + </ipxact:fileSetRef> + </ipxact:componentInstantiation> + </ipxact:instantiations> + <ipxact:ports> + <ipxact:port> + <ipxact:name>clkin</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>read</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rden</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>addr</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>31</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>write</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>datain</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>7</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>shift_bytes</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>sector_erase</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>wren</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>en4b_addr</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>ex4b_addr</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>reset</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>sce</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>2</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>dataout</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>7</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>busy</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>data_valid</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>illegal_write</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>illegal_erase</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + </ipxact:ports> + </ipxact:model> + <ipxact:vendorExtensions> + <altera:entity_info> + <ipxact:vendor>Intel Corporation</ipxact:vendor> + <ipxact:library>ip_arria10_e2sg_asmi_parallel</ipxact:library> + <ipxact:name>altera_asmi_parallel</ipxact:name> + <ipxact:version>19.1.0</ipxact:version> + </altera:entity_info> + <altera:altera_module_parameters> + <ipxact:parameters> + <ipxact:parameter parameterId="DEVICE_FAMILY" type="string"> + <ipxact:name>DEVICE_FAMILY</ipxact:name> + <ipxact:displayName>DEVICE_FAMILY</ipxact:displayName> + <ipxact:value>Arria 10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="INTENDED_DEVICE_FAMILY" type="string"> + <ipxact:name>INTENDED_DEVICE_FAMILY</ipxact:name> + <ipxact:displayName>INTENDED_DEVICE_FAMILY</ipxact:displayName> + <ipxact:value>Arria 10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CBX_AUTO_BLACKBOX" type="string"> + <ipxact:name>CBX_AUTO_BLACKBOX</ipxact:name> + <ipxact:displayName>CBX_AUTO_BLACKBOX</ipxact:displayName> + <ipxact:value>ALL</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="EPCS_TYPE" type="string"> + <ipxact:name>EPCS_TYPE</ipxact:name> + <ipxact:displayName>Configuration device type</ipxact:displayName> + <ipxact:value>EPCQL1024</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_read_sid" type="bit"> + <ipxact:name>gui_read_sid</ipxact:name> + <ipxact:displayName>Use 'read_sid' port</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_read_rdid" type="bit"> + <ipxact:name>gui_read_rdid</ipxact:name> + <ipxact:displayName>Use 'read_rdid' and rdid_out' ports</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_read_status" type="bit"> + <ipxact:name>gui_read_status</ipxact:name> + <ipxact:displayName>Use 'read_status' port</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_read_address" type="bit"> + <ipxact:name>gui_read_address</ipxact:name> + <ipxact:displayName>Use 'read_address' port</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_fast_read" type="bit"> + <ipxact:name>gui_fast_read</ipxact:name> + <ipxact:displayName>Use 'fast_read' port</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DATA_WIDTH" type="string"> + <ipxact:name>DATA_WIDTH</ipxact:name> + <ipxact:displayName>Choose I/O mode</ipxact:displayName> + <ipxact:value>STANDARD</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_read_dummyclk" type="bit"> + <ipxact:name>gui_read_dummyclk</ipxact:name> + <ipxact:displayName>Read device dummy clock</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_write" type="bit"> + <ipxact:name>gui_write</ipxact:name> + <ipxact:displayName>Enable write operation</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_wren" type="bit"> + <ipxact:name>gui_wren</ipxact:name> + <ipxact:displayName>Use 'wren' port</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_single_write" type="bit"> + <ipxact:name>gui_single_write</ipxact:name> + <ipxact:displayName>Single byte write</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_page_write" type="bit"> + <ipxact:name>gui_page_write</ipxact:name> + <ipxact:displayName>Page write</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PAGE_SIZE" type="int"> + <ipxact:name>PAGE_SIZE</ipxact:name> + <ipxact:displayName>'page write' size</ipxact:displayName> + <ipxact:value>256</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_use_eab" type="bit"> + <ipxact:name>gui_use_eab</ipxact:name> + <ipxact:displayName>Store 'page write' data in logic elements</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_bulk_erase" type="bit"> + <ipxact:name>gui_bulk_erase</ipxact:name> + <ipxact:displayName>Use 'bulk_erase' port</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_die_erase" type="bit"> + <ipxact:name>gui_die_erase</ipxact:name> + <ipxact:displayName>Use 'die_erase' port</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_sector_erase" type="bit"> + <ipxact:name>gui_sector_erase</ipxact:name> + <ipxact:displayName>Use 'sector_erase' port</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_sector_protect" type="bit"> + <ipxact:name>gui_sector_protect</ipxact:name> + <ipxact:displayName>Use 'sector_protect' port</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_ex4b_addr" type="bit"> + <ipxact:name>gui_ex4b_addr</ipxact:name> + <ipxact:displayName>Use 'ex4b_addr' port</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_use_asmiblock" type="bit"> + <ipxact:name>gui_use_asmiblock</ipxact:name> + <ipxact:displayName>Disable dedicated Active Serial interface</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PORT_BULK_ERASE" type="string"> + <ipxact:name>PORT_BULK_ERASE</ipxact:name> + <ipxact:displayName>PORT_BULK_ERASE</ipxact:displayName> + <ipxact:value>PORT_UNUSED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PORT_DIE_ERASE" type="string"> + <ipxact:name>PORT_DIE_ERASE</ipxact:name> + <ipxact:displayName>PORT_DIE_ERASE</ipxact:displayName> + <ipxact:value>PORT_UNUSED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PORT_EN4B_ADDR" type="string"> + <ipxact:name>PORT_EN4B_ADDR</ipxact:name> + <ipxact:displayName>PORT_EN4B_ADDR</ipxact:displayName> + <ipxact:value>PORT_USED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PORT_EX4B_ADDR" type="string"> + <ipxact:name>PORT_EX4B_ADDR</ipxact:name> + <ipxact:displayName>PORT_EX4B_ADDR</ipxact:displayName> + <ipxact:value>PORT_USED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PORT_FAST_READ" type="string"> + <ipxact:name>PORT_FAST_READ</ipxact:name> + <ipxact:displayName>PORT_FAST_READ</ipxact:displayName> + <ipxact:value>PORT_UNUSED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PORT_ILLEGAL_ERASE" type="string"> + <ipxact:name>PORT_ILLEGAL_ERASE</ipxact:name> + <ipxact:displayName>PORT_ILLEGAL_ERASE</ipxact:displayName> + <ipxact:value>PORT_USED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PORT_ILLEGAL_WRITE" type="string"> + <ipxact:name>PORT_ILLEGAL_WRITE</ipxact:name> + <ipxact:displayName>PORT_ILLEGAL_WRITE</ipxact:displayName> + <ipxact:value>PORT_USED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PORT_RDID_OUT" type="string"> + <ipxact:name>PORT_RDID_OUT</ipxact:name> + <ipxact:displayName>PORT_RDID_OUT</ipxact:displayName> + <ipxact:value>PORT_UNUSED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PORT_READ_ADDRESS" type="string"> + <ipxact:name>PORT_READ_ADDRESS</ipxact:name> + <ipxact:displayName>PORT_READ_ADDRESS</ipxact:displayName> + <ipxact:value>PORT_UNUSED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PORT_READ_DUMMYCLK" type="string"> + <ipxact:name>PORT_READ_DUMMYCLK</ipxact:name> + <ipxact:displayName>PORT_READ_DUMMYCLK</ipxact:displayName> + <ipxact:value>PORT_UNUSED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PORT_READ_RDID" type="string"> + <ipxact:name>PORT_READ_RDID</ipxact:name> + <ipxact:displayName>PORT_READ_RDID</ipxact:displayName> + <ipxact:value>PORT_UNUSED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PORT_READ_SID" type="string"> + <ipxact:name>PORT_READ_SID</ipxact:name> + <ipxact:displayName>PORT_READ_SID</ipxact:displayName> + <ipxact:value>PORT_UNUSED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PORT_READ_STATUS" type="string"> + <ipxact:name>PORT_READ_STATUS</ipxact:name> + <ipxact:displayName>PORT_READ_STATUS</ipxact:displayName> + <ipxact:value>PORT_UNUSED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PORT_SECTOR_ERASE" type="string"> + <ipxact:name>PORT_SECTOR_ERASE</ipxact:name> + <ipxact:displayName>PORT_SECTOR_ERASE</ipxact:displayName> + <ipxact:value>PORT_USED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PORT_SECTOR_PROTECT" type="string"> + <ipxact:name>PORT_SECTOR_PROTECT</ipxact:name> + <ipxact:displayName>PORT_SECTOR_PROTECT</ipxact:displayName> + <ipxact:value>PORT_UNUSED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PORT_SHIFT_BYTES" type="string"> + <ipxact:name>PORT_SHIFT_BYTES</ipxact:name> + <ipxact:displayName>PORT_SHIFT_BYTES</ipxact:displayName> + <ipxact:value>PORT_USED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PORT_WREN" type="string"> + <ipxact:name>PORT_WREN</ipxact:name> + <ipxact:displayName>PORT_WREN</ipxact:displayName> + <ipxact:value>PORT_USED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PORT_WRITE" type="string"> + <ipxact:name>PORT_WRITE</ipxact:name> + <ipxact:displayName>PORT_WRITE</ipxact:displayName> + <ipxact:value>PORT_USED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="WRITE_DUMMY_CLK" type="int"> + <ipxact:name>WRITE_DUMMY_CLK</ipxact:name> + <ipxact:displayName>WRITE_DUMMY_CLK</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="USE_EAB" type="string"> + <ipxact:name>USE_EAB</ipxact:name> + <ipxact:displayName>USE_EAB</ipxact:displayName> + <ipxact:value>ON</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="USE_ASMIBLOCK" type="string"> + <ipxact:name>USE_ASMIBLOCK</ipxact:name> + <ipxact:displayName>USE_ASMIBLOCK</ipxact:displayName> + <ipxact:value>ON</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="FLASH_RSTPIN" type="string"> + <ipxact:name>FLASH_RSTPIN</ipxact:name> + <ipxact:displayName>FLASH_RSTPIN</ipxact:displayName> + <ipxact:value>FALSE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ENABLE_SIM" type="bit"> + <ipxact:name>ENABLE_SIM</ipxact:name> + <ipxact:displayName>Enable simulation</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_module_parameters> + <altera:altera_system_parameters> + <ipxact:parameters> + <ipxact:parameter parameterId="device" type="string"> + <ipxact:name>device</ipxact:name> + <ipxact:displayName>Device</ipxact:displayName> + <ipxact:value>10AX115U3F45E2SG</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="deviceFamily" type="string"> + <ipxact:name>deviceFamily</ipxact:name> + <ipxact:displayName>Device family</ipxact:displayName> + <ipxact:value>Arria 10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="deviceSpeedGrade" type="string"> + <ipxact:name>deviceSpeedGrade</ipxact:name> + <ipxact:displayName>Device Speed Grade</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="generationId" type="int"> + <ipxact:name>generationId</ipxact:name> + <ipxact:displayName>Generation Id</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="bonusData" type="string"> + <ipxact:name>bonusData</ipxact:name> + <ipxact:displayName>bonusData</ipxact:displayName> + <ipxact:value>bonusData +{ + element asmi_parallel_0 + { + datum _sortIndex + { + value = "0"; + type = "int"; + } + } +} +</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hideFromIPCatalog" type="bit"> + <ipxact:name>hideFromIPCatalog</ipxact:name> + <ipxact:displayName>Hide from IP Catalog</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="lockedInterfaceDefinition" type="string"> + <ipxact:name>lockedInterfaceDefinition</ipxact:name> + <ipxact:displayName>lockedInterfaceDefinition</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="systemInfos" type="string"> + <ipxact:name>systemInfos</ipxact:name> + <ipxact:displayName>systemInfos</ipxact:displayName> + <ipxact:value><systemInfosDefinition> + <connPtSystemInfos/> +</systemInfosDefinition></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_system_parameters> + <altera:altera_interface_boundary> + <altera:interface_mapping altera:name="addr" altera:internal="asmi_parallel_0.addr" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="addr" altera:internal="addr"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="busy" altera:internal="asmi_parallel_0.busy" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="busy" altera:internal="busy"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="clkin" altera:internal="asmi_parallel_0.clkin" altera:type="clock" altera:dir="end"> + <altera:port_mapping altera:name="clkin" altera:internal="clkin"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="data_valid" altera:internal="asmi_parallel_0.data_valid" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="data_valid" altera:internal="data_valid"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="datain" altera:internal="asmi_parallel_0.datain" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="datain" altera:internal="datain"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="dataout" altera:internal="asmi_parallel_0.dataout" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="dataout" altera:internal="dataout"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="en4b_addr" altera:internal="asmi_parallel_0.en4b_addr" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="en4b_addr" altera:internal="en4b_addr"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="ex4b_addr" altera:internal="asmi_parallel_0.ex4b_addr" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="ex4b_addr" altera:internal="ex4b_addr"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="illegal_erase" altera:internal="asmi_parallel_0.illegal_erase" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="illegal_erase" altera:internal="illegal_erase"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="illegal_write" altera:internal="asmi_parallel_0.illegal_write" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="illegal_write" altera:internal="illegal_write"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rden" altera:internal="asmi_parallel_0.rden" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rden" altera:internal="rden"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="read" altera:internal="asmi_parallel_0.read" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="read" altera:internal="read"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="reset" altera:internal="asmi_parallel_0.reset" altera:type="reset" altera:dir="end"> + <altera:port_mapping altera:name="reset" altera:internal="reset"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="sce" altera:internal="asmi_parallel_0.sce" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="sce" altera:internal="sce"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="sector_erase" altera:internal="asmi_parallel_0.sector_erase" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="sector_erase" altera:internal="sector_erase"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="shift_bytes" altera:internal="asmi_parallel_0.shift_bytes" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="shift_bytes" altera:internal="shift_bytes"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="wren" altera:internal="asmi_parallel_0.wren" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="wren" altera:internal="wren"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="write" altera:internal="asmi_parallel_0.write" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="write" altera:internal="write"></altera:port_mapping> + </altera:interface_mapping> + </altera:altera_interface_boundary> + <altera:altera_has_warnings>false</altera:altera_has_warnings> + <altera:altera_has_errors>false</altera:altera_has_errors> + </ipxact:vendorExtensions> +</ipxact:component> \ No newline at end of file diff --git a/libraries/technology/ip_arria10_e2sg/flash/asmi_parallel/ip_arria10_e2sg_asmi_parallel.qsys b/libraries/technology/ip_arria10_e2sg/flash/asmi_parallel/ip_arria10_e2sg_asmi_parallel.qsys deleted file mode 100644 index 06b07be223..0000000000 --- a/libraries/technology/ip_arria10_e2sg/flash/asmi_parallel/ip_arria10_e2sg_asmi_parallel.qsys +++ /dev/null @@ -1,175 +0,0 @@ -<?xml version="1.0" encoding="UTF-8"?> -<system name="ip_arria10_e2sg_asmi_parallel"> - <component - name="$${FILENAME}" - displayName="$${FILENAME}" - version="1.0" - description="" - tags="AUTHORSHIP=Intel Corporation /// INTERNAL_COMPONENT=true" - categories="System" - tool="QsysStandard" /> - <parameter name="bonusData"><![CDATA[bonusData -{ - element $system - { - } - element asmi_parallel_0 - { - datum _sortIndex - { - value = "0"; - type = "int"; - } - } -} -]]></parameter> - <parameter name="device" value="10AX115U3F45E2SG" /> - <parameter name="deviceFamily" value="Arria 10" /> - <parameter name="deviceSpeedGrade" value="2" /> - <parameter name="fabricMode" value="QSYS" /> - <parameter name="generateLegacySim" value="false" /> - <parameter name="generationId" value="0" /> - <parameter name="globalResetBus" value="false" /> - <parameter name="hdlLanguage" value="VERILOG" /> - <parameter name="hideFromIPCatalog" value="true" /> - <parameter name="lockedInterfaceDefinition" value="" /> - <parameter name="sopcBorderPoints" value="false" /> - <parameter name="systemHash" value="0" /> - <parameter name="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos/> -</systemInfosDefinition>]]></parameter> - <parameter name="systemScripts" value="" /> - <parameter name="testBenchDutName" value="" /> - <parameter name="timeStamp" value="0" /> - <parameter name="useTestBenchNamingPattern" value="false" /> - <instanceScript></instanceScript> - <interface name="addr" internal="asmi_parallel_0.addr" type="conduit" dir="end"> - <port name="addr" internal="addr" /> - </interface> - <interface name="busy" internal="asmi_parallel_0.busy" type="conduit" dir="end"> - <port name="busy" internal="busy" /> - </interface> - <interface name="clkin" internal="asmi_parallel_0.clkin" type="clock" dir="end"> - <port name="clkin" internal="clkin" /> - </interface> - <interface - name="data_valid" - internal="asmi_parallel_0.data_valid" - type="conduit" - dir="end"> - <port name="data_valid" internal="data_valid" /> - </interface> - <interface - name="datain" - internal="asmi_parallel_0.datain" - type="conduit" - dir="end"> - <port name="datain" internal="datain" /> - </interface> - <interface - name="dataout" - internal="asmi_parallel_0.dataout" - type="conduit" - dir="end"> - <port name="dataout" internal="dataout" /> - </interface> - <interface name="die_erase" internal="asmi_parallel_0.die_erase" /> - <interface - name="en4b_addr" - internal="asmi_parallel_0.en4b_addr" - type="conduit" - dir="end"> - <port name="en4b_addr" internal="en4b_addr" /> - </interface> - <interface - name="ex4b_addr" - internal="asmi_parallel_0.ex4b_addr" - type="conduit" - dir="end"> - <port name="ex4b_addr" internal="ex4b_addr" /> - </interface> - <interface name="fast_read" internal="asmi_parallel_0.fast_read" /> - <interface - name="illegal_erase" - internal="asmi_parallel_0.illegal_erase" - type="conduit" - dir="end"> - <port name="illegal_erase" internal="illegal_erase" /> - </interface> - <interface - name="illegal_write" - internal="asmi_parallel_0.illegal_write" - type="conduit" - dir="end"> - <port name="illegal_write" internal="illegal_write" /> - </interface> - <interface name="rden" internal="asmi_parallel_0.rden" type="conduit" dir="end"> - <port name="rden" internal="rden" /> - </interface> - <interface name="read" internal="asmi_parallel_0.read" type="conduit" dir="end"> - <port name="read" internal="read" /> - </interface> - <interface name="reset" internal="asmi_parallel_0.reset" type="reset" dir="end"> - <port name="reset" internal="reset" /> - </interface> - <interface name="sce" internal="asmi_parallel_0.sce" type="conduit" dir="end"> - <port name="sce" internal="sce" /> - </interface> - <interface - name="sector_erase" - internal="asmi_parallel_0.sector_erase" - type="conduit" - dir="end"> - <port name="sector_erase" internal="sector_erase" /> - </interface> - <interface - name="shift_bytes" - internal="asmi_parallel_0.shift_bytes" - type="conduit" - dir="end"> - <port name="shift_bytes" internal="shift_bytes" /> - </interface> - <interface name="wren" internal="asmi_parallel_0.wren" type="conduit" dir="end"> - <port name="wren" internal="wren" /> - </interface> - <interface - name="write" - internal="asmi_parallel_0.write" - type="conduit" - dir="end"> - <port name="write" internal="write" /> - </interface> - <module - name="asmi_parallel_0" - kind="altera_asmi_parallel" - version="19.1.0" - enabled="1" - autoexport="1"> - <parameter name="CBX_AUTO_BLACKBOX" value="ALL" /> - <parameter name="DATA_WIDTH" value="STANDARD" /> - <parameter name="DEVICE_FAMILY" value="Arria 10" /> - <parameter name="ENABLE_SIM" value="false" /> - <parameter name="EPCS_TYPE" value="EPCQL1024" /> - <parameter name="FLASH_RSTPIN" value="FALSE" /> - <parameter name="INTENDED_DEVICE_FAMILY" value="Arria 10" /> - <parameter name="PAGE_SIZE" value="256" /> - <parameter name="WRITE_DUMMY_CLK" value="0" /> - <parameter name="gui_bulk_erase" value="false" /> - <parameter name="gui_die_erase" value="false" /> - <parameter name="gui_ex4b_addr" value="true" /> - <parameter name="gui_fast_read" value="false" /> - <parameter name="gui_page_write" value="true" /> - <parameter name="gui_read_address" value="false" /> - <parameter name="gui_read_dummyclk" value="false" /> - <parameter name="gui_read_rdid" value="false" /> - <parameter name="gui_read_sid" value="false" /> - <parameter name="gui_read_status" value="false" /> - <parameter name="gui_sector_erase" value="true" /> - <parameter name="gui_sector_protect" value="false" /> - <parameter name="gui_single_write" value="false" /> - <parameter name="gui_use_asmiblock" value="false" /> - <parameter name="gui_use_eab" value="false" /> - <parameter name="gui_wren" value="true" /> - <parameter name="gui_write" value="true" /> - </module> -</system> diff --git a/libraries/technology/ip_arria10_e2sg/flash/remote_update/hdllib.cfg b/libraries/technology/ip_arria10_e2sg/flash/remote_update/hdllib.cfg index b35edc92f1..8ab2de17b7 100644 --- a/libraries/technology/ip_arria10_e2sg/flash/remote_update/hdllib.cfg +++ b/libraries/technology/ip_arria10_e2sg/flash/remote_update/hdllib.cfg @@ -20,5 +20,5 @@ quartus_qip_files = [generate_ip_libs] qsys-generate_ip_files = - ip_arria10_e2sg_remote_update.qsys + ip_arria10_e2sg_remote_update.ip diff --git a/libraries/technology/ip_arria10_e2sg/flash/remote_update/ip_arria10_e2sg_remote_update.ip b/libraries/technology/ip_arria10_e2sg/flash/remote_update/ip_arria10_e2sg_remote_update.ip new file mode 100644 index 0000000000..76ba66d343 --- /dev/null +++ b/libraries/technology/ip_arria10_e2sg/flash/remote_update/ip_arria10_e2sg_remote_update.ip @@ -0,0 +1,842 @@ +<?xml version="1.0" ?> +<ipxact:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact2014/extensions" xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"> + <ipxact:vendor>Intel Corporation</ipxact:vendor> + <ipxact:library>ip_arria10_e2sg_remote_update</ipxact:library> + <ipxact:name>remote_update_0</ipxact:name> + <ipxact:version>19.1.0</ipxact:version> + <ipxact:busInterfaces> + <ipxact:busInterface> + <ipxact:name>clock</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="clock" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>clock</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="clockRate" type="longint"> + <ipxact:name>clockRate</ipxact:name> + <ipxact:displayName>Clock rate</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="externallyDriven" type="bit"> + <ipxact:name>externallyDriven</ipxact:name> + <ipxact:displayName>Externally driven</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ptfSchematicName" type="string"> + <ipxact:name>ptfSchematicName</ipxact:name> + <ipxact:displayName>PTF schematic name</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>reset</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="reset" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="reset" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>reset</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>reset</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>Associated clock</ipxact:displayName> + <ipxact:value>clock</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="synchronousEdges" type="string"> + <ipxact:name>synchronousEdges</ipxact:name> + <ipxact:displayName>Synchronous edges</ipxact:displayName> + <ipxact:value>DEASSERT</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>read_param</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>read_param</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>read_param</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>INPUT</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>param</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>param</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>param</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>INPUT</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>reconfig</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>reconfig</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>reconfig</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>INPUT</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>reset_timer</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>reset_timer</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>reset_timer</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>INPUT</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>busy</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>busy</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>busy</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>OUTPUT</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>data_out</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>data_out</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>data_out</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>OUTPUT</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>write_param</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>write_param</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>write_param</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>INPUT</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>data_in</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>data_in</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>data_in</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>INPUT</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>ctl_nupdt</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>ctl_nupdt</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>ctl_nupdt</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>INPUT</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + </ipxact:busInterfaces> + <ipxact:model> + <ipxact:views> + <ipxact:view> + <ipxact:name>QUARTUS_SYNTH</ipxact:name> + <ipxact:envIdentifier>:quartus.altera.com:</ipxact:envIdentifier> + <ipxact:componentInstantiationRef>QUARTUS_SYNTH</ipxact:componentInstantiationRef> + </ipxact:view> + </ipxact:views> + <ipxact:instantiations> + <ipxact:componentInstantiation> + <ipxact:name>QUARTUS_SYNTH</ipxact:name> + <ipxact:moduleName>altera_remote_update</ipxact:moduleName> + <ipxact:fileSetRef> + <ipxact:localName>QUARTUS_SYNTH</ipxact:localName> + </ipxact:fileSetRef> + </ipxact:componentInstantiation> + </ipxact:instantiations> + <ipxact:ports> + <ipxact:port> + <ipxact:name>clock</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>reset</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>read_param</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>param</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>2</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>reconfig</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>reset_timer</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>busy</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>data_out</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>31</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>write_param</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>data_in</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>31</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>ctl_nupdt</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + </ipxact:ports> + </ipxact:model> + <ipxact:vendorExtensions> + <altera:entity_info> + <ipxact:vendor>Intel Corporation</ipxact:vendor> + <ipxact:library>ip_arria10_e2sg_remote_update</ipxact:library> + <ipxact:name>altera_remote_update</ipxact:name> + <ipxact:version>19.1.0</ipxact:version> + </altera:entity_info> + <altera:altera_module_parameters> + <ipxact:parameters> + <ipxact:parameter parameterId="DEVICE_FAMILY" type="string"> + <ipxact:name>DEVICE_FAMILY</ipxact:name> + <ipxact:displayName>Device family</ipxact:displayName> + <ipxact:value>Arria 10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DEVICE" type="string"> + <ipxact:name>DEVICE</ipxact:name> + <ipxact:displayName>Device part</ipxact:displayName> + <ipxact:value>10AX115U3F45E2SG</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CBX_AUTO_BLACKBOX" type="string"> + <ipxact:name>CBX_AUTO_BLACKBOX</ipxact:name> + <ipxact:displayName>CBX_AUTO_BLACKBOX</ipxact:displayName> + <ipxact:value>ALL</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="operation_mode" type="string"> + <ipxact:name>operation_mode</ipxact:name> + <ipxact:displayName>Which operation mode will you be using?</ipxact:displayName> + <ipxact:value>REMOTE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_config_device" type="string"> + <ipxact:name>GUI_config_device</ipxact:name> + <ipxact:displayName>Which configuration device will you be using?</ipxact:displayName> + <ipxact:value>EPCQL1024</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="m_support_write_config_check" type="bit"> + <ipxact:name>m_support_write_config_check</ipxact:name> + <ipxact:displayName>Add support for writing configuration parameters</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="check_avalon_interface" type="bit"> + <ipxact:name>check_avalon_interface</ipxact:name> + <ipxact:displayName>Add support for Avalon Interface</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="check_app_pof" type="bit"> + <ipxact:name>check_app_pof</ipxact:name> + <ipxact:displayName>Enable reconfig POF checking</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="config_device_addr_width" type="int"> + <ipxact:name>config_device_addr_width</ipxact:name> + <ipxact:displayName>config_device_addr_width</ipxact:displayName> + <ipxact:value>32</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="is_epcq" type="bit"> + <ipxact:name>is_epcq</ipxact:name> + <ipxact:displayName>is_epcq</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="in_data_width" type="int"> + <ipxact:name>in_data_width</ipxact:name> + <ipxact:displayName>in_data_width</ipxact:displayName> + <ipxact:value>32</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="out_data_width" type="int"> + <ipxact:name>out_data_width</ipxact:name> + <ipxact:displayName>out_data_width</ipxact:displayName> + <ipxact:value>32</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="AUTO_DEVICE_SPEEDGRADE" type="string"> + <ipxact:name>AUTO_DEVICE_SPEEDGRADE</ipxact:name> + <ipxact:displayName>Auto DEVICE_SPEEDGRADE</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_module_parameters> + <altera:altera_system_parameters> + <ipxact:parameters> + <ipxact:parameter parameterId="device" type="string"> + <ipxact:name>device</ipxact:name> + <ipxact:displayName>Device</ipxact:displayName> + <ipxact:value>10AX115U3F45E2SG</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="deviceFamily" type="string"> + <ipxact:name>deviceFamily</ipxact:name> + <ipxact:displayName>Device family</ipxact:displayName> + <ipxact:value>Arria 10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="deviceSpeedGrade" type="string"> + <ipxact:name>deviceSpeedGrade</ipxact:name> + <ipxact:displayName>Device Speed Grade</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="generationId" type="int"> + <ipxact:name>generationId</ipxact:name> + <ipxact:displayName>Generation Id</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="bonusData" type="string"> + <ipxact:name>bonusData</ipxact:name> + <ipxact:displayName>bonusData</ipxact:displayName> + <ipxact:value>bonusData +{ + element remote_update_0 + { + datum _sortIndex + { + value = "0"; + type = "int"; + } + } +} +</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hideFromIPCatalog" type="bit"> + <ipxact:name>hideFromIPCatalog</ipxact:name> + <ipxact:displayName>Hide from IP Catalog</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="lockedInterfaceDefinition" type="string"> + <ipxact:name>lockedInterfaceDefinition</ipxact:name> + <ipxact:displayName>lockedInterfaceDefinition</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="systemInfos" type="string"> + <ipxact:name>systemInfos</ipxact:name> + <ipxact:displayName>systemInfos</ipxact:displayName> + <ipxact:value><systemInfosDefinition> + <connPtSystemInfos/> +</systemInfosDefinition></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_system_parameters> + <altera:altera_interface_boundary> + <altera:interface_mapping altera:name="busy" altera:internal="remote_update_0.busy" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="busy" altera:internal="busy"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="clock" altera:internal="remote_update_0.clock" altera:type="clock" altera:dir="end"> + <altera:port_mapping altera:name="clock" altera:internal="clock"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="ctl_nupdt" altera:internal="remote_update_0.ctl_nupdt" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="ctl_nupdt" altera:internal="ctl_nupdt"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="data_in" altera:internal="remote_update_0.data_in" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="data_in" altera:internal="data_in"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="data_out" altera:internal="remote_update_0.data_out" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="data_out" altera:internal="data_out"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="param" altera:internal="remote_update_0.param" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="param" altera:internal="param"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="read_param" altera:internal="remote_update_0.read_param" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="read_param" altera:internal="read_param"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="reconfig" altera:internal="remote_update_0.reconfig" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="reconfig" altera:internal="reconfig"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="reset" altera:internal="remote_update_0.reset" altera:type="reset" altera:dir="end"> + <altera:port_mapping altera:name="reset" altera:internal="reset"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="reset_timer" altera:internal="remote_update_0.reset_timer" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="reset_timer" altera:internal="reset_timer"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="write_param" altera:internal="remote_update_0.write_param" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="write_param" altera:internal="write_param"></altera:port_mapping> + </altera:interface_mapping> + </altera:altera_interface_boundary> + <altera:altera_has_warnings>false</altera:altera_has_warnings> + <altera:altera_has_errors>false</altera:altera_has_errors> + </ipxact:vendorExtensions> +</ipxact:component> \ No newline at end of file diff --git a/libraries/technology/ip_arria10_e2sg/flash/remote_update/ip_arria10_e2sg_remote_update.qsys b/libraries/technology/ip_arria10_e2sg/flash/remote_update/ip_arria10_e2sg_remote_update.qsys deleted file mode 100644 index e38df044a3..0000000000 --- a/libraries/technology/ip_arria10_e2sg/flash/remote_update/ip_arria10_e2sg_remote_update.qsys +++ /dev/null @@ -1,127 +0,0 @@ -<?xml version="1.0" encoding="UTF-8"?> -<system name="ip_arria10_e2sg_remote_update"> - <component - name="$${FILENAME}" - displayName="$${FILENAME}" - version="1.0" - description="" - tags="AUTHORSHIP=Intel Corporation /// INTERNAL_COMPONENT=true" - categories="System" - tool="QsysStandard" /> - <parameter name="bonusData"><![CDATA[bonusData -{ - element $system - { - } - element remote_update_0 - { - datum _sortIndex - { - value = "0"; - type = "int"; - } - } -} -]]></parameter> - <parameter name="device" value="10AX115U3F45E2SG" /> - <parameter name="deviceFamily" value="Arria 10" /> - <parameter name="deviceSpeedGrade" value="2" /> - <parameter name="fabricMode" value="QSYS" /> - <parameter name="generateLegacySim" value="false" /> - <parameter name="generationId" value="0" /> - <parameter name="globalResetBus" value="false" /> - <parameter name="hdlLanguage" value="VERILOG" /> - <parameter name="hideFromIPCatalog" value="true" /> - <parameter name="lockedInterfaceDefinition" value="" /> - <parameter name="sopcBorderPoints" value="false" /> - <parameter name="systemHash" value="0" /> - <parameter name="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos/> -</systemInfosDefinition>]]></parameter> - <parameter name="systemScripts" value="" /> - <parameter name="testBenchDutName" value="" /> - <parameter name="timeStamp" value="0" /> - <parameter name="useTestBenchNamingPattern" value="false" /> - <instanceScript></instanceScript> - <interface name="busy" internal="remote_update_0.busy" type="conduit" dir="end"> - <port name="busy" internal="busy" /> - </interface> - <interface name="clock" internal="remote_update_0.clock" type="clock" dir="end"> - <port name="clock" internal="clock" /> - </interface> - <interface - name="ctl_nupdt" - internal="remote_update_0.ctl_nupdt" - type="conduit" - dir="end"> - <port name="ctl_nupdt" internal="ctl_nupdt" /> - </interface> - <interface - name="data_in" - internal="remote_update_0.data_in" - type="conduit" - dir="end"> - <port name="data_in" internal="data_in" /> - </interface> - <interface - name="data_out" - internal="remote_update_0.data_out" - type="conduit" - dir="end"> - <port name="data_out" internal="data_out" /> - </interface> - <interface - name="param" - internal="remote_update_0.param" - type="conduit" - dir="end"> - <port name="param" internal="param" /> - </interface> - <interface - name="read_param" - internal="remote_update_0.read_param" - type="conduit" - dir="end"> - <port name="read_param" internal="read_param" /> - </interface> - <interface - name="reconfig" - internal="remote_update_0.reconfig" - type="conduit" - dir="end"> - <port name="reconfig" internal="reconfig" /> - </interface> - <interface name="reset" internal="remote_update_0.reset" type="reset" dir="end"> - <port name="reset" internal="reset" /> - </interface> - <interface - name="reset_timer" - internal="remote_update_0.reset_timer" - type="conduit" - dir="end"> - <port name="reset_timer" internal="reset_timer" /> - </interface> - <interface - name="write_param" - internal="remote_update_0.write_param" - type="conduit" - dir="end"> - <port name="write_param" internal="write_param" /> - </interface> - <module - name="remote_update_0" - kind="altera_remote_update" - version="19.1.0" - enabled="1" - autoexport="1"> - <parameter name="AUTO_DEVICE_SPEEDGRADE" value="2" /> - <parameter name="CBX_AUTO_BLACKBOX" value="ALL" /> - <parameter name="DEVICE" value="10AX115U3F45E2SG" /> - <parameter name="DEVICE_FAMILY" value="Arria 10" /> - <parameter name="GUI_config_device" value="EPCQL1024" /> - <parameter name="check_app_pof" value="false" /> - <parameter name="check_avalon_interface" value="false" /> - <parameter name="m_support_write_config_check" value="true" /> - <parameter name="operation_mode" value="REMOTE" /> - </module> -</system> diff --git a/libraries/technology/ip_arria10_e2sg/fractional_pll_clk125/hdllib.cfg b/libraries/technology/ip_arria10_e2sg/fractional_pll_clk125/hdllib.cfg index 093474e35b..8504ae25e3 100644 --- a/libraries/technology/ip_arria10_e2sg/fractional_pll_clk125/hdllib.cfg +++ b/libraries/technology/ip_arria10_e2sg/fractional_pll_clk125/hdllib.cfg @@ -20,5 +20,5 @@ quartus_qip_files = [generate_ip_libs] qsys-generate_ip_files = - ip_arria10_e2sg_fractional_pll_clk125.qsys + ip_arria10_e2sg_fractional_pll_clk125.ip diff --git a/libraries/technology/ip_arria10_e2sg/fractional_pll_clk125/ip_arria10_e2sg_fractional_pll_clk125.ip b/libraries/technology/ip_arria10_e2sg/fractional_pll_clk125/ip_arria10_e2sg_fractional_pll_clk125.ip new file mode 100644 index 0000000000..b864dfa620 --- /dev/null +++ b/libraries/technology/ip_arria10_e2sg/fractional_pll_clk125/ip_arria10_e2sg_fractional_pll_clk125.ip @@ -0,0 +1,3572 @@ +<?xml version="1.0" ?> +<ipxact:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact2014/extensions" xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"> + <ipxact:vendor>Intel Corporation</ipxact:vendor> + <ipxact:library>ip_arria10_e2sg_fractional_pll_clk125</ipxact:library> + <ipxact:name>xcvr_fpll_a10_0</ipxact:name> + <ipxact:version>19.1</ipxact:version> + <ipxact:busInterfaces> + <ipxact:busInterface> + <ipxact:name>pll_refclk0</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="clock" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>pll_refclk0</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="clockRate" type="longint"> + <ipxact:name>clockRate</ipxact:name> + <ipxact:displayName>Clock rate</ipxact:displayName> + <ipxact:value>125000000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="externallyDriven" type="bit"> + <ipxact:name>externallyDriven</ipxact:name> + <ipxact:displayName>Externally driven</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ptfSchematicName" type="string"> + <ipxact:name>ptfSchematicName</ipxact:name> + <ipxact:displayName>PTF schematic name</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>pll_powerdown</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>pll_powerdown</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>pll_powerdown</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>pll_locked</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>pll_locked</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>pll_locked</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>outclk0</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="clock" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>outclk0</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:master></ipxact:master> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedDirectClock" type="string"> + <ipxact:name>associatedDirectClock</ipxact:name> + <ipxact:displayName>Associated direct clock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clockRate" type="longint"> + <ipxact:name>clockRate</ipxact:name> + <ipxact:displayName>Clock rate</ipxact:displayName> + <ipxact:value>100000000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clockRateKnown" type="bit"> + <ipxact:name>clockRateKnown</ipxact:name> + <ipxact:displayName>Clock rate known</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="externallyDriven" type="bit"> + <ipxact:name>externallyDriven</ipxact:name> + <ipxact:displayName>Externally driven</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ptfSchematicName" type="string"> + <ipxact:name>ptfSchematicName</ipxact:name> + <ipxact:displayName>PTF schematic name</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>outclk1</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="clock" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>outclk1</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:master></ipxact:master> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedDirectClock" type="string"> + <ipxact:name>associatedDirectClock</ipxact:name> + <ipxact:displayName>Associated direct clock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clockRate" type="longint"> + <ipxact:name>clockRate</ipxact:name> + <ipxact:displayName>Clock rate</ipxact:displayName> + <ipxact:value>100000000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clockRateKnown" type="bit"> + <ipxact:name>clockRateKnown</ipxact:name> + <ipxact:displayName>Clock rate known</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="externallyDriven" type="bit"> + <ipxact:name>externallyDriven</ipxact:name> + <ipxact:displayName>Externally driven</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ptfSchematicName" type="string"> + <ipxact:name>ptfSchematicName</ipxact:name> + <ipxact:displayName>PTF schematic name</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>outclk2</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="clock" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>outclk2</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:master></ipxact:master> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedDirectClock" type="string"> + <ipxact:name>associatedDirectClock</ipxact:name> + <ipxact:displayName>Associated direct clock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clockRate" type="longint"> + <ipxact:name>clockRate</ipxact:name> + <ipxact:displayName>Clock rate</ipxact:displayName> + <ipxact:value>100000000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clockRateKnown" type="bit"> + <ipxact:name>clockRateKnown</ipxact:name> + <ipxact:displayName>Clock rate known</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="externallyDriven" type="bit"> + <ipxact:name>externallyDriven</ipxact:name> + <ipxact:displayName>Externally driven</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ptfSchematicName" type="string"> + <ipxact:name>ptfSchematicName</ipxact:name> + <ipxact:displayName>PTF schematic name</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>outclk3</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="clock" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>outclk3</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:master></ipxact:master> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedDirectClock" type="string"> + <ipxact:name>associatedDirectClock</ipxact:name> + <ipxact:displayName>Associated direct clock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clockRate" type="longint"> + <ipxact:name>clockRate</ipxact:name> + <ipxact:displayName>Clock rate</ipxact:displayName> + <ipxact:value>100000000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clockRateKnown" type="bit"> + <ipxact:name>clockRateKnown</ipxact:name> + <ipxact:displayName>Clock rate known</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="externallyDriven" type="bit"> + <ipxact:name>externallyDriven</ipxact:name> + <ipxact:displayName>Externally driven</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ptfSchematicName" type="string"> + <ipxact:name>ptfSchematicName</ipxact:name> + <ipxact:displayName>PTF schematic name</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>pll_cal_busy</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>pll_cal_busy</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>pll_cal_busy</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + </ipxact:busInterfaces> + <ipxact:model> + <ipxact:views> + <ipxact:view> + <ipxact:name>QUARTUS_SYNTH</ipxact:name> + <ipxact:envIdentifier>:quartus.altera.com:</ipxact:envIdentifier> + <ipxact:componentInstantiationRef>QUARTUS_SYNTH</ipxact:componentInstantiationRef> + </ipxact:view> + </ipxact:views> + <ipxact:instantiations> + <ipxact:componentInstantiation> + <ipxact:name>QUARTUS_SYNTH</ipxact:name> + <ipxact:moduleName>altera_xcvr_fpll_a10</ipxact:moduleName> + <ipxact:fileSetRef> + <ipxact:localName>QUARTUS_SYNTH</ipxact:localName> + </ipxact:fileSetRef> + </ipxact:componentInstantiation> + </ipxact:instantiations> + <ipxact:ports> + <ipxact:port> + <ipxact:name>pll_refclk0</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>pll_powerdown</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>pll_locked</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>outclk0</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>outclk1</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>outclk2</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>outclk3</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>pll_cal_busy</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + </ipxact:ports> + </ipxact:model> + <ipxact:vendorExtensions> + <altera:entity_info> + <ipxact:vendor>Intel Corporation</ipxact:vendor> + <ipxact:library>ip_arria10_e2sg_fractional_pll_clk125</ipxact:library> + <ipxact:name>altera_xcvr_fpll_a10</ipxact:name> + <ipxact:version>19.1</ipxact:version> + </altera:entity_info> + <altera:altera_module_parameters> + <ipxact:parameters> + <ipxact:parameter parameterId="rcfg_debug" type="int"> + <ipxact:name>rcfg_debug</ipxact:name> + <ipxact:displayName>rcfg_debug</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_pll_reconfig" type="int"> + <ipxact:name>enable_pll_reconfig</ipxact:name> + <ipxact:displayName>Enable dynamic reconfiguration</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_advanced_avmm_options" type="int"> + <ipxact:name>enable_advanced_avmm_options</ipxact:name> + <ipxact:displayName>enable_advanced_avmm_options</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_jtag_enable" type="int"> + <ipxact:name>rcfg_jtag_enable</ipxact:name> + <ipxact:displayName>Enable Native PHY Debug Master Endpoint</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_separate_avmm_busy" type="int"> + <ipxact:name>rcfg_separate_avmm_busy</ipxact:name> + <ipxact:displayName>Separate reconfig_waitrequest from the status of AVMM arbitration with PreSICE</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_enable_avmm_busy_port" type="int"> + <ipxact:name>rcfg_enable_avmm_busy_port</ipxact:name> + <ipxact:displayName>Enable avmm_busy port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_capability_reg_enable" type="int"> + <ipxact:name>set_capability_reg_enable</ipxact:name> + <ipxact:displayName>Enable capability registers</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_user_identifier" type="int"> + <ipxact:name>set_user_identifier</ipxact:name> + <ipxact:displayName>Set user-defined IP identifier</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_csr_soft_logic_enable" type="int"> + <ipxact:name>set_csr_soft_logic_enable</ipxact:name> + <ipxact:displayName>Enable control and status registers</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="dbg_embedded_debug_enable" type="int"> + <ipxact:name>dbg_embedded_debug_enable</ipxact:name> + <ipxact:displayName>dbg_embedded_debug_enable</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="dbg_capability_reg_enable" type="int"> + <ipxact:name>dbg_capability_reg_enable</ipxact:name> + <ipxact:displayName>dbg_capability_reg_enable</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="dbg_user_identifier" type="int"> + <ipxact:name>dbg_user_identifier</ipxact:name> + <ipxact:displayName>dbg_user_identifier</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="dbg_stat_soft_logic_enable" type="int"> + <ipxact:name>dbg_stat_soft_logic_enable</ipxact:name> + <ipxact:displayName>dbg_stat_soft_logic_enable</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="dbg_ctrl_soft_logic_enable" type="int"> + <ipxact:name>dbg_ctrl_soft_logic_enable</ipxact:name> + <ipxact:displayName>dbg_ctrl_soft_logic_enable</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_file_prefix" type="string"> + <ipxact:name>rcfg_file_prefix</ipxact:name> + <ipxact:displayName>Configuration file prefix</ipxact:displayName> + <ipxact:value>altera_xcvr_fpll_a10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_sv_file_enable" type="int"> + <ipxact:name>rcfg_sv_file_enable</ipxact:name> + <ipxact:displayName>Generate SystemVerilog package file</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_h_file_enable" type="int"> + <ipxact:name>rcfg_h_file_enable</ipxact:name> + <ipxact:displayName>Generate C header file</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_txt_file_enable" type="int"> + <ipxact:name>rcfg_txt_file_enable</ipxact:name> + <ipxact:displayName>Generate text file</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_mif_file_enable" type="int"> + <ipxact:name>rcfg_mif_file_enable</ipxact:name> + <ipxact:displayName>Generate MIF (Memory Initialize File)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="system_info_device_family" type="string"> + <ipxact:name>system_info_device_family</ipxact:name> + <ipxact:displayName>Device Family</ipxact:displayName> + <ipxact:value>Arria 10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_silicon_rev" type="string"> + <ipxact:name>cmu_fpll_silicon_rev</ipxact:name> + <ipxact:displayName>cmu_fpll_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_bandwidth_range_high" type="string"> + <ipxact:name>cmu_fpll_bandwidth_range_high</ipxact:name> + <ipxact:displayName>cmu_fpll_bandwidth_range_high</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_bandwidth_range_low" type="string"> + <ipxact:name>cmu_fpll_bandwidth_range_low</ipxact:name> + <ipxact:displayName>cmu_fpll_bandwidth_range_low</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_is_otn" type="string"> + <ipxact:name>cmu_fpll_is_otn</ipxact:name> + <ipxact:displayName>cmu_fpll_is_otn</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_is_sdi" type="string"> + <ipxact:name>cmu_fpll_is_sdi</ipxact:name> + <ipxact:displayName>cmu_fpll_is_sdi</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_bonding" type="string"> + <ipxact:name>cmu_fpll_bonding</ipxact:name> + <ipxact:displayName>cmu_fpll_bonding</ipxact:displayName> + <ipxact:value>pll_bonding</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_f_max_band_0" type="string"> + <ipxact:name>cmu_fpll_f_max_band_0</ipxact:name> + <ipxact:displayName>cmu_fpll_f_max_band_0</ipxact:displayName> + <ipxact:value>3861860000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_f_max_band_1" type="string"> + <ipxact:name>cmu_fpll_f_max_band_1</ipxact:name> + <ipxact:displayName>cmu_fpll_f_max_band_1</ipxact:displayName> + <ipxact:value>4287223000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_f_max_band_2" type="string"> + <ipxact:name>cmu_fpll_f_max_band_2</ipxact:name> + <ipxact:displayName>cmu_fpll_f_max_band_2</ipxact:displayName> + <ipxact:value>4688476000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_f_max_band_3" type="string"> + <ipxact:name>cmu_fpll_f_max_band_3</ipxact:name> + <ipxact:displayName>cmu_fpll_f_max_band_3</ipxact:displayName> + <ipxact:value>5072700000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_f_max_band_4" type="string"> + <ipxact:name>cmu_fpll_f_max_band_4</ipxact:name> + <ipxact:displayName>cmu_fpll_f_max_band_4</ipxact:displayName> + <ipxact:value>5423191000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_f_max_band_5" type="string"> + <ipxact:name>cmu_fpll_f_max_band_5</ipxact:name> + <ipxact:displayName>cmu_fpll_f_max_band_5</ipxact:displayName> + <ipxact:value>5762211000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_f_max_band_6" type="string"> + <ipxact:name>cmu_fpll_f_max_band_6</ipxact:name> + <ipxact:displayName>cmu_fpll_f_max_band_6</ipxact:displayName> + <ipxact:value>6075045000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_f_max_band_7" type="string"> + <ipxact:name>cmu_fpll_f_max_band_7</ipxact:name> + <ipxact:displayName>cmu_fpll_f_max_band_7</ipxact:displayName> + <ipxact:value>6374148000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_f_max_band_8" type="string"> + <ipxact:name>cmu_fpll_f_max_band_8</ipxact:name> + <ipxact:displayName>cmu_fpll_f_max_band_8</ipxact:displayName> + <ipxact:value>14025000000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_f_max_pfd" type="string"> + <ipxact:name>cmu_fpll_f_max_pfd</ipxact:name> + <ipxact:displayName>cmu_fpll_f_max_pfd</ipxact:displayName> + <ipxact:value>160000000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_f_max_vco" type="string"> + <ipxact:name>cmu_fpll_f_max_vco</ipxact:name> + <ipxact:displayName>cmu_fpll_f_max_vco</ipxact:displayName> + <ipxact:value>14150000000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_f_min_band_0" type="string"> + <ipxact:name>cmu_fpll_f_min_band_0</ipxact:name> + <ipxact:displayName>cmu_fpll_f_min_band_0</ipxact:displayName> + <ipxact:value>7000000000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_f_min_band_1" type="string"> + <ipxact:name>cmu_fpll_f_min_band_1</ipxact:name> + <ipxact:displayName>cmu_fpll_f_min_band_1</ipxact:displayName> + <ipxact:value>3861860000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_f_min_band_2" type="string"> + <ipxact:name>cmu_fpll_f_min_band_2</ipxact:name> + <ipxact:displayName>cmu_fpll_f_min_band_2</ipxact:displayName> + <ipxact:value>4287223000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_f_min_band_3" type="string"> + <ipxact:name>cmu_fpll_f_min_band_3</ipxact:name> + <ipxact:displayName>cmu_fpll_f_min_band_3</ipxact:displayName> + <ipxact:value>4688476000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_f_min_band_4" type="string"> + <ipxact:name>cmu_fpll_f_min_band_4</ipxact:name> + <ipxact:displayName>cmu_fpll_f_min_band_4</ipxact:displayName> + <ipxact:value>5072700000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_f_min_band_5" type="string"> + <ipxact:name>cmu_fpll_f_min_band_5</ipxact:name> + <ipxact:displayName>cmu_fpll_f_min_band_5</ipxact:displayName> + <ipxact:value>5423191000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_f_min_band_6" type="string"> + <ipxact:name>cmu_fpll_f_min_band_6</ipxact:name> + <ipxact:displayName>cmu_fpll_f_min_band_6</ipxact:displayName> + <ipxact:value>5762211000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_f_min_band_7" type="string"> + <ipxact:name>cmu_fpll_f_min_band_7</ipxact:name> + <ipxact:displayName>cmu_fpll_f_min_band_7</ipxact:displayName> + <ipxact:value>6075045000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_f_min_band_8" type="string"> + <ipxact:name>cmu_fpll_f_min_band_8</ipxact:name> + <ipxact:displayName>cmu_fpll_f_min_band_8</ipxact:displayName> + <ipxact:value>6374148000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_f_min_pfd" type="string"> + <ipxact:name>cmu_fpll_f_min_pfd</ipxact:name> + <ipxact:displayName>cmu_fpll_f_min_pfd</ipxact:displayName> + <ipxact:value>25000000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_f_min_vco" type="string"> + <ipxact:name>cmu_fpll_f_min_vco</ipxact:name> + <ipxact:displayName>cmu_fpll_f_min_vco</ipxact:displayName> + <ipxact:value>6000000000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_feedback" type="string"> + <ipxact:name>cmu_fpll_feedback</ipxact:name> + <ipxact:displayName>cmu_fpll_feedback</ipxact:displayName> + <ipxact:value>normal</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_fpll_cas_out_enable" type="string"> + <ipxact:name>cmu_fpll_fpll_cas_out_enable</ipxact:name> + <ipxact:displayName>Dedicated FPLL to FPLL cascade out</ipxact:displayName> + <ipxact:value>fpll_cas_out_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_fpll_hclk_out_enable" type="string"> + <ipxact:name>cmu_fpll_fpll_hclk_out_enable</ipxact:name> + <ipxact:displayName>cmu_fpll_fpll_hclk_out_enable</ipxact:displayName> + <ipxact:value>fpll_hclk_out_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_fpll_iqtxrxclk_out_enable" type="string"> + <ipxact:name>cmu_fpll_fpll_iqtxrxclk_out_enable</ipxact:name> + <ipxact:displayName>cmu_fpll_fpll_iqtxrxclk_out_enable</ipxact:displayName> + <ipxact:value>fpll_iqtxrxclk_out_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_l_counter" type="int"> + <ipxact:name>cmu_fpll_l_counter</ipxact:name> + <ipxact:displayName>cmu_fpll_l_counter</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_m_counter" type="int"> + <ipxact:name>cmu_fpll_m_counter</ipxact:name> + <ipxact:displayName>cmu_fpll_m_counter</ipxact:displayName> + <ipxact:value>24</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_n_counter" type="int"> + <ipxact:name>cmu_fpll_n_counter</ipxact:name> + <ipxact:displayName>cmu_fpll_n_counter</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_out_freq_hz" type="string"> + <ipxact:name>cmu_fpll_out_freq_hz</ipxact:name> + <ipxact:displayName>cmu_fpll_out_freq_hz</ipxact:displayName> + <ipxact:value>0 hz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_out_freq" type="string"> + <ipxact:name>cmu_fpll_out_freq</ipxact:name> + <ipxact:displayName>cmu_fpll_out_freq</ipxact:displayName> + <ipxact:value>000000000000000000000000000000000000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_vco_freq_band_0" type="string"> + <ipxact:name>cmu_fpll_pll_vco_freq_band_0</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_vco_freq_band_0</ipxact:displayName> + <ipxact:value>pll_freq_band0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_vco_freq_band_1" type="string"> + <ipxact:name>cmu_fpll_pll_vco_freq_band_1</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_vco_freq_band_1</ipxact:displayName> + <ipxact:value>pll_freq_band0_1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_primary_use" type="string"> + <ipxact:name>cmu_fpll_primary_use</ipxact:name> + <ipxact:displayName>cmu_fpll_primary_use</ipxact:displayName> + <ipxact:value>core</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_prot_mode" type="string"> + <ipxact:name>cmu_fpll_prot_mode</ipxact:name> + <ipxact:displayName>cmu_fpll_prot_mode</ipxact:displayName> + <ipxact:value>basic_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_reference_clock_frequency_scratch" type="string"> + <ipxact:name>cmu_fpll_reference_clock_frequency_scratch</ipxact:name> + <ipxact:displayName>Reference clock frequency</ipxact:displayName> + <ipxact:value>125000000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_side" type="string"> + <ipxact:name>cmu_fpll_side</ipxact:name> + <ipxact:displayName>cmu_fpll_side</ipxact:displayName> + <ipxact:value>side_unknown</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_top_or_bottom" type="string"> + <ipxact:name>cmu_fpll_top_or_bottom</ipxact:name> + <ipxact:displayName>cmu_fpll_top_or_bottom</ipxact:displayName> + <ipxact:value>tb_unknown</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_vco_freq_hz" type="string"> + <ipxact:name>cmu_fpll_vco_freq_hz</ipxact:name> + <ipxact:displayName>cmu_fpll_vco_freq_hz</ipxact:displayName> + <ipxact:value>6000000000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_vco_freq" type="string"> + <ipxact:name>cmu_fpll_vco_freq</ipxact:name> + <ipxact:displayName>cmu_fpll_vco_freq</ipxact:displayName> + <ipxact:value>000101100101101000001011110000000000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_bw_mode" type="string"> + <ipxact:name>cmu_fpll_pll_bw_mode</ipxact:name> + <ipxact:displayName>Bandwidth</ipxact:displayName> + <ipxact:value>low_bw</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_datarate" type="string"> + <ipxact:name>cmu_fpll_datarate</ipxact:name> + <ipxact:displayName>cmu_fpll_datarate</ipxact:displayName> + <ipxact:value>0 Mbps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_device_variant" type="string"> + <ipxact:name>cmu_fpll_pll_device_variant</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_device_variant</ipxact:displayName> + <ipxact:value>device1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_cal_status" type="string"> + <ipxact:name>cmu_fpll_pll_cal_status</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_cal_status</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_calibration" type="string"> + <ipxact:name>cmu_fpll_pll_calibration</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_calibration</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_cmu_rstn_value" type="string"> + <ipxact:name>cmu_fpll_pll_cmu_rstn_value</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_cmu_rstn_value</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_lpf_rstn_value" type="string"> + <ipxact:name>cmu_fpll_pll_lpf_rstn_value</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_lpf_rstn_value</ipxact:displayName> + <ipxact:value>lpf_normal</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_ppm_clk0_src" type="string"> + <ipxact:name>cmu_fpll_pll_ppm_clk0_src</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_ppm_clk0_src</ipxact:displayName> + <ipxact:value>ppm_clk0_vss</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_ppm_clk1_src" type="string"> + <ipxact:name>cmu_fpll_pll_ppm_clk1_src</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_ppm_clk1_src</ipxact:displayName> + <ipxact:value>ppm_clk1_vss</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_rstn_override" type="string"> + <ipxact:name>cmu_fpll_pll_rstn_override</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_rstn_override</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_op_mode" type="string"> + <ipxact:name>cmu_fpll_pll_op_mode</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_op_mode</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_optimal" type="string"> + <ipxact:name>cmu_fpll_pll_optimal</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_optimal</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_is_pa_core" type="string"> + <ipxact:name>cmu_fpll_is_pa_core</ipxact:name> + <ipxact:displayName>cmu_fpll_is_pa_core</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_power_mode" type="string"> + <ipxact:name>cmu_fpll_power_mode</ipxact:name> + <ipxact:displayName>cmu_fpll_power_mode</ipxact:displayName> + <ipxact:value>low_power</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_power_rail_et" type="int"> + <ipxact:name>cmu_fpll_power_rail_et</ipxact:name> + <ipxact:displayName>cmu_fpll_power_rail_et</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_powerdown_mode" type="string"> + <ipxact:name>cmu_fpll_pll_powerdown_mode</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_powerdown_mode</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pm_speed_grade" type="string"> + <ipxact:name>cmu_fpll_pm_speed_grade</ipxact:name> + <ipxact:displayName>cmu_fpll_pm_speed_grade</ipxact:displayName> + <ipxact:value>e2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_sup_mode" type="string"> + <ipxact:name>cmu_fpll_pll_sup_mode</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_c0_pllcout_enable" type="string"> + <ipxact:name>cmu_fpll_pll_c0_pllcout_enable</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_c0_pllcout_enable</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_c_counter_0" type="int"> + <ipxact:name>cmu_fpll_pll_c_counter_0</ipxact:name> + <ipxact:displayName>C-counter-0</ipxact:displayName> + <ipxact:value>75</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_c_counter_0_min_tco_enable" type="string"> + <ipxact:name>cmu_fpll_pll_c_counter_0_min_tco_enable</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_c_counter_0_min_tco_enable</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_c_counter_0_in_src" type="string"> + <ipxact:name>cmu_fpll_pll_c_counter_0_in_src</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_c_counter_0_in_src</ipxact:displayName> + <ipxact:value>m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_c_counter_0_ph_mux_prst" type="int"> + <ipxact:name>cmu_fpll_pll_c_counter_0_ph_mux_prst</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_c_counter_0_ph_mux_prst</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_c_counter_0_prst" type="int"> + <ipxact:name>cmu_fpll_pll_c_counter_0_prst</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_c_counter_0_prst</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_c_counter_0_coarse_dly" type="string"> + <ipxact:name>cmu_fpll_pll_c_counter_0_coarse_dly</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_c_counter_0_coarse_dly</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_c_counter_0_fine_dly" type="string"> + <ipxact:name>cmu_fpll_pll_c_counter_0_fine_dly</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_c_counter_0_fine_dly</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_c1_pllcout_enable" type="string"> + <ipxact:name>cmu_fpll_pll_c1_pllcout_enable</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_c1_pllcout_enable</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_c_counter_1" type="int"> + <ipxact:name>cmu_fpll_pll_c_counter_1</ipxact:name> + <ipxact:displayName>C-counter-1</ipxact:displayName> + <ipxact:value>30</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_c_counter_1_min_tco_enable" type="string"> + <ipxact:name>cmu_fpll_pll_c_counter_1_min_tco_enable</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_c_counter_1_min_tco_enable</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_c_counter_1_in_src" type="string"> + <ipxact:name>cmu_fpll_pll_c_counter_1_in_src</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_c_counter_1_in_src</ipxact:displayName> + <ipxact:value>m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_c_counter_1_ph_mux_prst" type="int"> + <ipxact:name>cmu_fpll_pll_c_counter_1_ph_mux_prst</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_c_counter_1_ph_mux_prst</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_c_counter_1_prst" type="int"> + <ipxact:name>cmu_fpll_pll_c_counter_1_prst</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_c_counter_1_prst</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_c_counter_1_coarse_dly" type="string"> + <ipxact:name>cmu_fpll_pll_c_counter_1_coarse_dly</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_c_counter_1_coarse_dly</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_c_counter_1_fine_dly" type="string"> + <ipxact:name>cmu_fpll_pll_c_counter_1_fine_dly</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_c_counter_1_fine_dly</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_c2_pllcout_enable" type="string"> + <ipxact:name>cmu_fpll_pll_c2_pllcout_enable</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_c2_pllcout_enable</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_c_counter_2" type="int"> + <ipxact:name>cmu_fpll_pll_c_counter_2</ipxact:name> + <ipxact:displayName>C-counter-2</ipxact:displayName> + <ipxact:value>15</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_c_counter_2_min_tco_enable" type="string"> + <ipxact:name>cmu_fpll_pll_c_counter_2_min_tco_enable</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_c_counter_2_min_tco_enable</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_c_counter_2_in_src" type="string"> + <ipxact:name>cmu_fpll_pll_c_counter_2_in_src</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_c_counter_2_in_src</ipxact:displayName> + <ipxact:value>m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_c_counter_2_ph_mux_prst" type="int"> + <ipxact:name>cmu_fpll_pll_c_counter_2_ph_mux_prst</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_c_counter_2_ph_mux_prst</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_c_counter_2_prst" type="int"> + <ipxact:name>cmu_fpll_pll_c_counter_2_prst</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_c_counter_2_prst</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_c_counter_2_coarse_dly" type="string"> + <ipxact:name>cmu_fpll_pll_c_counter_2_coarse_dly</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_c_counter_2_coarse_dly</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_c_counter_2_fine_dly" type="string"> + <ipxact:name>cmu_fpll_pll_c_counter_2_fine_dly</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_c_counter_2_fine_dly</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_c3_pllcout_enable" type="string"> + <ipxact:name>cmu_fpll_pll_c3_pllcout_enable</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_c3_pllcout_enable</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_c_counter_3" type="int"> + <ipxact:name>cmu_fpll_pll_c_counter_3</ipxact:name> + <ipxact:displayName>C-counter-3</ipxact:displayName> + <ipxact:value>12</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_c_counter_3_min_tco_enable" type="string"> + <ipxact:name>cmu_fpll_pll_c_counter_3_min_tco_enable</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_c_counter_3_min_tco_enable</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_c_counter_3_in_src" type="string"> + <ipxact:name>cmu_fpll_pll_c_counter_3_in_src</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_c_counter_3_in_src</ipxact:displayName> + <ipxact:value>m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_c_counter_3_ph_mux_prst" type="int"> + <ipxact:name>cmu_fpll_pll_c_counter_3_ph_mux_prst</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_c_counter_3_ph_mux_prst</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_c_counter_3_prst" type="int"> + <ipxact:name>cmu_fpll_pll_c_counter_3_prst</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_c_counter_3_prst</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_c_counter_3_coarse_dly" type="string"> + <ipxact:name>cmu_fpll_pll_c_counter_3_coarse_dly</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_c_counter_3_coarse_dly</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_c_counter_3_fine_dly" type="string"> + <ipxact:name>cmu_fpll_pll_c_counter_3_fine_dly</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_c_counter_3_fine_dly</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_core_cali_ref_off" type="string"> + <ipxact:name>cmu_fpll_pll_core_cali_ref_off</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_core_cali_ref_off</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_core_cali_vco_off" type="string"> + <ipxact:name>cmu_fpll_pll_core_cali_vco_off</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_core_cali_vco_off</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_core_vccdreg_fb" type="string"> + <ipxact:name>cmu_fpll_pll_core_vccdreg_fb</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_core_vccdreg_fb</ipxact:displayName> + <ipxact:value>vreg_fb5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_core_vccdreg_fw" type="string"> + <ipxact:name>cmu_fpll_pll_core_vccdreg_fw</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_core_vccdreg_fw</ipxact:displayName> + <ipxact:value>vreg_fw5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_core_vreg0_atbsel" type="string"> + <ipxact:name>cmu_fpll_pll_core_vreg0_atbsel</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_core_vreg0_atbsel</ipxact:displayName> + <ipxact:value>atb_disabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_core_vreg1_atbsel" type="string"> + <ipxact:name>cmu_fpll_pll_core_vreg1_atbsel</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_core_vreg1_atbsel</ipxact:displayName> + <ipxact:value>atb_disabled1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_atb" type="string"> + <ipxact:name>cmu_fpll_pll_atb</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_atb</ipxact:displayName> + <ipxact:value>atb_selectdisable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_cmp_buf_dly" type="string"> + <ipxact:name>cmu_fpll_pll_cmp_buf_dly</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_cmp_buf_dly</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_fbclk_mux_1" type="string"> + <ipxact:name>cmu_fpll_pll_fbclk_mux_1</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_fbclk_mux_1</ipxact:displayName> + <ipxact:value>pll_fbclk_mux_1_glb</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_fbclk_mux_2" type="string"> + <ipxact:name>cmu_fpll_pll_fbclk_mux_2</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_fbclk_mux_2</ipxact:displayName> + <ipxact:value>pll_fbclk_mux_2_m_cnt</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_iqclk_mux_sel" type="string"> + <ipxact:name>cmu_fpll_pll_iqclk_mux_sel</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_iqclk_mux_sel</ipxact:displayName> + <ipxact:value>power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_cp_compensation" type="string"> + <ipxact:name>cmu_fpll_pll_cp_compensation</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_cp_compensation</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_cp_current_setting" type="string"> + <ipxact:name>cmu_fpll_pll_cp_current_setting</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_cp_current_setting</ipxact:displayName> + <ipxact:value>cp_current_setting22</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_cp_testmode" type="string"> + <ipxact:name>cmu_fpll_pll_cp_testmode</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_cp_testmode</ipxact:displayName> + <ipxact:value>cp_normal</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_cp_lf_3rd_pole_freq" type="string"> + <ipxact:name>cmu_fpll_pll_cp_lf_3rd_pole_freq</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_cp_lf_3rd_pole_freq</ipxact:displayName> + <ipxact:value>lf_3rd_pole_setting0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_lf_cbig" type="string"> + <ipxact:name>cmu_fpll_pll_lf_cbig</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_lf_cbig</ipxact:displayName> + <ipxact:value>lf_cbig_setting4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_cp_lf_order" type="string"> + <ipxact:name>cmu_fpll_pll_cp_lf_order</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_cp_lf_order</ipxact:displayName> + <ipxact:value>lf_2nd_order</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_lf_resistance" type="string"> + <ipxact:name>cmu_fpll_pll_lf_resistance</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_lf_resistance</ipxact:displayName> + <ipxact:value>lf_res_setting0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_lf_ripplecap" type="string"> + <ipxact:name>cmu_fpll_pll_lf_ripplecap</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_lf_ripplecap</ipxact:displayName> + <ipxact:value>lf_no_ripple</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_vco_ph0_en" type="string"> + <ipxact:name>cmu_fpll_pll_vco_ph0_en</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_vco_ph0_en</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_vco_ph0_value" type="string"> + <ipxact:name>cmu_fpll_pll_vco_ph0_value</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_vco_ph0_value</ipxact:displayName> + <ipxact:value>pll_vco_ph0_vss</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_vco_ph1_en" type="string"> + <ipxact:name>cmu_fpll_pll_vco_ph1_en</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_vco_ph1_en</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_vco_ph1_value" type="string"> + <ipxact:name>cmu_fpll_pll_vco_ph1_value</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_vco_ph1_value</ipxact:displayName> + <ipxact:value>pll_vco_ph1_vss</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_vco_ph2_en" type="string"> + <ipxact:name>cmu_fpll_pll_vco_ph2_en</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_vco_ph2_en</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_vco_ph2_value" type="string"> + <ipxact:name>cmu_fpll_pll_vco_ph2_value</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_vco_ph2_value</ipxact:displayName> + <ipxact:value>pll_vco_ph2_vss</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_vco_ph3_en" type="string"> + <ipxact:name>cmu_fpll_pll_vco_ph3_en</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_vco_ph3_en</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_vco_ph3_value" type="string"> + <ipxact:name>cmu_fpll_pll_vco_ph3_value</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_vco_ph3_value</ipxact:displayName> + <ipxact:value>pll_vco_ph3_vss</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_dsm_mode" type="string"> + <ipxact:name>cmu_fpll_pll_dsm_mode</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_dsm_mode</ipxact:displayName> + <ipxact:value>dsm_mode_integer</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_dsm_out_sel" type="string"> + <ipxact:name>cmu_fpll_pll_dsm_out_sel</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_dsm_out_sel</ipxact:displayName> + <ipxact:value>pll_dsm_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_dsm_ecn_bypass" type="string"> + <ipxact:name>cmu_fpll_pll_dsm_ecn_bypass</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_dsm_ecn_bypass</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_dsm_ecn_test_en" type="string"> + <ipxact:name>cmu_fpll_pll_dsm_ecn_test_en</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_dsm_ecn_test_en</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_dsm_fractional_division" type="string"> + <ipxact:name>cmu_fpll_pll_dsm_fractional_division</ipxact:name> + <ipxact:displayName>K-fractional division</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_dsm_fractional_value_ready" type="string"> + <ipxact:name>cmu_fpll_pll_dsm_fractional_value_ready</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_dsm_fractional_value_ready</ipxact:displayName> + <ipxact:value>pll_k_ready</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_l_counter_bypass" type="string"> + <ipxact:name>cmu_fpll_pll_l_counter_bypass</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_l_counter_bypass</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_l_counter" type="int"> + <ipxact:name>cmu_fpll_pll_l_counter</ipxact:name> + <ipxact:displayName>L-counter</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_l_counter_enable" type="string"> + <ipxact:name>cmu_fpll_pll_l_counter_enable</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_l_counter_enable</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_lock_fltr_cfg" type="int"> + <ipxact:name>cmu_fpll_pll_lock_fltr_cfg</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_lock_fltr_cfg</ipxact:displayName> + <ipxact:value>25</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_lock_fltr_test" type="string"> + <ipxact:name>cmu_fpll_pll_lock_fltr_test</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_lock_fltr_test</ipxact:displayName> + <ipxact:value>pll_lock_fltr_nrm</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_unlock_fltr_cfg" type="int"> + <ipxact:name>cmu_fpll_pll_unlock_fltr_cfg</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_unlock_fltr_cfg</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_m_counter" type="int"> + <ipxact:name>cmu_fpll_pll_m_counter</ipxact:name> + <ipxact:displayName>M-counter</ipxact:displayName> + <ipxact:value>24</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_m_counter_min_tco_enable" type="string"> + <ipxact:name>cmu_fpll_pll_m_counter_min_tco_enable</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_m_counter_min_tco_enable</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_m_counter_in_src" type="string"> + <ipxact:name>cmu_fpll_pll_m_counter_in_src</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_m_counter_in_src</ipxact:displayName> + <ipxact:value>m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_m_counter_ph_mux_prst" type="int"> + <ipxact:name>cmu_fpll_pll_m_counter_ph_mux_prst</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_m_counter_ph_mux_prst</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_m_counter_prst" type="int"> + <ipxact:name>cmu_fpll_pll_m_counter_prst</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_m_counter_prst</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_m_counter_coarse_dly" type="string"> + <ipxact:name>cmu_fpll_pll_m_counter_coarse_dly</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_m_counter_coarse_dly</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_m_counter_fine_dly" type="string"> + <ipxact:name>cmu_fpll_pll_m_counter_fine_dly</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_m_counter_fine_dly</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_n_counter" type="int"> + <ipxact:name>cmu_fpll_pll_n_counter</ipxact:name> + <ipxact:displayName>N-counter</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_n_counter_coarse_dly" type="string"> + <ipxact:name>cmu_fpll_pll_n_counter_coarse_dly</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_n_counter_coarse_dly</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_n_counter_fine_dly" type="string"> + <ipxact:name>cmu_fpll_pll_n_counter_fine_dly</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_n_counter_fine_dly</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_ref_buf_dly" type="string"> + <ipxact:name>cmu_fpll_pll_ref_buf_dly</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_ref_buf_dly</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_tclk_mux_en" type="string"> + <ipxact:name>cmu_fpll_pll_tclk_mux_en</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_tclk_mux_en</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_tclk_sel" type="string"> + <ipxact:name>cmu_fpll_pll_tclk_sel</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_tclk_sel</ipxact:displayName> + <ipxact:value>pll_tclk_m_src</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_dprio_base_addr" type="int"> + <ipxact:name>cmu_fpll_pll_dprio_base_addr</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_dprio_base_addr</ipxact:displayName> + <ipxact:value>256</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_dprio_broadcast_en" type="string"> + <ipxact:name>cmu_fpll_pll_dprio_broadcast_en</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_dprio_broadcast_en</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_dprio_clk_vreg_boost" type="string"> + <ipxact:name>cmu_fpll_pll_dprio_clk_vreg_boost</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_dprio_clk_vreg_boost</ipxact:displayName> + <ipxact:value>clk_fpll_vreg_no_voltage_boost</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_dprio_cvp_inter_sel" type="string"> + <ipxact:name>cmu_fpll_pll_dprio_cvp_inter_sel</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_dprio_cvp_inter_sel</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_dprio_force_inter_sel" type="string"> + <ipxact:name>cmu_fpll_pll_dprio_force_inter_sel</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_dprio_force_inter_sel</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_dprio_fpll_vreg_boost" type="string"> + <ipxact:name>cmu_fpll_pll_dprio_fpll_vreg_boost</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_dprio_fpll_vreg_boost</ipxact:displayName> + <ipxact:value>fpll_vreg_boost_1_step</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_dprio_fpll_vreg1_boost" type="string"> + <ipxact:name>cmu_fpll_pll_dprio_fpll_vreg1_boost</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_dprio_fpll_vreg1_boost</ipxact:displayName> + <ipxact:value>fpll_vreg1_boost_1_step</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_dprio_power_iso_en" type="string"> + <ipxact:name>cmu_fpll_pll_dprio_power_iso_en</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_dprio_power_iso_en</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_dprio_status_select" type="string"> + <ipxact:name>cmu_fpll_pll_dprio_status_select</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_dprio_status_select</ipxact:displayName> + <ipxact:value>dprio_normal_status</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_extra_csr" type="int"> + <ipxact:name>cmu_fpll_pll_extra_csr</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_extra_csr</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_nreset_invert" type="string"> + <ipxact:name>cmu_fpll_pll_nreset_invert</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_nreset_invert</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_ctrl_override_setting" type="string"> + <ipxact:name>cmu_fpll_pll_ctrl_override_setting</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_ctrl_override_setting</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_enable" type="string"> + <ipxact:name>cmu_fpll_pll_enable</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_enable</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_self_reset" type="string"> + <ipxact:name>cmu_fpll_pll_self_reset</ipxact:name> + <ipxact:displayName>pll_self_reset</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_test_enable" type="string"> + <ipxact:name>cmu_fpll_pll_test_enable</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_test_enable</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_ctrl_plniotri_override" type="string"> + <ipxact:name>cmu_fpll_pll_ctrl_plniotri_override</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_ctrl_plniotri_override</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_vccr_pd_en" type="string"> + <ipxact:name>cmu_fpll_pll_vccr_pd_en</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_vccr_pd_en</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_bw_sel" type="string"> + <ipxact:name>cmu_fpll_bw_sel</ipxact:name> + <ipxact:displayName>cmu_fpll_bw_sel</ipxact:displayName> + <ipxact:value>low</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_compensation_mode" type="string"> + <ipxact:name>cmu_fpll_compensation_mode</ipxact:name> + <ipxact:displayName>cmu_fpll_compensation_mode</ipxact:displayName> + <ipxact:value>direct</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_duty_cycle_0" type="int"> + <ipxact:name>cmu_fpll_duty_cycle_0</ipxact:name> + <ipxact:displayName>cmu_fpll_duty_cycle_0</ipxact:displayName> + <ipxact:value>50</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_duty_cycle_1" type="int"> + <ipxact:name>cmu_fpll_duty_cycle_1</ipxact:name> + <ipxact:displayName>cmu_fpll_duty_cycle_1</ipxact:displayName> + <ipxact:value>50</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_duty_cycle_2" type="int"> + <ipxact:name>cmu_fpll_duty_cycle_2</ipxact:name> + <ipxact:displayName>cmu_fpll_duty_cycle_2</ipxact:displayName> + <ipxact:value>50</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_duty_cycle_3" type="int"> + <ipxact:name>cmu_fpll_duty_cycle_3</ipxact:name> + <ipxact:displayName>cmu_fpll_duty_cycle_3</ipxact:displayName> + <ipxact:value>50</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_hssi_output_clock_frequency" type="string"> + <ipxact:name>cmu_fpll_hssi_output_clock_frequency</ipxact:name> + <ipxact:displayName>cmu_fpll_hssi_output_clock_frequency</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_is_cascaded_pll" type="string"> + <ipxact:name>cmu_fpll_is_cascaded_pll</ipxact:name> + <ipxact:displayName>cmu_fpll_is_cascaded_pll</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_output_clock_frequency_0" type="string"> + <ipxact:name>cmu_fpll_output_clock_frequency_0</ipxact:name> + <ipxact:displayName>cmu_fpll_output_clock_frequency_0</ipxact:displayName> + <ipxact:value>20.0 MHz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_output_clock_frequency_1" type="string"> + <ipxact:name>cmu_fpll_output_clock_frequency_1</ipxact:name> + <ipxact:displayName>cmu_fpll_output_clock_frequency_1</ipxact:displayName> + <ipxact:value>50.0 MHz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_output_clock_frequency_2" type="string"> + <ipxact:name>cmu_fpll_output_clock_frequency_2</ipxact:name> + <ipxact:displayName>cmu_fpll_output_clock_frequency_2</ipxact:displayName> + <ipxact:value>100.0 MHz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_output_clock_frequency_3" type="string"> + <ipxact:name>cmu_fpll_output_clock_frequency_3</ipxact:name> + <ipxact:displayName>cmu_fpll_output_clock_frequency_3</ipxact:displayName> + <ipxact:value>125.0 MHz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_phase_shift_0" type="string"> + <ipxact:name>cmu_fpll_phase_shift_0</ipxact:name> + <ipxact:displayName>cmu_fpll_phase_shift_0</ipxact:displayName> + <ipxact:value>0.0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_phase_shift_1" type="string"> + <ipxact:name>cmu_fpll_phase_shift_1</ipxact:name> + <ipxact:displayName>cmu_fpll_phase_shift_1</ipxact:displayName> + <ipxact:value>0.0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_phase_shift_2" type="string"> + <ipxact:name>cmu_fpll_phase_shift_2</ipxact:name> + <ipxact:displayName>cmu_fpll_phase_shift_2</ipxact:displayName> + <ipxact:value>0.0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_phase_shift_3" type="string"> + <ipxact:name>cmu_fpll_phase_shift_3</ipxact:name> + <ipxact:displayName>cmu_fpll_phase_shift_3</ipxact:displayName> + <ipxact:value>0.0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_reference_clock_frequency" type="string"> + <ipxact:name>cmu_fpll_reference_clock_frequency</ipxact:name> + <ipxact:displayName>cmu_fpll_reference_clock_frequency</ipxact:displayName> + <ipxact:value>125.0 MHz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_vco_frequency" type="string"> + <ipxact:name>cmu_fpll_vco_frequency</ipxact:name> + <ipxact:displayName>VCO Frequency</ipxact:displayName> + <ipxact:value>6000.0 MHz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_cgb_div" type="int"> + <ipxact:name>cmu_fpll_cgb_div</ipxact:name> + <ipxact:displayName>cmu_fpll_cgb_div</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pma_width" type="int"> + <ipxact:name>cmu_fpll_pma_width</ipxact:name> + <ipxact:displayName>cmu_fpll_pma_width</ipxact:displayName> + <ipxact:value>64</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_f_out_c3_hz" type="string"> + <ipxact:name>cmu_fpll_f_out_c3_hz</ipxact:name> + <ipxact:displayName>cmu_fpll_f_out_c3_hz</ipxact:displayName> + <ipxact:value>0 hz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_f_out_c1_hz" type="string"> + <ipxact:name>cmu_fpll_f_out_c1_hz</ipxact:name> + <ipxact:displayName>cmu_fpll_f_out_c1_hz</ipxact:displayName> + <ipxact:value>0 hz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_f_out_c0_hz" type="string"> + <ipxact:name>cmu_fpll_f_out_c0_hz</ipxact:name> + <ipxact:displayName>cmu_fpll_f_out_c0_hz</ipxact:displayName> + <ipxact:value>0 hz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_f_out_c2_hz" type="string"> + <ipxact:name>cmu_fpll_f_out_c2_hz</ipxact:name> + <ipxact:displayName>cmu_fpll_f_out_c2_hz</ipxact:displayName> + <ipxact:value>0 hz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_f_out_c3" type="string"> + <ipxact:name>cmu_fpll_f_out_c3</ipxact:name> + <ipxact:displayName>cmu_fpll_f_out_c3</ipxact:displayName> + <ipxact:value>000000000111011100110101100101000000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_f_out_c1" type="string"> + <ipxact:name>cmu_fpll_f_out_c1</ipxact:name> + <ipxact:displayName>cmu_fpll_f_out_c1</ipxact:displayName> + <ipxact:value>000000000010111110101111000010000000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_f_out_c0" type="string"> + <ipxact:name>cmu_fpll_f_out_c0</ipxact:name> + <ipxact:displayName>cmu_fpll_f_out_c0</ipxact:displayName> + <ipxact:value>000000000001001100010010110100000000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_f_out_c2" type="string"> + <ipxact:name>cmu_fpll_f_out_c2</ipxact:name> + <ipxact:displayName>cmu_fpll_f_out_c2</ipxact:displayName> + <ipxact:value>000000000101111101011110000100000000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_output_tolerance" type="int"> + <ipxact:name>cmu_fpll_output_tolerance</ipxact:name> + <ipxact:displayName>cmu_fpll_output_tolerance</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_f_min_band_9" type="string"> + <ipxact:name>cmu_fpll_f_min_band_9</ipxact:name> + <ipxact:displayName>cmu_fpll_f_min_band_9</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_initial_settings" type="string"> + <ipxact:name>cmu_fpll_initial_settings</ipxact:name> + <ipxact:displayName>cmu_fpll_initial_settings</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_f_max_band_9" type="string"> + <ipxact:name>cmu_fpll_f_max_band_9</ipxact:name> + <ipxact:displayName>cmu_fpll_f_max_band_9</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_analog_mode" type="string"> + <ipxact:name>cmu_fpll_analog_mode</ipxact:name> + <ipxact:displayName>cmu_fpll_analog_mode</ipxact:displayName> + <ipxact:value>user_custom</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_min_fractional_percentage" type="int"> + <ipxact:name>cmu_fpll_min_fractional_percentage</ipxact:name> + <ipxact:displayName>cmu_fpll_min_fractional_percentage</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_max_fractional_percentage" type="int"> + <ipxact:name>cmu_fpll_max_fractional_percentage</ipxact:name> + <ipxact:displayName>cmu_fpll_max_fractional_percentage</ipxact:displayName> + <ipxact:value>99</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_f_max_vco_fractional" type="string"> + <ipxact:name>cmu_fpll_f_max_vco_fractional</ipxact:name> + <ipxact:displayName>cmu_fpll_f_max_vco_fractional</ipxact:displayName> + <ipxact:value>14025000000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_input_tolerance" type="int"> + <ipxact:name>cmu_fpll_input_tolerance</ipxact:name> + <ipxact:displayName>cmu_fpll_input_tolerance</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_m_counter_c2" type="int"> + <ipxact:name>cmu_fpll_m_counter_c2</ipxact:name> + <ipxact:displayName>cmu_fpll_m_counter_c2</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_m_counter_c3" type="int"> + <ipxact:name>cmu_fpll_m_counter_c3</ipxact:name> + <ipxact:displayName>cmu_fpll_m_counter_c3</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_m_counter_c0" type="int"> + <ipxact:name>cmu_fpll_m_counter_c0</ipxact:name> + <ipxact:displayName>cmu_fpll_m_counter_c0</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_m_counter_c1" type="int"> + <ipxact:name>cmu_fpll_m_counter_c1</ipxact:name> + <ipxact:displayName>cmu_fpll_m_counter_c1</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pfd_freq" type="string"> + <ipxact:name>cmu_fpll_pfd_freq</ipxact:name> + <ipxact:displayName>cmu_fpll_pfd_freq</ipxact:displayName> + <ipxact:value>000000000111011100110101100101000000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_f_max_pfd_fractional" type="string"> + <ipxact:name>cmu_fpll_f_max_pfd_fractional</ipxact:name> + <ipxact:displayName>cmu_fpll_f_max_pfd_fractional</ipxact:displayName> + <ipxact:value>800000000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_vco_freq_band_0_fix_high" type="string"> + <ipxact:name>cmu_fpll_pll_vco_freq_band_0_fix_high</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_vco_freq_band_0_fix_high</ipxact:displayName> + <ipxact:value>pll_vco_freq_band_0_fix_high_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_vco_freq_band_1_fix_high" type="string"> + <ipxact:name>cmu_fpll_pll_vco_freq_band_1_fix_high</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_vco_freq_band_1_fix_high</ipxact:displayName> + <ipxact:value>pll_vco_freq_band_1_fix_high_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_xpm_cmu_fpll_core_cal_vco_count_length" type="string"> + <ipxact:name>cmu_fpll_xpm_cmu_fpll_core_cal_vco_count_length</ipxact:name> + <ipxact:displayName>cmu_fpll_xpm_cmu_fpll_core_cal_vco_count_length</ipxact:displayName> + <ipxact:value>sel_8b_count</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_xpm_cmu_fpll_core_pfd_pulse_width" type="string"> + <ipxact:name>cmu_fpll_xpm_cmu_fpll_core_pfd_pulse_width</ipxact:name> + <ipxact:displayName>cmu_fpll_xpm_cmu_fpll_core_pfd_pulse_width</ipxact:displayName> + <ipxact:value>pulse_width_setting0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_xpm_cmu_fpll_core_fpll_vco_div_by_2_sel" type="string"> + <ipxact:name>cmu_fpll_xpm_cmu_fpll_core_fpll_vco_div_by_2_sel</ipxact:name> + <ipxact:displayName>cmu_fpll_xpm_cmu_fpll_core_fpll_vco_div_by_2_sel</ipxact:displayName> + <ipxact:value>bypass_divide_by_2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_vco_freq_band_1_dyn_high_bits" type="int"> + <ipxact:name>cmu_fpll_pll_vco_freq_band_1_dyn_high_bits</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_vco_freq_band_1_dyn_high_bits</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_set_fpll_input_freq_range" type="int"> + <ipxact:name>cmu_fpll_set_fpll_input_freq_range</ipxact:name> + <ipxact:displayName>cmu_fpll_set_fpll_input_freq_range</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_f_max_pfd_integer" type="string"> + <ipxact:name>cmu_fpll_f_max_pfd_integer</ipxact:name> + <ipxact:displayName>cmu_fpll_f_max_pfd_integer</ipxact:displayName> + <ipxact:value>800000000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_vco_freq_band_0_fix" type="int"> + <ipxact:name>cmu_fpll_pll_vco_freq_band_0_fix</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_vco_freq_band_0_fix</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_f_max_pfd_bonded" type="string"> + <ipxact:name>cmu_fpll_f_max_pfd_bonded</ipxact:name> + <ipxact:displayName>cmu_fpll_f_max_pfd_bonded</ipxact:displayName> + <ipxact:value>600000000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_vco_freq_band_0_dyn_high_bits" type="int"> + <ipxact:name>cmu_fpll_pll_vco_freq_band_0_dyn_high_bits</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_vco_freq_band_0_dyn_high_bits</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_vco_freq_band_1_fix" type="int"> + <ipxact:name>cmu_fpll_pll_vco_freq_band_1_fix</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_vco_freq_band_1_fix</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_xpm_cmu_fpll_core_xpm_cpvco_fpll_xpm_chgpmplf_fpll_cp_current_boost" type="string"> + <ipxact:name>cmu_fpll_xpm_cmu_fpll_core_xpm_cpvco_fpll_xpm_chgpmplf_fpll_cp_current_boost</ipxact:name> + <ipxact:displayName>cmu_fpll_xpm_cmu_fpll_core_xpm_cpvco_fpll_xpm_chgpmplf_fpll_cp_current_boost</ipxact:displayName> + <ipxact:value>normal_setting</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_xpm_cmu_fpll_core_fpll_refclk_source" type="string"> + <ipxact:name>cmu_fpll_xpm_cmu_fpll_core_fpll_refclk_source</ipxact:name> + <ipxact:displayName>cmu_fpll_xpm_cmu_fpll_core_fpll_refclk_source</ipxact:displayName> + <ipxact:value>normal_refclk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_fpll_cal_test_sel" type="string"> + <ipxact:name>cmu_fpll_fpll_cal_test_sel</ipxact:name> + <ipxact:displayName>cmu_fpll_fpll_cal_test_sel</ipxact:displayName> + <ipxact:value>sel_cal_out_7_to_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_vco_freq_band_0_dyn_low_bits" type="int"> + <ipxact:name>cmu_fpll_pll_vco_freq_band_0_dyn_low_bits</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_vco_freq_band_0_dyn_low_bits</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_f_max_div_two_bypass" type="string"> + <ipxact:name>cmu_fpll_f_max_div_two_bypass</ipxact:name> + <ipxact:displayName>cmu_fpll_f_max_div_two_bypass</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_xpm_cmu_fpll_core_pfd_delay_compensation" type="string"> + <ipxact:name>cmu_fpll_xpm_cmu_fpll_core_pfd_delay_compensation</ipxact:name> + <ipxact:displayName>cmu_fpll_xpm_cmu_fpll_core_pfd_delay_compensation</ipxact:displayName> + <ipxact:value>normal_delay</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_vco_freq_band_1_dyn_low_bits" type="int"> + <ipxact:name>cmu_fpll_pll_vco_freq_band_1_dyn_low_bits</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_vco_freq_band_1_dyn_low_bits</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_enable_idle_fpll_support" type="string"> + <ipxact:name>cmu_fpll_enable_idle_fpll_support</ipxact:name> + <ipxact:displayName>cmu_fpll_enable_idle_fpll_support</ipxact:displayName> + <ipxact:value>idle_none</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_pll_clk_sel_override" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_pll_clk_sel_override</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_pll_clk_sel_override</ipxact:displayName> + <ipxact:value>normal</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_pll_clk_sel_override_value" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_pll_clk_sel_override_value</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_pll_clk_sel_override_value</ipxact:displayName> + <ipxact:value>select_clk0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_pll_clkin_0_scratch0_src" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_pll_clkin_0_scratch0_src</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_pll_clkin_0_scratch0_src</ipxact:displayName> + <ipxact:value>pll_clkin_0_scratch0_src_vss</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_pll_clkin_0_scratch1_src" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_pll_clkin_0_scratch1_src</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_pll_clkin_0_scratch1_src</ipxact:displayName> + <ipxact:value>pll_clkin_0_scratch1_src_vss</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_pll_clkin_0_scratch2_src" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_pll_clkin_0_scratch2_src</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_pll_clkin_0_scratch2_src</ipxact:displayName> + <ipxact:value>pll_clkin_0_scratch2_src_vss</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_pll_clkin_0_scratch3_src" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_pll_clkin_0_scratch3_src</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_pll_clkin_0_scratch3_src</ipxact:displayName> + <ipxact:value>pll_clkin_0_scratch3_src_vss</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_pll_clkin_0_scratch4_src" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_pll_clkin_0_scratch4_src</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_pll_clkin_0_scratch4_src</ipxact:displayName> + <ipxact:value>pll_clkin_0_scratch4_src_vss</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_pll_clkin_1_scratch0_src" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_pll_clkin_1_scratch0_src</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_pll_clkin_1_scratch0_src</ipxact:displayName> + <ipxact:value>pll_clkin_1_scratch0_src_vss</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_pll_clkin_1_scratch1_src" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_pll_clkin_1_scratch1_src</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_pll_clkin_1_scratch1_src</ipxact:displayName> + <ipxact:value>pll_clkin_1_scratch1_src_vss</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_pll_clkin_1_scratch2_src" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_pll_clkin_1_scratch2_src</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_pll_clkin_1_scratch2_src</ipxact:displayName> + <ipxact:value>pll_clkin_1_scratch2_src_vss</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_pll_clkin_1_scratch3_src" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_pll_clkin_1_scratch3_src</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_pll_clkin_1_scratch3_src</ipxact:displayName> + <ipxact:value>pll_clkin_1_scratch3_src_vss</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_pll_clkin_1_scratch4_src" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_pll_clkin_1_scratch4_src</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_pll_clkin_1_scratch4_src</ipxact:displayName> + <ipxact:value>pll_clkin_1_scratch4_src_vss</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_pll_powerdown_mode" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_pll_powerdown_mode</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_pll_powerdown_mode</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_pll_sup_mode" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_pll_sup_mode</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_pll_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_pll_clkin_0_src" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_pll_clkin_0_src</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_pll_clkin_0_src</ipxact:displayName> + <ipxact:value>pll_clkin_0_src_vss</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_pll_clkin_1_src" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_pll_clkin_1_src</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_pll_clkin_1_src</ipxact:displayName> + <ipxact:value>pll_clkin_1_src_vss</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_pll_auto_clk_sw_en" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_pll_auto_clk_sw_en</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_pll_auto_clk_sw_en</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_pll_clk_loss_edge" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_pll_clk_loss_edge</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_pll_clk_loss_edge</ipxact:displayName> + <ipxact:value>pll_clk_loss_both_edges</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_pll_clk_loss_sw_en" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_pll_clk_loss_sw_en</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_pll_clk_loss_sw_en</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_pll_clk_sw_dly" type="int"> + <ipxact:name>cmu_fpll_refclk_select_mux_pll_clk_sw_dly</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_pll_clk_sw_dly</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_pll_manu_clk_sw_en" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_pll_manu_clk_sw_en</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_pll_manu_clk_sw_en</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_pll_sw_refclk_src" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_pll_sw_refclk_src</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_pll_sw_refclk_src</ipxact:displayName> + <ipxact:value>pll_sw_refclk_src_clk_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_xpm_iqref_mux0_iqclk_sel" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_xpm_iqref_mux0_iqclk_sel</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_xpm_iqref_mux0_iqclk_sel</ipxact:displayName> + <ipxact:value>power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_xpm_iqref_mux0_scratch0_src" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_xpm_iqref_mux0_scratch0_src</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_xpm_iqref_mux0_scratch0_src</ipxact:displayName> + <ipxact:value>scratch0_power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_xpm_iqref_mux0_scratch1_src" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_xpm_iqref_mux0_scratch1_src</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_xpm_iqref_mux0_scratch1_src</ipxact:displayName> + <ipxact:value>scratch1_power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_xpm_iqref_mux0_scratch2_src" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_xpm_iqref_mux0_scratch2_src</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_xpm_iqref_mux0_scratch2_src</ipxact:displayName> + <ipxact:value>scratch2_power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_xpm_iqref_mux0_scratch3_src" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_xpm_iqref_mux0_scratch3_src</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_xpm_iqref_mux0_scratch3_src</ipxact:displayName> + <ipxact:value>scratch3_power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_xpm_iqref_mux0_scratch4_src" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_xpm_iqref_mux0_scratch4_src</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_xpm_iqref_mux0_scratch4_src</ipxact:displayName> + <ipxact:value>scratch4_power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_xpm_iqref_mux1_iqclk_sel" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_xpm_iqref_mux1_iqclk_sel</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_xpm_iqref_mux1_iqclk_sel</ipxact:displayName> + <ipxact:value>power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_xpm_iqref_mux1_scratch0_src" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_xpm_iqref_mux1_scratch0_src</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_xpm_iqref_mux1_scratch0_src</ipxact:displayName> + <ipxact:value>scratch0_power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_xpm_iqref_mux1_scratch1_src" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_xpm_iqref_mux1_scratch1_src</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_xpm_iqref_mux1_scratch1_src</ipxact:displayName> + <ipxact:value>scratch1_power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_xpm_iqref_mux1_scratch2_src" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_xpm_iqref_mux1_scratch2_src</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_xpm_iqref_mux1_scratch2_src</ipxact:displayName> + <ipxact:value>scratch2_power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_xpm_iqref_mux1_scratch3_src" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_xpm_iqref_mux1_scratch3_src</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_xpm_iqref_mux1_scratch3_src</ipxact:displayName> + <ipxact:value>scratch3_power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_xpm_iqref_mux1_scratch4_src" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_xpm_iqref_mux1_scratch4_src</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_xpm_iqref_mux1_scratch4_src</ipxact:displayName> + <ipxact:value>scratch4_power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_silicon_rev" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_silicon_rev</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_refclk_select0" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_refclk_select0</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_refclk_select0</ipxact:displayName> + <ipxact:value>lvpecl</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_refclk_select1" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_refclk_select1</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_refclk_select1</ipxact:displayName> + <ipxact:value>ref_iqclk0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_mux0_inclk0_logical_to_physical_mapping" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_mux0_inclk0_logical_to_physical_mapping</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_mux0_inclk0_logical_to_physical_mapping</ipxact:displayName> + <ipxact:value>lvpecl</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_mux0_inclk1_logical_to_physical_mapping" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_mux0_inclk1_logical_to_physical_mapping</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_mux0_inclk1_logical_to_physical_mapping</ipxact:displayName> + <ipxact:value>power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_mux0_inclk2_logical_to_physical_mapping" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_mux0_inclk2_logical_to_physical_mapping</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_mux0_inclk2_logical_to_physical_mapping</ipxact:displayName> + <ipxact:value>power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_mux0_inclk3_logical_to_physical_mapping" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_mux0_inclk3_logical_to_physical_mapping</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_mux0_inclk3_logical_to_physical_mapping</ipxact:displayName> + <ipxact:value>power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_mux0_inclk4_logical_to_physical_mapping" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_mux0_inclk4_logical_to_physical_mapping</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_mux0_inclk4_logical_to_physical_mapping</ipxact:displayName> + <ipxact:value>power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_mux1_inclk0_logical_to_physical_mapping" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_mux1_inclk0_logical_to_physical_mapping</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_mux1_inclk0_logical_to_physical_mapping</ipxact:displayName> + <ipxact:value>lvpecl</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_mux1_inclk1_logical_to_physical_mapping" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_mux1_inclk1_logical_to_physical_mapping</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_mux1_inclk1_logical_to_physical_mapping</ipxact:displayName> + <ipxact:value>power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_mux1_inclk2_logical_to_physical_mapping" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_mux1_inclk2_logical_to_physical_mapping</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_mux1_inclk2_logical_to_physical_mapping</ipxact:displayName> + <ipxact:value>power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_mux1_inclk3_logical_to_physical_mapping" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_mux1_inclk3_logical_to_physical_mapping</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_mux1_inclk3_logical_to_physical_mapping</ipxact:displayName> + <ipxact:value>power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_mux1_inclk4_logical_to_physical_mapping" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_mux1_inclk4_logical_to_physical_mapping</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_mux1_inclk4_logical_to_physical_mapping</ipxact:displayName> + <ipxact:value>power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_pll_set_hssi_m_counter" type="int"> + <ipxact:name>gui_pll_set_hssi_m_counter</ipxact:name> + <ipxact:displayName>Multiply factor (M-counter)</ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_pll_set_hssi_n_counter" type="int"> + <ipxact:name>gui_pll_set_hssi_n_counter</ipxact:name> + <ipxact:displayName>Divide factor (N-counter)</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_pll_set_hssi_l_counter" type="int"> + <ipxact:name>gui_pll_set_hssi_l_counter</ipxact:name> + <ipxact:displayName>Divide factor (L-counter)</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_pll_set_hssi_k_counter" type="longint"> + <ipxact:name>gui_pll_set_hssi_k_counter</ipxact:name> + <ipxact:displayName>Divide factor (K-counter)</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="device_family" type="string"> + <ipxact:name>device_family</ipxact:name> + <ipxact:displayName>Device Family</ipxact:displayName> + <ipxact:value>Arria 10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="device" type="string"> + <ipxact:name>device</ipxact:name> + <ipxact:displayName>Device</ipxact:displayName> + <ipxact:value>10AX115U3F45E2SG</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="base_device" type="string"> + <ipxact:name>base_device</ipxact:name> + <ipxact:displayName>Device</ipxact:displayName> + <ipxact:value>NIGHTFURY5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="device_revision" type="string"> + <ipxact:name>device_revision</ipxact:name> + <ipxact:displayName>device_revision</ipxact:displayName> + <ipxact:value>20nm5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="device_speed_grade" type="string"> + <ipxact:name>device_speed_grade</ipxact:name> + <ipxact:displayName>Speed grade</ipxact:displayName> + <ipxact:value>e3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="numeric_speed_grade" type="int"> + <ipxact:name>numeric_speed_grade</ipxact:name> + <ipxact:displayName>Speed grade</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_fpll_mode" type="string"> + <ipxact:name>gui_fpll_mode</ipxact:name> + <ipxact:displayName>FPLL Mode</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="primary_use" type="string"> + <ipxact:name>primary_use</ipxact:name> + <ipxact:displayName>FPLL Mode</ipxact:displayName> + <ipxact:value>core</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_hssi_prot_mode" type="string"> + <ipxact:name>gui_hssi_prot_mode</ipxact:name> + <ipxact:displayName>Protocol mode</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prot_mode" type="string"> + <ipxact:name>prot_mode</ipxact:name> + <ipxact:displayName>Protocol mode</ipxact:displayName> + <ipxact:value>basic_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_refclk_switch" type="bit"> + <ipxact:name>gui_refclk_switch</ipxact:name> + <ipxact:displayName>Create a second input clock 'pll_refclk1'</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_refclk1_frequency" type="real"> + <ipxact:name>gui_refclk1_frequency</ipxact:name> + <ipxact:displayName>Second Reference Clock Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_switchover_mode" type="string"> + <ipxact:name>gui_switchover_mode</ipxact:name> + <ipxact:displayName>Switchover Mode</ipxact:displayName> + <ipxact:value>Automatic Switchover</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_switchover_delay" type="int"> + <ipxact:name>gui_switchover_delay</ipxact:name> + <ipxact:displayName>Switchover Delays</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_enable_active_clk" type="bit"> + <ipxact:name>gui_enable_active_clk</ipxact:name> + <ipxact:displayName>Create an 'active_clk' signal to indicate the input clock in use</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_enable_clk_bad" type="bit"> + <ipxact:name>gui_enable_clk_bad</ipxact:name> + <ipxact:displayName>Create a 'clkbad' signal for each of the input clocks</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_enable_extswitch" type="bit"> + <ipxact:name>gui_enable_extswitch</ipxact:name> + <ipxact:displayName>gui_enable_extswitch</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_advanced_options" type="int"> + <ipxact:name>enable_advanced_options</ipxact:name> + <ipxact:displayName>enable_advanced_options</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_hip_options" type="int"> + <ipxact:name>enable_hip_options</ipxact:name> + <ipxact:displayName>enable_hip_options</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="generate_docs" type="int"> + <ipxact:name>generate_docs</ipxact:name> + <ipxact:displayName>Generate parameter documentation file</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="generate_add_hdl_instance_example" type="int"> + <ipxact:name>generate_add_hdl_instance_example</ipxact:name> + <ipxact:displayName>Generate '_hw.tcl' 'add_hdl_instance' example file</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_bw_sel" type="string"> + <ipxact:name>gui_bw_sel</ipxact:name> + <ipxact:displayName>Bandwidth</ipxact:displayName> + <ipxact:value>low</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="temp_bw_sel" type="string"> + <ipxact:name>temp_bw_sel</ipxact:name> + <ipxact:displayName>Bandwidth</ipxact:displayName> + <ipxact:value>low</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_cp_lf_3rd_pole_freq" type="string"> + <ipxact:name>pll_cp_lf_3rd_pole_freq</ipxact:name> + <ipxact:displayName>pll_cp_lf_3rd_pole_freq</ipxact:displayName> + <ipxact:value>lf_3rd_pole_setting0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_self_reset_enabled" type="bit"> + <ipxact:name>gui_self_reset_enabled</ipxact:name> + <ipxact:displayName>PLL Auto Reset</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_enable_low_f_support" type="bit"> + <ipxact:name>gui_enable_low_f_support</ipxact:name> + <ipxact:displayName>Enable expanded reference clock range for low output frequency support</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_is_downstream_cascaded_pll" type="bit"> + <ipxact:name>gui_is_downstream_cascaded_pll</ipxact:name> + <ipxact:displayName>Enable downstream cascaded pll</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_enable_50G_support" type="bit"> + <ipxact:name>gui_enable_50G_support</ipxact:name> + <ipxact:displayName>Enable support for 50G solution</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="silicon_rev" type="bit"> + <ipxact:name>silicon_rev</ipxact:name> + <ipxact:displayName>Silicon revision ES</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_silicon_rev" type="string"> + <ipxact:name>gui_silicon_rev</ipxact:name> + <ipxact:displayName>gui_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_reference_clock_frequency" type="real"> + <ipxact:name>gui_reference_clock_frequency</ipxact:name> + <ipxact:displayName>Reference clock frequency</ipxact:displayName> + <ipxact:value>125.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_desired_refclk_frequency" type="real"> + <ipxact:name>gui_desired_refclk_frequency</ipxact:name> + <ipxact:displayName>Desired reference clock frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_refclk_frequency" type="string"> + <ipxact:name>gui_actual_refclk_frequency</ipxact:name> + <ipxact:displayName>Actual reference clock frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="full_actual_refclk_frequency" type="real"> + <ipxact:name>full_actual_refclk_frequency</ipxact:name> + <ipxact:displayName>Actual reference clock frequency</ipxact:displayName> + <ipxact:value>94.33962264150944</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="reference_clock_frequency" type="string"> + <ipxact:name>reference_clock_frequency</ipxact:name> + <ipxact:displayName>Reference clock frequency</ipxact:displayName> + <ipxact:value>125.0 MHz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_operation_mode" type="string"> + <ipxact:name>gui_operation_mode</ipxact:name> + <ipxact:displayName>Operation mode</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="compensation_mode" type="string"> + <ipxact:name>compensation_mode</ipxact:name> + <ipxact:displayName>Operation mode</ipxact:displayName> + <ipxact:value>direct</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="feedback" type="string"> + <ipxact:name>feedback</ipxact:name> + <ipxact:displayName>Operation mode</ipxact:displayName> + <ipxact:value>normal</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_enable_iqtxrxclk_mode" type="bit"> + <ipxact:name>gui_enable_iqtxrxclk_mode</ipxact:name> + <ipxact:displayName>Operation mode for IQTXRXCLK</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_iqtxrxclk_outclk_index" type="string"> + <ipxact:name>gui_iqtxrxclk_outclk_index</ipxact:name> + <ipxact:displayName>Specifies which core outclk to be used as feedback source</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_refclk_cnt" type="int"> + <ipxact:name>gui_refclk_cnt</ipxact:name> + <ipxact:displayName>Number of PLL reference clocks</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_refclk_index" type="int"> + <ipxact:name>gui_refclk_index</ipxact:name> + <ipxact:displayName>Selected reference clock source</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_enable_fractional" type="bit"> + <ipxact:name>gui_enable_fractional</ipxact:name> + <ipxact:displayName>Enable fractional mode</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_enable_manual_hssi_counters" type="bit"> + <ipxact:name>gui_enable_manual_hssi_counters</ipxact:name> + <ipxact:displayName>Enable manual counter configuration</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_cascade_in" type="int"> + <ipxact:name>enable_cascade_in</ipxact:name> + <ipxact:displayName>Enable ATX to FPLL cascade clock input port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_analog_resets" type="int"> + <ipxact:name>enable_analog_resets</ipxact:name> + <ipxact:displayName>Enable pll_powerdown and mcgb_rst connections</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_enable_pld_cal_busy_port" type="int"> + <ipxact:name>gui_enable_pld_cal_busy_port</ipxact:name> + <ipxact:displayName>enable_pld_fpll_cal_busy_port</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_enable_hip_cal_done_port" type="int"> + <ipxact:name>gui_enable_hip_cal_done_port</ipxact:name> + <ipxact:displayName>Enable calibration status ports for HIP</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_hip_cal_en" type="int"> + <ipxact:name>gui_hip_cal_en</ipxact:name> + <ipxact:displayName>Enable PCIe hard IP calibration</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hip_cal_en" type="string"> + <ipxact:name>hip_cal_en</ipxact:name> + <ipxact:displayName>hip_cal_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_enable_cascade_out" type="bit"> + <ipxact:name>gui_enable_cascade_out</ipxact:name> + <ipxact:displayName>Enable cascade clock output port (FPLL to FPLL cascading)</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_cascade_outclk_index" type="string"> + <ipxact:name>gui_cascade_outclk_index</ipxact:name> + <ipxact:displayName>Specifies which core outclk to be used as cascading source</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_enable_dps" type="bit"> + <ipxact:name>gui_enable_dps</ipxact:name> + <ipxact:displayName>Enable access to dynamic phase shift ports</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_enable_manual_config" type="bit"> + <ipxact:name>gui_enable_manual_config</ipxact:name> + <ipxact:displayName>Enable physical output clock parameters</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_hssi_calc_output_clock_frequency" type="real"> + <ipxact:name>gui_hssi_calc_output_clock_frequency</ipxact:name> + <ipxact:displayName>PLL output frequency</ipxact:displayName> + <ipxact:value>1250.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_hssi_output_clock_frequency" type="real"> + <ipxact:name>gui_hssi_output_clock_frequency</ipxact:name> + <ipxact:displayName>PLL output frequency</ipxact:displayName> + <ipxact:value>1250.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_output_clock_frequency" type="string"> + <ipxact:name>hssi_output_clock_frequency</ipxact:name> + <ipxact:displayName>PLL output frequency</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_pll_datarate" type="real"> + <ipxact:name>gui_pll_datarate</ipxact:name> + <ipxact:displayName>PLL Datarate</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_datarate" type="string"> + <ipxact:name>pll_datarate</ipxact:name> + <ipxact:displayName>PLL Datarate</ipxact:displayName> + <ipxact:value>0 Mbps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_pll_m_counter" type="int"> + <ipxact:name>gui_pll_m_counter</ipxact:name> + <ipxact:displayName>Multiply factor (M-counter)</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_pll_n_counter" type="int"> + <ipxact:name>gui_pll_n_counter</ipxact:name> + <ipxact:displayName>Divide factor (N-counter)</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_fractional_x" type="int"> + <ipxact:name>gui_fractional_x</ipxact:name> + <ipxact:displayName>Fractional factor (x)</ipxact:displayName> + <ipxact:value>32</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_pll_dsm_fractional_division" type="longint"> + <ipxact:name>gui_pll_dsm_fractional_division</ipxact:name> + <ipxact:displayName>Fractional multiply factor (K)</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_fractional_f" type="real"> + <ipxact:name>gui_fractional_f</ipxact:name> + <ipxact:displayName>Fractional factor (F)</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_pll_c_counter_0" type="int"> + <ipxact:name>gui_pll_c_counter_0</ipxact:name> + <ipxact:displayName>Divide factor (C-counter 0)</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_pll_c_counter_1" type="int"> + <ipxact:name>gui_pll_c_counter_1</ipxact:name> + <ipxact:displayName>Divide factor (C-counter 1)</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_pll_c_counter_2" type="int"> + <ipxact:name>gui_pll_c_counter_2</ipxact:name> + <ipxact:displayName>Divide factor (C-counter 2)</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_pll_c_counter_3" type="int"> + <ipxact:name>gui_pll_c_counter_3</ipxact:name> + <ipxact:displayName>Divide factor (C-counter 3)</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_number_of_output_clocks" type="string"> + <ipxact:name>gui_number_of_output_clocks</ipxact:name> + <ipxact:displayName>Number of clocks</ipxact:displayName> + <ipxact:value>4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_enable_phase_alignment" type="bit"> + <ipxact:name>gui_enable_phase_alignment</ipxact:name> + <ipxact:displayName>Enable phase alignment</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="phase_alignment_check_var" type="bit"> + <ipxact:name>phase_alignment_check_var</ipxact:name> + <ipxact:displayName>Core mode phase alignment frequency check variable</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_pfd_frequency" type="string"> + <ipxact:name>gui_pfd_frequency</ipxact:name> + <ipxact:displayName>PFD Frequency</ipxact:displayName> + <ipxact:value>125.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="core_vco_frequency_basic" type="string"> + <ipxact:name>core_vco_frequency_basic</ipxact:name> + <ipxact:displayName>VCO Frequency</ipxact:displayName> + <ipxact:value>6000.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="core_vco_frequency_adv" type="string"> + <ipxact:name>core_vco_frequency_adv</ipxact:name> + <ipxact:displayName>VCO Frequency</ipxact:displayName> + <ipxact:value>300.0 MHz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_vco_frequency" type="string"> + <ipxact:name>hssi_vco_frequency</ipxact:name> + <ipxact:displayName>VCO Frequency</ipxact:displayName> + <ipxact:value>10000.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_cascade_vco_frequency" type="string"> + <ipxact:name>hssi_cascade_vco_frequency</ipxact:name> + <ipxact:displayName>VCO Frequency</ipxact:displayName> + <ipxact:value>300.0 MHz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="vco_frequency" type="string"> + <ipxact:name>vco_frequency</ipxact:name> + <ipxact:displayName>VCO Frequency</ipxact:displayName> + <ipxact:value>6000.0 MHz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="core_pfd_frequency" type="string"> + <ipxact:name>core_pfd_frequency</ipxact:name> + <ipxact:displayName>PFD Frequency</ipxact:displayName> + <ipxact:value>125.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pfd_frequency" type="string"> + <ipxact:name>hssi_pfd_frequency</ipxact:name> + <ipxact:displayName>PFD Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_cascade_pfd_frequency" type="string"> + <ipxact:name>hssi_cascade_pfd_frequency</ipxact:name> + <ipxact:displayName>PFD Frequency</ipxact:displayName> + <ipxact:value>300.0 MHz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pfd_frequency" type="string"> + <ipxact:name>pfd_frequency</ipxact:name> + <ipxact:displayName>PFD Frequency</ipxact:displayName> + <ipxact:value>125.0 MHz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_desired_outclk0_frequency" type="real"> + <ipxact:name>gui_desired_outclk0_frequency</ipxact:name> + <ipxact:displayName>Desired frequency</ipxact:displayName> + <ipxact:value>20.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_outclk0_frequency" type="string"> + <ipxact:name>gui_actual_outclk0_frequency</ipxact:name> + <ipxact:displayName>Actual frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="full_actual_outclk0_frequency" type="string"> + <ipxact:name>full_actual_outclk0_frequency</ipxact:name> + <ipxact:displayName>Actual frequency</ipxact:displayName> + <ipxact:value>20.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="output_clock_frequency_0" type="string"> + <ipxact:name>output_clock_frequency_0</ipxact:name> + <ipxact:displayName>Actual frequency</ipxact:displayName> + <ipxact:value>20.0 MHz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_outclk0_phase_shift_unit" type="string"> + <ipxact:name>gui_outclk0_phase_shift_unit</ipxact:name> + <ipxact:displayName>Phase shift units</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_outclk0_desired_phase_shift" type="real"> + <ipxact:name>gui_outclk0_desired_phase_shift</ipxact:name> + <ipxact:displayName>Phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_outclk0_actual_phase_shift" type="string"> + <ipxact:name>gui_outclk0_actual_phase_shift</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_outclk0_actual_phase_shift_deg" type="string"> + <ipxact:name>gui_outclk0_actual_phase_shift_deg</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="full_outclk0_actual_phase_shift" type="string"> + <ipxact:name>full_outclk0_actual_phase_shift</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="phase_shift_0" type="string"> + <ipxact:name>phase_shift_0</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0.0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_desired_outclk1_frequency" type="real"> + <ipxact:name>gui_desired_outclk1_frequency</ipxact:name> + <ipxact:displayName>Desired frequency</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_outclk1_frequency" type="string"> + <ipxact:name>gui_actual_outclk1_frequency</ipxact:name> + <ipxact:displayName>Actual frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="full_actual_outclk1_frequency" type="string"> + <ipxact:name>full_actual_outclk1_frequency</ipxact:name> + <ipxact:displayName>Actual frequency</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="output_clock_frequency_1" type="string"> + <ipxact:name>output_clock_frequency_1</ipxact:name> + <ipxact:displayName>Actual frequency</ipxact:displayName> + <ipxact:value>50.0 MHz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_outclk1_phase_shift_unit" type="string"> + <ipxact:name>gui_outclk1_phase_shift_unit</ipxact:name> + <ipxact:displayName>Phase shift units</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_outclk1_desired_phase_shift" type="int"> + <ipxact:name>gui_outclk1_desired_phase_shift</ipxact:name> + <ipxact:displayName>Phase shift</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_outclk1_actual_phase_shift" type="string"> + <ipxact:name>gui_outclk1_actual_phase_shift</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_outclk1_actual_phase_shift_deg" type="string"> + <ipxact:name>gui_outclk1_actual_phase_shift_deg</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="full_outclk1_actual_phase_shift" type="string"> + <ipxact:name>full_outclk1_actual_phase_shift</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="phase_shift_1" type="string"> + <ipxact:name>phase_shift_1</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0.0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_desired_outclk2_frequency" type="real"> + <ipxact:name>gui_desired_outclk2_frequency</ipxact:name> + <ipxact:displayName>Desired frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_outclk2_frequency" type="string"> + <ipxact:name>gui_actual_outclk2_frequency</ipxact:name> + <ipxact:displayName>Actual frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="full_actual_outclk2_frequency" type="string"> + <ipxact:name>full_actual_outclk2_frequency</ipxact:name> + <ipxact:displayName>Actual frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="output_clock_frequency_2" type="string"> + <ipxact:name>output_clock_frequency_2</ipxact:name> + <ipxact:displayName>Actual frequency</ipxact:displayName> + <ipxact:value>100.0 MHz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_outclk2_phase_shift_unit" type="string"> + <ipxact:name>gui_outclk2_phase_shift_unit</ipxact:name> + <ipxact:displayName>Phase shift units</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_outclk2_desired_phase_shift" type="int"> + <ipxact:name>gui_outclk2_desired_phase_shift</ipxact:name> + <ipxact:displayName>Phase shift</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_outclk2_actual_phase_shift" type="string"> + <ipxact:name>gui_outclk2_actual_phase_shift</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_outclk2_actual_phase_shift_deg" type="string"> + <ipxact:name>gui_outclk2_actual_phase_shift_deg</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0 deg</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="full_outclk2_actual_phase_shift" type="string"> + <ipxact:name>full_outclk2_actual_phase_shift</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="phase_shift_2" type="string"> + <ipxact:name>phase_shift_2</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0.0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_desired_outclk3_frequency" type="real"> + <ipxact:name>gui_desired_outclk3_frequency</ipxact:name> + <ipxact:displayName>Desired frequency</ipxact:displayName> + <ipxact:value>125.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_outclk3_frequency" type="string"> + <ipxact:name>gui_actual_outclk3_frequency</ipxact:name> + <ipxact:displayName>Actual frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="full_actual_outclk3_frequency" type="string"> + <ipxact:name>full_actual_outclk3_frequency</ipxact:name> + <ipxact:displayName>Actual frequency</ipxact:displayName> + <ipxact:value>125.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="output_clock_frequency_3" type="string"> + <ipxact:name>output_clock_frequency_3</ipxact:name> + <ipxact:displayName>Actual frequency</ipxact:displayName> + <ipxact:value>125.0 MHz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_outclk3_phase_shift_unit" type="string"> + <ipxact:name>gui_outclk3_phase_shift_unit</ipxact:name> + <ipxact:displayName>Phase shift units</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_outclk3_desired_phase_shift" type="int"> + <ipxact:name>gui_outclk3_desired_phase_shift</ipxact:name> + <ipxact:displayName>Phase shift</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_outclk3_actual_phase_shift" type="string"> + <ipxact:name>gui_outclk3_actual_phase_shift</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_outclk3_actual_phase_shift_deg" type="string"> + <ipxact:name>gui_outclk3_actual_phase_shift_deg</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="full_outclk3_actual_phase_shift" type="string"> + <ipxact:name>full_outclk3_actual_phase_shift</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="phase_shift_3" type="string"> + <ipxact:name>phase_shift_3</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0.0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_desired_hssi_cascade_frequency" type="real"> + <ipxact:name>gui_desired_hssi_cascade_frequency</ipxact:name> + <ipxact:displayName>Desired frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="refclk_select0" type="string"> + <ipxact:name>refclk_select0</ipxact:name> + <ipxact:displayName>refclk_select0</ipxact:displayName> + <ipxact:value>lvpecl</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="refclk_select1" type="string"> + <ipxact:name>refclk_select1</ipxact:name> + <ipxact:displayName>refclk_select1</ipxact:displayName> + <ipxact:value>ref_iqclk0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="core_c_counter_0" type="int"> + <ipxact:name>core_c_counter_0</ipxact:name> + <ipxact:displayName>pll_c_counter_0</ipxact:displayName> + <ipxact:value>75</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="core_c_counter_0_in_src" type="string"> + <ipxact:name>core_c_counter_0_in_src</ipxact:name> + <ipxact:displayName>pll_c_counter_0_in_src</ipxact:displayName> + <ipxact:value>m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="core_c_counter_0_ph_mux_prst" type="int"> + <ipxact:name>core_c_counter_0_ph_mux_prst</ipxact:name> + <ipxact:displayName>pll_c_counter_0_ph_mux_prst</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="core_c_counter_0_prst" type="int"> + <ipxact:name>core_c_counter_0_prst</ipxact:name> + <ipxact:displayName>pll_c_counter_0_prst</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="core_c_counter_0_coarse_dly" type="string"> + <ipxact:name>core_c_counter_0_coarse_dly</ipxact:name> + <ipxact:displayName>pll_c_counter_0_coarse_dly</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="core_c_counter_0_fine_dly" type="string"> + <ipxact:name>core_c_counter_0_fine_dly</ipxact:name> + <ipxact:displayName>pll_c_counter_0_fine_dly</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="core_c_counter_1" type="int"> + <ipxact:name>core_c_counter_1</ipxact:name> + <ipxact:displayName>pll_c_counter_1</ipxact:displayName> + <ipxact:value>30</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="core_c_counter_1_in_src" type="string"> + <ipxact:name>core_c_counter_1_in_src</ipxact:name> + <ipxact:displayName>pll_c_counter_1_in_src</ipxact:displayName> + <ipxact:value>m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="core_c_counter_1_ph_mux_prst" type="int"> + <ipxact:name>core_c_counter_1_ph_mux_prst</ipxact:name> + <ipxact:displayName>pll_c_counter_1_ph_mux_prst</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="core_c_counter_1_prst" type="int"> + <ipxact:name>core_c_counter_1_prst</ipxact:name> + <ipxact:displayName>pll_c_counter_1_prst</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="core_c_counter_1_coarse_dly" type="string"> + <ipxact:name>core_c_counter_1_coarse_dly</ipxact:name> + <ipxact:displayName>pll_c_counter_1_coarse_dly</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="core_c_counter_1_fine_dly" type="string"> + <ipxact:name>core_c_counter_1_fine_dly</ipxact:name> + <ipxact:displayName>pll_c_counter_1_fine_dly</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="core_c_counter_2" type="int"> + <ipxact:name>core_c_counter_2</ipxact:name> + <ipxact:displayName>pll_c_counter_2</ipxact:displayName> + <ipxact:value>15</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="core_c_counter_2_in_src" type="string"> + <ipxact:name>core_c_counter_2_in_src</ipxact:name> + <ipxact:displayName>pll_c_counter_2_in_src</ipxact:displayName> + <ipxact:value>m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="core_c_counter_2_ph_mux_prst" type="int"> + <ipxact:name>core_c_counter_2_ph_mux_prst</ipxact:name> + <ipxact:displayName>pll_c_counter_2_ph_mux_prst</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="core_c_counter_2_prst" type="int"> + <ipxact:name>core_c_counter_2_prst</ipxact:name> + <ipxact:displayName>pll_c_counter_2_prst</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="core_c_counter_2_coarse_dly" type="string"> + <ipxact:name>core_c_counter_2_coarse_dly</ipxact:name> + <ipxact:displayName>pll_c_counter_2_coarse_dly</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="core_c_counter_2_fine_dly" type="string"> + <ipxact:name>core_c_counter_2_fine_dly</ipxact:name> + <ipxact:displayName>pll_c_counter_2_fine_dly</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="core_c_counter_3" type="int"> + <ipxact:name>core_c_counter_3</ipxact:name> + <ipxact:displayName>pll_c_counter_3</ipxact:displayName> + <ipxact:value>12</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="core_c_counter_3_in_src" type="string"> + <ipxact:name>core_c_counter_3_in_src</ipxact:name> + <ipxact:displayName>pll_c_counter_3_in_src</ipxact:displayName> + <ipxact:value>m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="core_c_counter_3_ph_mux_prst" type="int"> + <ipxact:name>core_c_counter_3_ph_mux_prst</ipxact:name> + <ipxact:displayName>pll_c_counter_3_ph_mux_prst</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="core_c_counter_3_prst" type="int"> + <ipxact:name>core_c_counter_3_prst</ipxact:name> + <ipxact:displayName>pll_c_counter_3_prst</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="core_c_counter_3_coarse_dly" type="string"> + <ipxact:name>core_c_counter_3_coarse_dly</ipxact:name> + <ipxact:displayName>pll_c_counter_3_coarse_dly</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="core_c_counter_3_fine_dly" type="string"> + <ipxact:name>core_c_counter_3_fine_dly</ipxact:name> + <ipxact:displayName>pll_c_counter_3_fine_dly</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_l_counter" type="int"> + <ipxact:name>hssi_l_counter</ipxact:name> + <ipxact:displayName>pll_c_counter_0</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_l_counter_in_src" type="string"> + <ipxact:name>hssi_l_counter_in_src</ipxact:name> + <ipxact:displayName>pll_c_counter_0_in_src</ipxact:displayName> + <ipxact:value>m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_l_counter_ph_mux_prst" type="int"> + <ipxact:name>hssi_l_counter_ph_mux_prst</ipxact:name> + <ipxact:displayName>pll_c_counter_0_ph_mux_prst</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_l_counter_bypass" type="string"> + <ipxact:name>hssi_l_counter_bypass</ipxact:name> + <ipxact:displayName>pll_l_counter_bypass</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_l_counter_enable" type="string"> + <ipxact:name>hssi_l_counter_enable</ipxact:name> + <ipxact:displayName>pll_l_counter_enable</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pcie_c_counter_0" type="int"> + <ipxact:name>hssi_pcie_c_counter_0</ipxact:name> + <ipxact:displayName>pll_c_counter_0</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pcie_c_counter_0_in_src" type="string"> + <ipxact:name>hssi_pcie_c_counter_0_in_src</ipxact:name> + <ipxact:displayName>pll_c_counter_0_in_src</ipxact:displayName> + <ipxact:value>m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pcie_c_counter_0_ph_mux_prst" type="int"> + <ipxact:name>hssi_pcie_c_counter_0_ph_mux_prst</ipxact:name> + <ipxact:displayName>pll_c_counter_0_ph_mux_prst</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pcie_c_counter_0_prst" type="int"> + <ipxact:name>hssi_pcie_c_counter_0_prst</ipxact:name> + <ipxact:displayName>pll_c_counter_0_prst</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pcie_c_counter_0_coarse_dly" type="string"> + <ipxact:name>hssi_pcie_c_counter_0_coarse_dly</ipxact:name> + <ipxact:displayName>pll_c_counter_0_coarse_dly</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pcie_c_counter_0_fine_dly" type="string"> + <ipxact:name>hssi_pcie_c_counter_0_fine_dly</ipxact:name> + <ipxact:displayName>pll_c_counter_0_fine_dly</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_cascade_c_counter" type="int"> + <ipxact:name>hssi_cascade_c_counter</ipxact:name> + <ipxact:displayName>pll_c_counter_0</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_cascade_c_counter_in_src" type="string"> + <ipxact:name>hssi_cascade_c_counter_in_src</ipxact:name> + <ipxact:displayName>pll_c_counter_0_in_src</ipxact:displayName> + <ipxact:value>m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_cascade_c_counter_ph_mux_prst" type="int"> + <ipxact:name>hssi_cascade_c_counter_ph_mux_prst</ipxact:name> + <ipxact:displayName>pll_c_counter_0_ph_mux_prst</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_cascade_c_counter_prst" type="int"> + <ipxact:name>hssi_cascade_c_counter_prst</ipxact:name> + <ipxact:displayName>pll_c_counter_0_prst</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_cascade_c_counter_coarse_dly" type="string"> + <ipxact:name>hssi_cascade_c_counter_coarse_dly</ipxact:name> + <ipxact:displayName>pll_c_counter_0_coarse_dly</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_cascade_c_counter_fine_dly" type="string"> + <ipxact:name>hssi_cascade_c_counter_fine_dly</ipxact:name> + <ipxact:displayName>pll_c_counter_0_fine_dly</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_m_counter_in_src" type="string"> + <ipxact:name>pll_m_counter_in_src</ipxact:name> + <ipxact:displayName>pll_m_counter_in_src</ipxact:displayName> + <ipxact:value>m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_c_counter_0" type="int"> + <ipxact:name>pll_c_counter_0</ipxact:name> + <ipxact:displayName>pll_c_counter_0</ipxact:displayName> + <ipxact:value>75</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_c_counter_0_in_src" type="string"> + <ipxact:name>pll_c_counter_0_in_src</ipxact:name> + <ipxact:displayName>pll_c_counter_0_in_src</ipxact:displayName> + <ipxact:value>m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_c_counter_0_ph_mux_prst" type="int"> + <ipxact:name>pll_c_counter_0_ph_mux_prst</ipxact:name> + <ipxact:displayName>pll_c_counter_0_ph_mux_prst</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_c_counter_0_prst" type="int"> + <ipxact:name>pll_c_counter_0_prst</ipxact:name> + <ipxact:displayName>pll_c_counter_0_prst</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_c_counter_0_coarse_dly" type="string"> + <ipxact:name>pll_c_counter_0_coarse_dly</ipxact:name> + <ipxact:displayName>pll_c_counter_0_coarse_dly</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_c_counter_0_fine_dly" type="string"> + <ipxact:name>pll_c_counter_0_fine_dly</ipxact:name> + <ipxact:displayName>pll_c_counter_0_fine_dly</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_c_counter_1" type="int"> + <ipxact:name>pll_c_counter_1</ipxact:name> + <ipxact:displayName>pll_c_counter_1</ipxact:displayName> + <ipxact:value>30</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_c_counter_1_in_src" type="string"> + <ipxact:name>pll_c_counter_1_in_src</ipxact:name> + <ipxact:displayName>pll_c_counter_1_in_src</ipxact:displayName> + <ipxact:value>m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_c_counter_1_ph_mux_prst" type="int"> + <ipxact:name>pll_c_counter_1_ph_mux_prst</ipxact:name> + <ipxact:displayName>pll_c_counter_1_ph_mux_prst</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_c_counter_1_prst" type="int"> + <ipxact:name>pll_c_counter_1_prst</ipxact:name> + <ipxact:displayName>pll_c_counter_1_prst</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_c_counter_1_coarse_dly" type="string"> + <ipxact:name>pll_c_counter_1_coarse_dly</ipxact:name> + <ipxact:displayName>pll_c_counter_1_coarse_dly</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_c_counter_1_fine_dly" type="string"> + <ipxact:name>pll_c_counter_1_fine_dly</ipxact:name> + <ipxact:displayName>pll_c_counter_1_fine_dly</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_c_counter_2" type="int"> + <ipxact:name>pll_c_counter_2</ipxact:name> + <ipxact:displayName>pll_c_counter_2</ipxact:displayName> + <ipxact:value>15</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_c_counter_2_in_src" type="string"> + <ipxact:name>pll_c_counter_2_in_src</ipxact:name> + <ipxact:displayName>pll_c_counter_2_in_src</ipxact:displayName> + <ipxact:value>m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_c_counter_2_ph_mux_prst" type="int"> + <ipxact:name>pll_c_counter_2_ph_mux_prst</ipxact:name> + <ipxact:displayName>pll_c_counter_2_ph_mux_prst</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_c_counter_2_prst" type="int"> + <ipxact:name>pll_c_counter_2_prst</ipxact:name> + <ipxact:displayName>pll_c_counter_2_prst</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_c_counter_2_coarse_dly" type="string"> + <ipxact:name>pll_c_counter_2_coarse_dly</ipxact:name> + <ipxact:displayName>pll_c_counter_2_coarse_dly</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_c_counter_2_fine_dly" type="string"> + <ipxact:name>pll_c_counter_2_fine_dly</ipxact:name> + <ipxact:displayName>pll_c_counter_2_fine_dly</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_c_counter_3" type="int"> + <ipxact:name>pll_c_counter_3</ipxact:name> + <ipxact:displayName>pll_c_counter_3</ipxact:displayName> + <ipxact:value>12</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_c_counter_3_in_src" type="string"> + <ipxact:name>pll_c_counter_3_in_src</ipxact:name> + <ipxact:displayName>pll_c_counter_3_in_src</ipxact:displayName> + <ipxact:value>m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_c_counter_3_ph_mux_prst" type="int"> + <ipxact:name>pll_c_counter_3_ph_mux_prst</ipxact:name> + <ipxact:displayName>pll_c_counter_3_ph_mux_prst</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_c_counter_3_prst" type="int"> + <ipxact:name>pll_c_counter_3_prst</ipxact:name> + <ipxact:displayName>pll_c_counter_3_prst</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_c_counter_3_coarse_dly" type="string"> + <ipxact:name>pll_c_counter_3_coarse_dly</ipxact:name> + <ipxact:displayName>pll_c_counter_3_coarse_dly</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_c_counter_3_fine_dly" type="string"> + <ipxact:name>pll_c_counter_3_fine_dly</ipxact:name> + <ipxact:displayName>pll_c_counter_3_fine_dly</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_iqclk_mux_sel" type="string"> + <ipxact:name>pll_iqclk_mux_sel</ipxact:name> + <ipxact:displayName>pll_iqclk_mux_sel</ipxact:displayName> + <ipxact:value>power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_l_counter" type="int"> + <ipxact:name>pll_l_counter</ipxact:name> + <ipxact:displayName>pll_l_counter</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="core_actual_using_fractional" type="bit"> + <ipxact:name>core_actual_using_fractional</ipxact:name> + <ipxact:displayName>Enable fractional mode</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_actual_using_fractional" type="bit"> + <ipxact:name>hssi_actual_using_fractional</ipxact:name> + <ipxact:displayName>Enable fractional mode</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_cascade_actual_using_fractional" type="bit"> + <ipxact:name>hssi_cascade_actual_using_fractional</ipxact:name> + <ipxact:displayName>Enable fractional mode</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_actual_using_fractional" type="bit"> + <ipxact:name>pll_actual_using_fractional</ipxact:name> + <ipxact:displayName>Enable fractional mode</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="core_dsm_fractional_division" type="longint"> + <ipxact:name>core_dsm_fractional_division</ipxact:name> + <ipxact:displayName>pll_dsm_fractional_division</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_dsm_fractional_division" type="longint"> + <ipxact:name>hssi_dsm_fractional_division</ipxact:name> + <ipxact:displayName>pll_dsm_fractional_division</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_cascade_dsm_fractional_division" type="longint"> + <ipxact:name>hssi_cascade_dsm_fractional_division</ipxact:name> + <ipxact:displayName>pll_dsm_fractional_division</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_dsm_fractional_division" type="string"> + <ipxact:name>pll_dsm_fractional_division</ipxact:name> + <ipxact:displayName>pll_dsm_fractional_division</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_dsm_mode" type="string"> + <ipxact:name>pll_dsm_mode</ipxact:name> + <ipxact:displayName>pll_dsm_mode</ipxact:displayName> + <ipxact:value>dsm_mode_integer</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_dsm_out_sel" type="string"> + <ipxact:name>pll_dsm_out_sel</ipxact:name> + <ipxact:displayName>pll_dsm_out_sel</ipxact:displayName> + <ipxact:value>pll_dsm_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="core_m_counter" type="int"> + <ipxact:name>core_m_counter</ipxact:name> + <ipxact:displayName>pll_m_counter</ipxact:displayName> + <ipxact:value>24</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_m_counter" type="int"> + <ipxact:name>hssi_m_counter</ipxact:name> + <ipxact:displayName>pll_m_counter</ipxact:displayName> + <ipxact:value>11</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_cascade_m_counter" type="int"> + <ipxact:name>hssi_cascade_m_counter</ipxact:name> + <ipxact:displayName>pll_m_counter</ipxact:displayName> + <ipxact:value>11</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="core_n_counter" type="int"> + <ipxact:name>core_n_counter</ipxact:name> + <ipxact:displayName>pll_n_counter</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_n_counter" type="int"> + <ipxact:name>hssi_n_counter</ipxact:name> + <ipxact:displayName>pll_n_counter</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_cascade_n_counter" type="int"> + <ipxact:name>hssi_cascade_n_counter</ipxact:name> + <ipxact:displayName>pll_n_counter</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_m_counter" type="int"> + <ipxact:name>pll_m_counter</ipxact:name> + <ipxact:displayName>pll_m_counter</ipxact:displayName> + <ipxact:value>24</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_n_counter" type="int"> + <ipxact:name>pll_n_counter</ipxact:name> + <ipxact:displayName>pll_n_counter</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="refclk_freq_bitvec" type="string"> + <ipxact:name>refclk_freq_bitvec</ipxact:name> + <ipxact:displayName>Reference clock frequency</ipxact:displayName> + <ipxact:value>000000000111011100110101100101000000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="vco_freq_bitvec" type="string"> + <ipxact:name>vco_freq_bitvec</ipxact:name> + <ipxact:displayName>VCO Frequency</ipxact:displayName> + <ipxact:value>000101100101101000001011110000000000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pfd_freq_bitvec" type="string"> + <ipxact:name>pfd_freq_bitvec</ipxact:name> + <ipxact:displayName>PFD Frequency</ipxact:displayName> + <ipxact:value>000000000111011100110101100101000000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="output_freq_bitvec" type="string"> + <ipxact:name>output_freq_bitvec</ipxact:name> + <ipxact:displayName>PLL output frequency</ipxact:displayName> + <ipxact:value>000000000000000000000000000000000000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="f_out_c0_bitvec" type="string"> + <ipxact:name>f_out_c0_bitvec</ipxact:name> + <ipxact:displayName>PLL output frequency</ipxact:displayName> + <ipxact:value>000000000001001100010010110100000000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="f_out_c1_bitvec" type="string"> + <ipxact:name>f_out_c1_bitvec</ipxact:name> + <ipxact:displayName>PLL output frequency</ipxact:displayName> + <ipxact:value>000000000010111110101111000010000000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="f_out_c2_bitvec" type="string"> + <ipxact:name>f_out_c2_bitvec</ipxact:name> + <ipxact:displayName>PLL output frequency</ipxact:displayName> + <ipxact:value>000000000101111101011110000100000000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="f_out_c3_bitvec" type="string"> + <ipxact:name>f_out_c3_bitvec</ipxact:name> + <ipxact:displayName>PLL output frequency</ipxact:displayName> + <ipxact:value>000000000111011100110101100101000000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_counter_bitvec" type="int"> + <ipxact:name>l_counter_bitvec</ipxact:name> + <ipxact:displayName>l_counter</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="n_counter_bitvec" type="int"> + <ipxact:name>n_counter_bitvec</ipxact:name> + <ipxact:displayName>n_counter</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="m_counter_bitvec" type="int"> + <ipxact:name>m_counter_bitvec</ipxact:name> + <ipxact:displayName>m_counter</ipxact:displayName> + <ipxact:value>24</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_counter0_bitvec" type="int"> + <ipxact:name>c_counter0_bitvec</ipxact:name> + <ipxact:displayName>c0_counter</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_counter1_bitvec" type="int"> + <ipxact:name>c_counter1_bitvec</ipxact:name> + <ipxact:displayName>c1_counter</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_counter2_bitvec" type="int"> + <ipxact:name>c_counter2_bitvec</ipxact:name> + <ipxact:displayName>c2_counter</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_counter3_bitvec" type="int"> + <ipxact:name>c_counter3_bitvec</ipxact:name> + <ipxact:displayName>c3_counter</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_width_bitvec" type="int"> + <ipxact:name>pma_width_bitvec</ipxact:name> + <ipxact:displayName>pma_width</ipxact:displayName> + <ipxact:value>64</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cgb_div_bitvec" type="int"> + <ipxact:name>cgb_div_bitvec</ipxact:name> + <ipxact:displayName>cgb_div</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_auto_clk_sw_en" type="string"> + <ipxact:name>pll_auto_clk_sw_en</ipxact:name> + <ipxact:displayName>pll_auto_clk_sw_en</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_clk_loss_edge" type="string"> + <ipxact:name>pll_clk_loss_edge</ipxact:name> + <ipxact:displayName>pll_clk_loss_edge</ipxact:displayName> + <ipxact:value>pll_clk_loss_both_edges</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_clk_loss_sw_en" type="string"> + <ipxact:name>pll_clk_loss_sw_en</ipxact:name> + <ipxact:displayName>pll_clk_loss_sw_en</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_clk_sw_dly" type="int"> + <ipxact:name>pll_clk_sw_dly</ipxact:name> + <ipxact:displayName>pll_clk_sw_dly</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_manu_clk_sw_en" type="string"> + <ipxact:name>pll_manu_clk_sw_en</ipxact:name> + <ipxact:displayName>pll_manu_clk_sw_en</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_sw_refclk_src" type="string"> + <ipxact:name>pll_sw_refclk_src</ipxact:name> + <ipxact:displayName>pll_sw_refclk_src</ipxact:displayName> + <ipxact:value>pll_sw_refclk_src_clk_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_altera_xcvr_fpll_a10_calibration_en" type="int"> + <ipxact:name>set_altera_xcvr_fpll_a10_calibration_en</ipxact:name> + <ipxact:displayName>Enable calibration</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="calibration_en" type="string"> + <ipxact:name>calibration_en</ipxact:name> + <ipxact:displayName>calibration_en</ipxact:displayName> + <ipxact:value>enable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="support_mode" type="string"> + <ipxact:name>support_mode</ipxact:name> + <ipxact:displayName>Support mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_ext_lockdetect_ports" type="int"> + <ipxact:name>enable_ext_lockdetect_ports</ipxact:name> + <ipxact:displayName>Enable clklow and fref ports</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="is_c10" type="int"> + <ipxact:name>is_c10</ipxact:name> + <ipxact:displayName>is_c10</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_reconfig_en" type="string"> + <ipxact:name>cmu_fpll_reconfig_en</ipxact:name> + <ipxact:displayName>cmu_fpll_reconfig_en</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_dps_en" type="string"> + <ipxact:name>cmu_fpll_dps_en</ipxact:name> + <ipxact:displayName>cmu_fpll_dps_en</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_calibration_en" type="string"> + <ipxact:name>cmu_fpll_calibration_en</ipxact:name> + <ipxact:displayName>cmu_fpll_calibration_en</ipxact:displayName> + <ipxact:value>enable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_freq" type="string"> + <ipxact:name>cmu_fpll_refclk_freq</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_freq</ipxact:displayName> + <ipxact:value>000000000111011100110101100101000000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="fpll_refclk_select" type="int"> + <ipxact:name>fpll_refclk_select</ipxact:name> + <ipxact:displayName>fpll_refclk_select</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_mcgb" type="int"> + <ipxact:name>enable_mcgb</ipxact:name> + <ipxact:displayName>Include Master Clock Generation Block</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="mcgb_div" type="int"> + <ipxact:name>mcgb_div</ipxact:name> + <ipxact:displayName>Clock division factor</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="mcgb_div_fnl" type="int"> + <ipxact:name>mcgb_div_fnl</ipxact:name> + <ipxact:displayName>mcgb_div_fnl</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_hfreq_clk" type="int"> + <ipxact:name>enable_hfreq_clk</ipxact:name> + <ipxact:displayName>Enable x6/xN non-bonded high-speed clock output port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_mcgb_pcie_clksw" type="int"> + <ipxact:name>enable_mcgb_pcie_clksw</ipxact:name> + <ipxact:displayName>Enable PCIe clock switch interface</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="mcgb_aux_clkin_cnt" type="int"> + <ipxact:name>mcgb_aux_clkin_cnt</ipxact:name> + <ipxact:displayName>Number of auxiliary MCGB clock input ports.</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="mcgb_in_clk_freq" type="real"> + <ipxact:name>mcgb_in_clk_freq</ipxact:name> + <ipxact:displayName>MCGB input clock frequency</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="mcgb_out_datarate" type="real"> + <ipxact:name>mcgb_out_datarate</ipxact:name> + <ipxact:displayName>MCGB output data rate</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_bonding_clks" type="int"> + <ipxact:name>enable_bonding_clks</ipxact:name> + <ipxact:displayName>Enable bonding clock output ports</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_fb_comp_bonding" type="int"> + <ipxact:name>enable_fb_comp_bonding</ipxact:name> + <ipxact:displayName>Enable feedback compensation bonding</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="mcgb_enable_iqtxrxclk" type="string"> + <ipxact:name>mcgb_enable_iqtxrxclk</ipxact:name> + <ipxact:displayName>mcgb_enable_iqtxrxclk</ipxact:displayName> + <ipxact:value>disable_iqtxrxclk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_width" type="int"> + <ipxact:name>pma_width</ipxact:name> + <ipxact:displayName>PMA interface width</ipxact:displayName> + <ipxact:value>64</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_mcgb_debug_ports_parameters" type="int"> + <ipxact:name>enable_mcgb_debug_ports_parameters</ipxact:name> + <ipxact:displayName>enable_mcgb_debug_ports_parameters</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_pld_mcgb_cal_busy_port" type="int"> + <ipxact:name>enable_pld_mcgb_cal_busy_port</ipxact:name> + <ipxact:displayName>enable_pld_mcgb_cal_busy_port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="check_output_ports_mcgb" type="int"> + <ipxact:name>check_output_ports_mcgb</ipxact:name> + <ipxact:displayName>check_output_ports_mcgb</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="is_protocol_PCIe" type="int"> + <ipxact:name>is_protocol_PCIe</ipxact:name> + <ipxact:displayName>is_protocol_PCIe</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="mapped_output_clock_frequency" type="string"> + <ipxact:name>mapped_output_clock_frequency</ipxact:name> + <ipxact:displayName>mapped_output_clock_frequency</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="mapped_primary_pll_buffer" type="string"> + <ipxact:name>mapped_primary_pll_buffer</ipxact:name> + <ipxact:displayName>mapped_primary_pll_buffer</ipxact:displayName> + <ipxact:value>N/A</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="mapped_hip_cal_done_port" type="int"> + <ipxact:name>mapped_hip_cal_done_port</ipxact:name> + <ipxact:displayName>mapped_hip_cal_done_port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pma_cgb_master_prot_mode" type="string"> + <ipxact:name>hssi_pma_cgb_master_prot_mode</ipxact:name> + <ipxact:displayName>hssi_pma_cgb_master_prot_mode</ipxact:displayName> + <ipxact:value>basic_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pma_cgb_master_silicon_rev" type="string"> + <ipxact:name>hssi_pma_cgb_master_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_pma_cgb_master_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pma_cgb_master_x1_div_m_sel" type="string"> + <ipxact:name>hssi_pma_cgb_master_x1_div_m_sel</ipxact:name> + <ipxact:displayName>hssi_pma_cgb_master_x1_div_m_sel</ipxact:displayName> + <ipxact:value>divbypass</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pma_cgb_master_cgb_enable_iqtxrxclk" type="string"> + <ipxact:name>hssi_pma_cgb_master_cgb_enable_iqtxrxclk</ipxact:name> + <ipxact:displayName>hssi_pma_cgb_master_cgb_enable_iqtxrxclk</ipxact:displayName> + <ipxact:value>disable_iqtxrxclk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pma_cgb_master_ser_mode" type="string"> + <ipxact:name>hssi_pma_cgb_master_ser_mode</ipxact:name> + <ipxact:displayName>hssi_pma_cgb_master_ser_mode</ipxact:displayName> + <ipxact:value>sixty_four_bit</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pma_cgb_master_datarate" type="string"> + <ipxact:name>hssi_pma_cgb_master_datarate</ipxact:name> + <ipxact:displayName>hssi_pma_cgb_master_datarate</ipxact:displayName> + <ipxact:value>0 bps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pma_cgb_master_cgb_power_down" type="string"> + <ipxact:name>hssi_pma_cgb_master_cgb_power_down</ipxact:name> + <ipxact:displayName>hssi_pma_cgb_master_cgb_power_down</ipxact:displayName> + <ipxact:value>normal_cgb</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pma_cgb_master_observe_cgb_clocks" type="string"> + <ipxact:name>hssi_pma_cgb_master_observe_cgb_clocks</ipxact:name> + <ipxact:displayName>hssi_pma_cgb_master_observe_cgb_clocks</ipxact:displayName> + <ipxact:value>observe_nothing</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pma_cgb_master_op_mode" type="string"> + <ipxact:name>hssi_pma_cgb_master_op_mode</ipxact:name> + <ipxact:displayName>hssi_pma_cgb_master_op_mode</ipxact:displayName> + <ipxact:value>enabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pma_cgb_master_tx_ucontrol_reset_pcie" type="string"> + <ipxact:name>hssi_pma_cgb_master_tx_ucontrol_reset_pcie</ipxact:name> + <ipxact:displayName>hssi_pma_cgb_master_tx_ucontrol_reset_pcie</ipxact:displayName> + <ipxact:value>pcscorehip_controls_mcgb</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pma_cgb_master_vccdreg_output" type="string"> + <ipxact:name>hssi_pma_cgb_master_vccdreg_output</ipxact:name> + <ipxact:displayName>hssi_pma_cgb_master_vccdreg_output</ipxact:displayName> + <ipxact:value>vccdreg_nominal</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pma_cgb_master_input_select" type="string"> + <ipxact:name>hssi_pma_cgb_master_input_select</ipxact:name> + <ipxact:displayName>hssi_pma_cgb_master_input_select</ipxact:displayName> + <ipxact:value>fpll_top</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pma_cgb_master_input_select_gen3" type="string"> + <ipxact:name>hssi_pma_cgb_master_input_select_gen3</ipxact:name> + <ipxact:displayName>hssi_pma_cgb_master_input_select_gen3</ipxact:displayName> + <ipxact:value>unused</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_parameter_list" type="string"> + <ipxact:name>gui_parameter_list</ipxact:name> + <ipxact:displayName>Parameter Names</ipxact:displayName> + <ipxact:value>C-counter-0,C-counter-1,C-counter-2,C-counter-3,L-counter,M-counter,N-counter,VCO Frequency,pll_dsm_fractional_division</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_parameter_values" type="string"> + <ipxact:name>gui_parameter_values</ipxact:name> + <ipxact:displayName>Parameter Values</ipxact:displayName> + <ipxact:value>75,30,15,12,1,24,1,6000.0 MHz,1</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_module_parameters> + <altera:altera_system_parameters> + <ipxact:parameters> + <ipxact:parameter parameterId="device" type="string"> + <ipxact:name>device</ipxact:name> + <ipxact:displayName>Device</ipxact:displayName> + <ipxact:value>10AX115U3F45E2SG</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="deviceFamily" type="string"> + <ipxact:name>deviceFamily</ipxact:name> + <ipxact:displayName>Device family</ipxact:displayName> + <ipxact:value>Arria 10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="deviceSpeedGrade" type="string"> + <ipxact:name>deviceSpeedGrade</ipxact:name> + <ipxact:displayName>Device Speed Grade</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="generationId" type="int"> + <ipxact:name>generationId</ipxact:name> + <ipxact:displayName>Generation Id</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="bonusData" type="string"> + <ipxact:name>bonusData</ipxact:name> + <ipxact:displayName>bonusData</ipxact:displayName> + <ipxact:value>bonusData +{ + element xcvr_fpll_a10_0 + { + datum _sortIndex + { + value = "0"; + type = "int"; + } + } +} +</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hideFromIPCatalog" type="bit"> + <ipxact:name>hideFromIPCatalog</ipxact:name> + <ipxact:displayName>Hide from IP Catalog</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="lockedInterfaceDefinition" type="string"> + <ipxact:name>lockedInterfaceDefinition</ipxact:name> + <ipxact:displayName>lockedInterfaceDefinition</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="systemInfos" type="string"> + <ipxact:name>systemInfos</ipxact:name> + <ipxact:displayName>systemInfos</ipxact:displayName> + <ipxact:value><systemInfosDefinition> + <connPtSystemInfos> + <entry> + <key>outclk0</key> + <value> + <connectionPointName>outclk0</connectionPointName> + <suppliedSystemInfos/> + <consumedSystemInfos> + <entry> + <key>CLOCK_RATE</key> + <value>100000000</value> + </entry> + </consumedSystemInfos> + </value> + </entry> + <entry> + <key>outclk1</key> + <value> + <connectionPointName>outclk1</connectionPointName> + <suppliedSystemInfos/> + <consumedSystemInfos> + <entry> + <key>CLOCK_RATE</key> + <value>100000000</value> + </entry> + </consumedSystemInfos> + </value> + </entry> + <entry> + <key>outclk2</key> + <value> + <connectionPointName>outclk2</connectionPointName> + <suppliedSystemInfos/> + <consumedSystemInfos> + <entry> + <key>CLOCK_RATE</key> + <value>100000000</value> + </entry> + </consumedSystemInfos> + </value> + </entry> + <entry> + <key>outclk3</key> + <value> + <connectionPointName>outclk3</connectionPointName> + <suppliedSystemInfos/> + <consumedSystemInfos> + <entry> + <key>CLOCK_RATE</key> + <value>100000000</value> + </entry> + </consumedSystemInfos> + </value> + </entry> + </connPtSystemInfos> +</systemInfosDefinition></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_system_parameters> + <altera:altera_interface_boundary> + <altera:interface_mapping altera:name="outclk0" altera:internal="xcvr_fpll_a10_0.outclk0" altera:type="clock" altera:dir="start"> + <altera:port_mapping altera:name="outclk0" altera:internal="outclk0"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="outclk1" altera:internal="xcvr_fpll_a10_0.outclk1" altera:type="clock" altera:dir="start"> + <altera:port_mapping altera:name="outclk1" altera:internal="outclk1"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="outclk2" altera:internal="xcvr_fpll_a10_0.outclk2" altera:type="clock" altera:dir="start"> + <altera:port_mapping altera:name="outclk2" altera:internal="outclk2"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="outclk3" altera:internal="xcvr_fpll_a10_0.outclk3" altera:type="clock" altera:dir="start"> + <altera:port_mapping altera:name="outclk3" altera:internal="outclk3"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="pll_cal_busy" altera:internal="xcvr_fpll_a10_0.pll_cal_busy" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="pll_cal_busy" altera:internal="pll_cal_busy"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="pll_locked" altera:internal="xcvr_fpll_a10_0.pll_locked" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="pll_locked" altera:internal="pll_locked"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="pll_powerdown" altera:internal="xcvr_fpll_a10_0.pll_powerdown" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="pll_powerdown" altera:internal="pll_powerdown"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="pll_refclk0" altera:internal="xcvr_fpll_a10_0.pll_refclk0" altera:type="clock" altera:dir="end"> + <altera:port_mapping altera:name="pll_refclk0" altera:internal="pll_refclk0"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_serial_clk" altera:internal="xcvr_fpll_a10_0.tx_serial_clk"></altera:interface_mapping> + </altera:altera_interface_boundary> + <altera:altera_has_warnings>true</altera:altera_has_warnings> + <altera:altera_has_errors>false</altera:altera_has_errors> + </ipxact:vendorExtensions> +</ipxact:component> \ No newline at end of file diff --git a/libraries/technology/ip_arria10_e2sg/fractional_pll_clk125/ip_arria10_e2sg_fractional_pll_clk125.qsys b/libraries/technology/ip_arria10_e2sg/fractional_pll_clk125/ip_arria10_e2sg_fractional_pll_clk125.qsys deleted file mode 100644 index e09c4c105a..0000000000 --- a/libraries/technology/ip_arria10_e2sg/fractional_pll_clk125/ip_arria10_e2sg_fractional_pll_clk125.qsys +++ /dev/null @@ -1,266 +0,0 @@ -<?xml version="1.0" encoding="UTF-8"?> -<system name="ip_arria10_e2sg_fractional_pll_clk125"> - <component - name="$${FILENAME}" - displayName="$${FILENAME}" - version="1.0" - description="" - tags="AUTHORSHIP=Intel Corporation /// INTERNAL_COMPONENT=true" - categories="System" - tool="QsysStandard" /> - <parameter name="bonusData"><![CDATA[bonusData -{ - element $system - { - } - element xcvr_fpll_a10_0 - { - datum _sortIndex - { - value = "0"; - type = "int"; - } - } -} -]]></parameter> - <parameter name="device" value="10AX115U3F45E2SG" /> - <parameter name="deviceFamily" value="Arria 10" /> - <parameter name="deviceSpeedGrade" value="2" /> - <parameter name="fabricMode" value="QSYS" /> - <parameter name="generateLegacySim" value="false" /> - <parameter name="generationId" value="0" /> - <parameter name="globalResetBus" value="false" /> - <parameter name="hdlLanguage" value="VERILOG" /> - <parameter name="hideFromIPCatalog" value="true" /> - <parameter name="lockedInterfaceDefinition" value="" /> - <parameter name="sopcBorderPoints" value="false" /> - <parameter name="systemHash" value="0" /> - <parameter name="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos> - <entry> - <key>outclk0</key> - <value> - <connectionPointName>outclk0</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>outclk1</key> - <value> - <connectionPointName>outclk1</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>outclk2</key> - <value> - <connectionPointName>outclk2</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>outclk3</key> - <value> - <connectionPointName>outclk3</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> -</systemInfosDefinition>]]></parameter> - <parameter name="systemScripts" value="" /> - <parameter name="testBenchDutName" value="" /> - <parameter name="timeStamp" value="0" /> - <parameter name="useTestBenchNamingPattern" value="false" /> - <instanceScript></instanceScript> - <interface - name="hssi_pll_cascade_clk" - internal="xcvr_fpll_a10_0.hssi_pll_cascade_clk" /> - <interface - name="outclk0" - internal="xcvr_fpll_a10_0.outclk0" - type="clock" - dir="start"> - <port name="outclk0" internal="outclk0" /> - </interface> - <interface - name="outclk1" - internal="xcvr_fpll_a10_0.outclk1" - type="clock" - dir="start"> - <port name="outclk1" internal="outclk1" /> - </interface> - <interface - name="outclk2" - internal="xcvr_fpll_a10_0.outclk2" - type="clock" - dir="start"> - <port name="outclk2" internal="outclk2" /> - </interface> - <interface - name="outclk3" - internal="xcvr_fpll_a10_0.outclk3" - type="clock" - dir="start"> - <port name="outclk3" internal="outclk3" /> - </interface> - <interface - name="pll_cal_busy" - internal="xcvr_fpll_a10_0.pll_cal_busy" - type="conduit" - dir="end"> - <port name="pll_cal_busy" internal="pll_cal_busy" /> - </interface> - <interface - name="pll_locked" - internal="xcvr_fpll_a10_0.pll_locked" - type="conduit" - dir="end"> - <port name="pll_locked" internal="pll_locked" /> - </interface> - <interface - name="pll_powerdown" - internal="xcvr_fpll_a10_0.pll_powerdown" - type="conduit" - dir="end"> - <port name="pll_powerdown" internal="pll_powerdown" /> - </interface> - <interface - name="pll_refclk0" - internal="xcvr_fpll_a10_0.pll_refclk0" - type="clock" - dir="end"> - <port name="pll_refclk0" internal="pll_refclk0" /> - </interface> - <interface name="tx_serial_clk" internal="xcvr_fpll_a10_0.tx_serial_clk" /> - <module - name="xcvr_fpll_a10_0" - kind="altera_xcvr_fpll_a10" - version="19.1" - enabled="1" - autoexport="1"> - <parameter name="base_device" value="NIGHTFURY5" /> - <parameter name="device" value="10AX115U3F45E2SG" /> - <parameter name="enable_analog_resets" value="0" /> - <parameter name="enable_bonding_clks" value="0" /> - <parameter name="enable_ext_lockdetect_ports" value="0" /> - <parameter name="enable_fb_comp_bonding" value="0" /> - <parameter name="enable_hfreq_clk" value="0" /> - <parameter name="enable_mcgb" value="0" /> - <parameter name="enable_mcgb_pcie_clksw" value="0" /> - <parameter name="enable_pld_mcgb_cal_busy_port" value="0" /> - <parameter name="enable_pll_reconfig" value="0" /> - <parameter name="generate_add_hdl_instance_example" value="0" /> - <parameter name="generate_docs" value="1" /> - <parameter name="gui_actual_outclk0_frequency" value="100.0" /> - <parameter name="gui_actual_outclk1_frequency" value="100.0" /> - <parameter name="gui_actual_outclk2_frequency" value="100.0" /> - <parameter name="gui_actual_outclk3_frequency" value="100.0" /> - <parameter name="gui_actual_refclk_frequency" value="100.0" /> - <parameter name="gui_bw_sel" value="low" /> - <parameter name="gui_cascade_outclk_index" value="0" /> - <parameter name="gui_desired_hssi_cascade_frequency" value="100.0" /> - <parameter name="gui_desired_outclk0_frequency" value="20.0" /> - <parameter name="gui_desired_outclk1_frequency" value="50.0" /> - <parameter name="gui_desired_outclk2_frequency" value="100.0" /> - <parameter name="gui_desired_outclk3_frequency" value="125.0" /> - <parameter name="gui_desired_refclk_frequency" value="200.0" /> - <parameter name="gui_enable_50G_support" value="false" /> - <parameter name="gui_enable_active_clk" value="false" /> - <parameter name="gui_enable_cascade_out" value="false" /> - <parameter name="gui_enable_clk_bad" value="false" /> - <parameter name="gui_enable_dps" value="false" /> - <parameter name="gui_enable_fractional" value="false" /> - <parameter name="gui_enable_hip_cal_done_port" value="0" /> - <parameter name="gui_enable_manual_config" value="false" /> - <parameter name="gui_enable_manual_hssi_counters" value="false" /> - <parameter name="gui_enable_phase_alignment" value="false" /> - <parameter name="gui_enable_pld_cal_busy_port" value="1" /> - <parameter name="gui_fpll_mode" value="0" /> - <parameter name="gui_fractional_x" value="32" /> - <parameter name="gui_hip_cal_en" value="0" /> - <parameter name="gui_hssi_output_clock_frequency" value="1250.0" /> - <parameter name="gui_hssi_prot_mode" value="0" /> - <parameter name="gui_iqtxrxclk_outclk_index" value="0" /> - <parameter name="gui_is_downstream_cascaded_pll" value="false" /> - <parameter name="gui_number_of_output_clocks" value="4" /> - <parameter name="gui_operation_mode" value="0" /> - <parameter name="gui_outclk0_actual_phase_shift" value="0.0" /> - <parameter name="gui_outclk0_actual_phase_shift_deg" value="0.0" /> - <parameter name="gui_outclk0_desired_phase_shift" value="0.0" /> - <parameter name="gui_outclk0_phase_shift_unit" value="0" /> - <parameter name="gui_outclk1_actual_phase_shift" value="0.0" /> - <parameter name="gui_outclk1_actual_phase_shift_deg" value="0.0" /> - <parameter name="gui_outclk1_desired_phase_shift" value="0" /> - <parameter name="gui_outclk1_phase_shift_unit" value="0" /> - <parameter name="gui_outclk2_actual_phase_shift" value="0 ps" /> - <parameter name="gui_outclk2_actual_phase_shift_deg" value="0 deg" /> - <parameter name="gui_outclk2_desired_phase_shift" value="0" /> - <parameter name="gui_outclk2_phase_shift_unit" value="0" /> - <parameter name="gui_outclk3_actual_phase_shift" value="0.0" /> - <parameter name="gui_outclk3_actual_phase_shift_deg" value="0.0" /> - <parameter name="gui_outclk3_desired_phase_shift" value="0" /> - <parameter name="gui_outclk3_phase_shift_unit" value="0" /> - <parameter name="gui_pll_c_counter_0" value="1" /> - <parameter name="gui_pll_c_counter_1" value="1" /> - <parameter name="gui_pll_c_counter_2" value="1" /> - <parameter name="gui_pll_c_counter_3" value="1" /> - <parameter name="gui_pll_dsm_fractional_division" value="1" /> - <parameter name="gui_pll_m_counter" value="1" /> - <parameter name="gui_pll_n_counter" value="1" /> - <parameter name="gui_pll_set_hssi_k_counter" value="1" /> - <parameter name="gui_pll_set_hssi_l_counter" value="1" /> - <parameter name="gui_pll_set_hssi_m_counter" value="1" /> - <parameter name="gui_pll_set_hssi_n_counter" value="1" /> - <parameter name="gui_refclk1_frequency" value="100.0" /> - <parameter name="gui_refclk_cnt" value="1" /> - <parameter name="gui_refclk_index" value="0" /> - <parameter name="gui_refclk_switch" value="false" /> - <parameter name="gui_reference_clock_frequency" value="125.0" /> - <parameter name="gui_self_reset_enabled" value="false" /> - <parameter name="gui_switchover_delay" value="0" /> - <parameter name="gui_switchover_mode">Automatic Switchover</parameter> - <parameter name="mcgb_aux_clkin_cnt" value="0" /> - <parameter name="mcgb_div" value="1" /> - <parameter name="phase_alignment_check_var" value="false" /> - <parameter name="pma_width" value="64" /> - <parameter name="rcfg_debug" value="0" /> - <parameter name="rcfg_enable_avmm_busy_port" value="0" /> - <parameter name="rcfg_file_prefix">altera_xcvr_fpll_a10</parameter> - <parameter name="rcfg_h_file_enable" value="0" /> - <parameter name="rcfg_jtag_enable" value="0" /> - <parameter name="rcfg_mif_file_enable" value="0" /> - <parameter name="rcfg_separate_avmm_busy" value="0" /> - <parameter name="rcfg_sv_file_enable" value="0" /> - <parameter name="rcfg_txt_file_enable" value="0" /> - <parameter name="set_altera_xcvr_fpll_a10_calibration_en" value="1" /> - <parameter name="set_capability_reg_enable" value="0" /> - <parameter name="set_csr_soft_logic_enable" value="0" /> - <parameter name="set_user_identifier" value="0" /> - <parameter name="silicon_rev" value="false" /> - <parameter name="support_mode" value="user_mode" /> - </module> -</system> diff --git a/libraries/technology/ip_arria10_e2sg/fractional_pll_clk200/hdllib.cfg b/libraries/technology/ip_arria10_e2sg/fractional_pll_clk200/hdllib.cfg index f0a5deaef3..83dd2d7ecb 100644 --- a/libraries/technology/ip_arria10_e2sg/fractional_pll_clk200/hdllib.cfg +++ b/libraries/technology/ip_arria10_e2sg/fractional_pll_clk200/hdllib.cfg @@ -20,5 +20,5 @@ quartus_qip_files = [generate_ip_libs] qsys-generate_ip_files = - ip_arria10_e2sg_fractional_pll_clk200.qsys + ip_arria10_e2sg_fractional_pll_clk200.ip diff --git a/libraries/technology/ip_arria10_e2sg/fractional_pll_clk200/ip_arria10_e2sg_fractional_pll_clk200.ip b/libraries/technology/ip_arria10_e2sg/fractional_pll_clk200/ip_arria10_e2sg_fractional_pll_clk200.ip new file mode 100644 index 0000000000..bf2a940fa0 --- /dev/null +++ b/libraries/technology/ip_arria10_e2sg/fractional_pll_clk200/ip_arria10_e2sg_fractional_pll_clk200.ip @@ -0,0 +1,3487 @@ +<?xml version="1.0" ?> +<ipxact:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact2014/extensions" xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"> + <ipxact:vendor>Intel Corporation</ipxact:vendor> + <ipxact:library>ip_arria10_e2sg_fractional_pll_clk200</ipxact:library> + <ipxact:name>xcvr_fpll_a10_0</ipxact:name> + <ipxact:version>19.1</ipxact:version> + <ipxact:busInterfaces> + <ipxact:busInterface> + <ipxact:name>pll_refclk0</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="clock" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>pll_refclk0</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="clockRate" type="longint"> + <ipxact:name>clockRate</ipxact:name> + <ipxact:displayName>Clock rate</ipxact:displayName> + <ipxact:value>200000000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="externallyDriven" type="bit"> + <ipxact:name>externallyDriven</ipxact:name> + <ipxact:displayName>Externally driven</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ptfSchematicName" type="string"> + <ipxact:name>ptfSchematicName</ipxact:name> + <ipxact:displayName>PTF schematic name</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>pll_powerdown</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>pll_powerdown</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>pll_powerdown</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>pll_locked</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>pll_locked</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>pll_locked</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>outclk0</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="clock" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>outclk0</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:master></ipxact:master> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedDirectClock" type="string"> + <ipxact:name>associatedDirectClock</ipxact:name> + <ipxact:displayName>Associated direct clock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clockRate" type="longint"> + <ipxact:name>clockRate</ipxact:name> + <ipxact:displayName>Clock rate</ipxact:displayName> + <ipxact:value>100000000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clockRateKnown" type="bit"> + <ipxact:name>clockRateKnown</ipxact:name> + <ipxact:displayName>Clock rate known</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="externallyDriven" type="bit"> + <ipxact:name>externallyDriven</ipxact:name> + <ipxact:displayName>Externally driven</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ptfSchematicName" type="string"> + <ipxact:name>ptfSchematicName</ipxact:name> + <ipxact:displayName>PTF schematic name</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>outclk1</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="clock" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>outclk1</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:master></ipxact:master> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedDirectClock" type="string"> + <ipxact:name>associatedDirectClock</ipxact:name> + <ipxact:displayName>Associated direct clock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clockRate" type="longint"> + <ipxact:name>clockRate</ipxact:name> + <ipxact:displayName>Clock rate</ipxact:displayName> + <ipxact:value>100000000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clockRateKnown" type="bit"> + <ipxact:name>clockRateKnown</ipxact:name> + <ipxact:displayName>Clock rate known</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="externallyDriven" type="bit"> + <ipxact:name>externallyDriven</ipxact:name> + <ipxact:displayName>Externally driven</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ptfSchematicName" type="string"> + <ipxact:name>ptfSchematicName</ipxact:name> + <ipxact:displayName>PTF schematic name</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>outclk2</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="clock" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>outclk2</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:master></ipxact:master> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedDirectClock" type="string"> + <ipxact:name>associatedDirectClock</ipxact:name> + <ipxact:displayName>Associated direct clock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clockRate" type="longint"> + <ipxact:name>clockRate</ipxact:name> + <ipxact:displayName>Clock rate</ipxact:displayName> + <ipxact:value>100000000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clockRateKnown" type="bit"> + <ipxact:name>clockRateKnown</ipxact:name> + <ipxact:displayName>Clock rate known</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="externallyDriven" type="bit"> + <ipxact:name>externallyDriven</ipxact:name> + <ipxact:displayName>Externally driven</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ptfSchematicName" type="string"> + <ipxact:name>ptfSchematicName</ipxact:name> + <ipxact:displayName>PTF schematic name</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>pll_cal_busy</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>pll_cal_busy</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>pll_cal_busy</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + </ipxact:busInterfaces> + <ipxact:model> + <ipxact:views> + <ipxact:view> + <ipxact:name>QUARTUS_SYNTH</ipxact:name> + <ipxact:envIdentifier>:quartus.altera.com:</ipxact:envIdentifier> + <ipxact:componentInstantiationRef>QUARTUS_SYNTH</ipxact:componentInstantiationRef> + </ipxact:view> + </ipxact:views> + <ipxact:instantiations> + <ipxact:componentInstantiation> + <ipxact:name>QUARTUS_SYNTH</ipxact:name> + <ipxact:moduleName>altera_xcvr_fpll_a10</ipxact:moduleName> + <ipxact:fileSetRef> + <ipxact:localName>QUARTUS_SYNTH</ipxact:localName> + </ipxact:fileSetRef> + </ipxact:componentInstantiation> + </ipxact:instantiations> + <ipxact:ports> + <ipxact:port> + <ipxact:name>pll_refclk0</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>pll_powerdown</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>pll_locked</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>outclk0</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>outclk1</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>outclk2</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>pll_cal_busy</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + </ipxact:ports> + </ipxact:model> + <ipxact:vendorExtensions> + <altera:entity_info> + <ipxact:vendor>Intel Corporation</ipxact:vendor> + <ipxact:library>ip_arria10_e2sg_fractional_pll_clk200</ipxact:library> + <ipxact:name>altera_xcvr_fpll_a10</ipxact:name> + <ipxact:version>19.1</ipxact:version> + </altera:entity_info> + <altera:altera_module_parameters> + <ipxact:parameters> + <ipxact:parameter parameterId="rcfg_debug" type="int"> + <ipxact:name>rcfg_debug</ipxact:name> + <ipxact:displayName>rcfg_debug</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_pll_reconfig" type="int"> + <ipxact:name>enable_pll_reconfig</ipxact:name> + <ipxact:displayName>Enable dynamic reconfiguration</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_advanced_avmm_options" type="int"> + <ipxact:name>enable_advanced_avmm_options</ipxact:name> + <ipxact:displayName>enable_advanced_avmm_options</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_jtag_enable" type="int"> + <ipxact:name>rcfg_jtag_enable</ipxact:name> + <ipxact:displayName>Enable Native PHY Debug Master Endpoint</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_separate_avmm_busy" type="int"> + <ipxact:name>rcfg_separate_avmm_busy</ipxact:name> + <ipxact:displayName>Separate reconfig_waitrequest from the status of AVMM arbitration with PreSICE</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_enable_avmm_busy_port" type="int"> + <ipxact:name>rcfg_enable_avmm_busy_port</ipxact:name> + <ipxact:displayName>Enable avmm_busy port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_capability_reg_enable" type="int"> + <ipxact:name>set_capability_reg_enable</ipxact:name> + <ipxact:displayName>Enable capability registers</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_user_identifier" type="int"> + <ipxact:name>set_user_identifier</ipxact:name> + <ipxact:displayName>Set user-defined IP identifier</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_csr_soft_logic_enable" type="int"> + <ipxact:name>set_csr_soft_logic_enable</ipxact:name> + <ipxact:displayName>Enable control and status registers</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="dbg_embedded_debug_enable" type="int"> + <ipxact:name>dbg_embedded_debug_enable</ipxact:name> + <ipxact:displayName>dbg_embedded_debug_enable</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="dbg_capability_reg_enable" type="int"> + <ipxact:name>dbg_capability_reg_enable</ipxact:name> + <ipxact:displayName>dbg_capability_reg_enable</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="dbg_user_identifier" type="int"> + <ipxact:name>dbg_user_identifier</ipxact:name> + <ipxact:displayName>dbg_user_identifier</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="dbg_stat_soft_logic_enable" type="int"> + <ipxact:name>dbg_stat_soft_logic_enable</ipxact:name> + <ipxact:displayName>dbg_stat_soft_logic_enable</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="dbg_ctrl_soft_logic_enable" type="int"> + <ipxact:name>dbg_ctrl_soft_logic_enable</ipxact:name> + <ipxact:displayName>dbg_ctrl_soft_logic_enable</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_file_prefix" type="string"> + <ipxact:name>rcfg_file_prefix</ipxact:name> + <ipxact:displayName>Configuration file prefix</ipxact:displayName> + <ipxact:value>altera_xcvr_fpll_a10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_sv_file_enable" type="int"> + <ipxact:name>rcfg_sv_file_enable</ipxact:name> + <ipxact:displayName>Generate SystemVerilog package file</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_h_file_enable" type="int"> + <ipxact:name>rcfg_h_file_enable</ipxact:name> + <ipxact:displayName>Generate C header file</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_txt_file_enable" type="int"> + <ipxact:name>rcfg_txt_file_enable</ipxact:name> + <ipxact:displayName>Generate text file</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_mif_file_enable" type="int"> + <ipxact:name>rcfg_mif_file_enable</ipxact:name> + <ipxact:displayName>Generate MIF (Memory Initialize File)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="system_info_device_family" type="string"> + <ipxact:name>system_info_device_family</ipxact:name> + <ipxact:displayName>Device Family</ipxact:displayName> + <ipxact:value>Arria 10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_silicon_rev" type="string"> + <ipxact:name>cmu_fpll_silicon_rev</ipxact:name> + <ipxact:displayName>cmu_fpll_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_bandwidth_range_high" type="string"> + <ipxact:name>cmu_fpll_bandwidth_range_high</ipxact:name> + <ipxact:displayName>cmu_fpll_bandwidth_range_high</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_bandwidth_range_low" type="string"> + <ipxact:name>cmu_fpll_bandwidth_range_low</ipxact:name> + <ipxact:displayName>cmu_fpll_bandwidth_range_low</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_is_otn" type="string"> + <ipxact:name>cmu_fpll_is_otn</ipxact:name> + <ipxact:displayName>cmu_fpll_is_otn</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_is_sdi" type="string"> + <ipxact:name>cmu_fpll_is_sdi</ipxact:name> + <ipxact:displayName>cmu_fpll_is_sdi</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_bonding" type="string"> + <ipxact:name>cmu_fpll_bonding</ipxact:name> + <ipxact:displayName>cmu_fpll_bonding</ipxact:displayName> + <ipxact:value>pll_bonding</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_f_max_band_0" type="string"> + <ipxact:name>cmu_fpll_f_max_band_0</ipxact:name> + <ipxact:displayName>cmu_fpll_f_max_band_0</ipxact:displayName> + <ipxact:value>3861860000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_f_max_band_1" type="string"> + <ipxact:name>cmu_fpll_f_max_band_1</ipxact:name> + <ipxact:displayName>cmu_fpll_f_max_band_1</ipxact:displayName> + <ipxact:value>4287223000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_f_max_band_2" type="string"> + <ipxact:name>cmu_fpll_f_max_band_2</ipxact:name> + <ipxact:displayName>cmu_fpll_f_max_band_2</ipxact:displayName> + <ipxact:value>4688476000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_f_max_band_3" type="string"> + <ipxact:name>cmu_fpll_f_max_band_3</ipxact:name> + <ipxact:displayName>cmu_fpll_f_max_band_3</ipxact:displayName> + <ipxact:value>5072700000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_f_max_band_4" type="string"> + <ipxact:name>cmu_fpll_f_max_band_4</ipxact:name> + <ipxact:displayName>cmu_fpll_f_max_band_4</ipxact:displayName> + <ipxact:value>5423191000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_f_max_band_5" type="string"> + <ipxact:name>cmu_fpll_f_max_band_5</ipxact:name> + <ipxact:displayName>cmu_fpll_f_max_band_5</ipxact:displayName> + <ipxact:value>5762211000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_f_max_band_6" type="string"> + <ipxact:name>cmu_fpll_f_max_band_6</ipxact:name> + <ipxact:displayName>cmu_fpll_f_max_band_6</ipxact:displayName> + <ipxact:value>6075045000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_f_max_band_7" type="string"> + <ipxact:name>cmu_fpll_f_max_band_7</ipxact:name> + <ipxact:displayName>cmu_fpll_f_max_band_7</ipxact:displayName> + <ipxact:value>6374148000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_f_max_band_8" type="string"> + <ipxact:name>cmu_fpll_f_max_band_8</ipxact:name> + <ipxact:displayName>cmu_fpll_f_max_band_8</ipxact:displayName> + <ipxact:value>14025000000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_f_max_pfd" type="string"> + <ipxact:name>cmu_fpll_f_max_pfd</ipxact:name> + <ipxact:displayName>cmu_fpll_f_max_pfd</ipxact:displayName> + <ipxact:value>160000000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_f_max_vco" type="string"> + <ipxact:name>cmu_fpll_f_max_vco</ipxact:name> + <ipxact:displayName>cmu_fpll_f_max_vco</ipxact:displayName> + <ipxact:value>14150000000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_f_min_band_0" type="string"> + <ipxact:name>cmu_fpll_f_min_band_0</ipxact:name> + <ipxact:displayName>cmu_fpll_f_min_band_0</ipxact:displayName> + <ipxact:value>7000000000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_f_min_band_1" type="string"> + <ipxact:name>cmu_fpll_f_min_band_1</ipxact:name> + <ipxact:displayName>cmu_fpll_f_min_band_1</ipxact:displayName> + <ipxact:value>3861860000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_f_min_band_2" type="string"> + <ipxact:name>cmu_fpll_f_min_band_2</ipxact:name> + <ipxact:displayName>cmu_fpll_f_min_band_2</ipxact:displayName> + <ipxact:value>4287223000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_f_min_band_3" type="string"> + <ipxact:name>cmu_fpll_f_min_band_3</ipxact:name> + <ipxact:displayName>cmu_fpll_f_min_band_3</ipxact:displayName> + <ipxact:value>4688476000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_f_min_band_4" type="string"> + <ipxact:name>cmu_fpll_f_min_band_4</ipxact:name> + <ipxact:displayName>cmu_fpll_f_min_band_4</ipxact:displayName> + <ipxact:value>5072700000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_f_min_band_5" type="string"> + <ipxact:name>cmu_fpll_f_min_band_5</ipxact:name> + <ipxact:displayName>cmu_fpll_f_min_band_5</ipxact:displayName> + <ipxact:value>5423191000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_f_min_band_6" type="string"> + <ipxact:name>cmu_fpll_f_min_band_6</ipxact:name> + <ipxact:displayName>cmu_fpll_f_min_band_6</ipxact:displayName> + <ipxact:value>5762211000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_f_min_band_7" type="string"> + <ipxact:name>cmu_fpll_f_min_band_7</ipxact:name> + <ipxact:displayName>cmu_fpll_f_min_band_7</ipxact:displayName> + <ipxact:value>6075045000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_f_min_band_8" type="string"> + <ipxact:name>cmu_fpll_f_min_band_8</ipxact:name> + <ipxact:displayName>cmu_fpll_f_min_band_8</ipxact:displayName> + <ipxact:value>6374148000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_f_min_pfd" type="string"> + <ipxact:name>cmu_fpll_f_min_pfd</ipxact:name> + <ipxact:displayName>cmu_fpll_f_min_pfd</ipxact:displayName> + <ipxact:value>25000000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_f_min_vco" type="string"> + <ipxact:name>cmu_fpll_f_min_vco</ipxact:name> + <ipxact:displayName>cmu_fpll_f_min_vco</ipxact:displayName> + <ipxact:value>6000000000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_feedback" type="string"> + <ipxact:name>cmu_fpll_feedback</ipxact:name> + <ipxact:displayName>cmu_fpll_feedback</ipxact:displayName> + <ipxact:value>normal</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_fpll_cas_out_enable" type="string"> + <ipxact:name>cmu_fpll_fpll_cas_out_enable</ipxact:name> + <ipxact:displayName>Dedicated FPLL to FPLL cascade out</ipxact:displayName> + <ipxact:value>fpll_cas_out_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_fpll_hclk_out_enable" type="string"> + <ipxact:name>cmu_fpll_fpll_hclk_out_enable</ipxact:name> + <ipxact:displayName>cmu_fpll_fpll_hclk_out_enable</ipxact:displayName> + <ipxact:value>fpll_hclk_out_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_fpll_iqtxrxclk_out_enable" type="string"> + <ipxact:name>cmu_fpll_fpll_iqtxrxclk_out_enable</ipxact:name> + <ipxact:displayName>cmu_fpll_fpll_iqtxrxclk_out_enable</ipxact:displayName> + <ipxact:value>fpll_iqtxrxclk_out_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_l_counter" type="int"> + <ipxact:name>cmu_fpll_l_counter</ipxact:name> + <ipxact:displayName>cmu_fpll_l_counter</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_m_counter" type="int"> + <ipxact:name>cmu_fpll_m_counter</ipxact:name> + <ipxact:displayName>cmu_fpll_m_counter</ipxact:displayName> + <ipxact:value>56</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_n_counter" type="int"> + <ipxact:name>cmu_fpll_n_counter</ipxact:name> + <ipxact:displayName>cmu_fpll_n_counter</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_out_freq_hz" type="string"> + <ipxact:name>cmu_fpll_out_freq_hz</ipxact:name> + <ipxact:displayName>cmu_fpll_out_freq_hz</ipxact:displayName> + <ipxact:value>0 hz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_out_freq" type="string"> + <ipxact:name>cmu_fpll_out_freq</ipxact:name> + <ipxact:displayName>cmu_fpll_out_freq</ipxact:displayName> + <ipxact:value>000000000000000000000000000000000000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_vco_freq_band_0" type="string"> + <ipxact:name>cmu_fpll_pll_vco_freq_band_0</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_vco_freq_band_0</ipxact:displayName> + <ipxact:value>pll_freq_band0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_vco_freq_band_1" type="string"> + <ipxact:name>cmu_fpll_pll_vco_freq_band_1</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_vco_freq_band_1</ipxact:displayName> + <ipxact:value>pll_freq_band0_1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_primary_use" type="string"> + <ipxact:name>cmu_fpll_primary_use</ipxact:name> + <ipxact:displayName>cmu_fpll_primary_use</ipxact:displayName> + <ipxact:value>core</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_prot_mode" type="string"> + <ipxact:name>cmu_fpll_prot_mode</ipxact:name> + <ipxact:displayName>cmu_fpll_prot_mode</ipxact:displayName> + <ipxact:value>basic_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_reference_clock_frequency_scratch" type="string"> + <ipxact:name>cmu_fpll_reference_clock_frequency_scratch</ipxact:name> + <ipxact:displayName>Reference clock frequency</ipxact:displayName> + <ipxact:value>200000000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_side" type="string"> + <ipxact:name>cmu_fpll_side</ipxact:name> + <ipxact:displayName>cmu_fpll_side</ipxact:displayName> + <ipxact:value>side_unknown</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_top_or_bottom" type="string"> + <ipxact:name>cmu_fpll_top_or_bottom</ipxact:name> + <ipxact:displayName>cmu_fpll_top_or_bottom</ipxact:displayName> + <ipxact:value>tb_unknown</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_vco_freq_hz" type="string"> + <ipxact:name>cmu_fpll_vco_freq_hz</ipxact:name> + <ipxact:displayName>cmu_fpll_vco_freq_hz</ipxact:displayName> + <ipxact:value>11200000000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_vco_freq" type="string"> + <ipxact:name>cmu_fpll_vco_freq</ipxact:name> + <ipxact:displayName>cmu_fpll_vco_freq</ipxact:displayName> + <ipxact:value>001010011011100100100111000000000000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_bw_mode" type="string"> + <ipxact:name>cmu_fpll_pll_bw_mode</ipxact:name> + <ipxact:displayName>Bandwidth</ipxact:displayName> + <ipxact:value>low_bw</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_datarate" type="string"> + <ipxact:name>cmu_fpll_datarate</ipxact:name> + <ipxact:displayName>cmu_fpll_datarate</ipxact:displayName> + <ipxact:value>0 Mbps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_device_variant" type="string"> + <ipxact:name>cmu_fpll_pll_device_variant</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_device_variant</ipxact:displayName> + <ipxact:value>device1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_cal_status" type="string"> + <ipxact:name>cmu_fpll_pll_cal_status</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_cal_status</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_calibration" type="string"> + <ipxact:name>cmu_fpll_pll_calibration</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_calibration</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_cmu_rstn_value" type="string"> + <ipxact:name>cmu_fpll_pll_cmu_rstn_value</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_cmu_rstn_value</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_lpf_rstn_value" type="string"> + <ipxact:name>cmu_fpll_pll_lpf_rstn_value</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_lpf_rstn_value</ipxact:displayName> + <ipxact:value>lpf_normal</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_ppm_clk0_src" type="string"> + <ipxact:name>cmu_fpll_pll_ppm_clk0_src</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_ppm_clk0_src</ipxact:displayName> + <ipxact:value>ppm_clk0_vss</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_ppm_clk1_src" type="string"> + <ipxact:name>cmu_fpll_pll_ppm_clk1_src</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_ppm_clk1_src</ipxact:displayName> + <ipxact:value>ppm_clk1_vss</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_rstn_override" type="string"> + <ipxact:name>cmu_fpll_pll_rstn_override</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_rstn_override</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_op_mode" type="string"> + <ipxact:name>cmu_fpll_pll_op_mode</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_op_mode</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_optimal" type="string"> + <ipxact:name>cmu_fpll_pll_optimal</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_optimal</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_is_pa_core" type="string"> + <ipxact:name>cmu_fpll_is_pa_core</ipxact:name> + <ipxact:displayName>cmu_fpll_is_pa_core</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_power_mode" type="string"> + <ipxact:name>cmu_fpll_power_mode</ipxact:name> + <ipxact:displayName>cmu_fpll_power_mode</ipxact:displayName> + <ipxact:value>low_power</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_power_rail_et" type="int"> + <ipxact:name>cmu_fpll_power_rail_et</ipxact:name> + <ipxact:displayName>cmu_fpll_power_rail_et</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_powerdown_mode" type="string"> + <ipxact:name>cmu_fpll_pll_powerdown_mode</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_powerdown_mode</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pm_speed_grade" type="string"> + <ipxact:name>cmu_fpll_pm_speed_grade</ipxact:name> + <ipxact:displayName>cmu_fpll_pm_speed_grade</ipxact:displayName> + <ipxact:value>e2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_sup_mode" type="string"> + <ipxact:name>cmu_fpll_pll_sup_mode</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_c0_pllcout_enable" type="string"> + <ipxact:name>cmu_fpll_pll_c0_pllcout_enable</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_c0_pllcout_enable</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_c_counter_0" type="int"> + <ipxact:name>cmu_fpll_pll_c_counter_0</ipxact:name> + <ipxact:displayName>C-counter-0</ipxact:displayName> + <ipxact:value>14</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_c_counter_0_min_tco_enable" type="string"> + <ipxact:name>cmu_fpll_pll_c_counter_0_min_tco_enable</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_c_counter_0_min_tco_enable</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_c_counter_0_in_src" type="string"> + <ipxact:name>cmu_fpll_pll_c_counter_0_in_src</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_c_counter_0_in_src</ipxact:displayName> + <ipxact:value>m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_c_counter_0_ph_mux_prst" type="int"> + <ipxact:name>cmu_fpll_pll_c_counter_0_ph_mux_prst</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_c_counter_0_ph_mux_prst</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_c_counter_0_prst" type="int"> + <ipxact:name>cmu_fpll_pll_c_counter_0_prst</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_c_counter_0_prst</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_c_counter_0_coarse_dly" type="string"> + <ipxact:name>cmu_fpll_pll_c_counter_0_coarse_dly</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_c_counter_0_coarse_dly</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_c_counter_0_fine_dly" type="string"> + <ipxact:name>cmu_fpll_pll_c_counter_0_fine_dly</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_c_counter_0_fine_dly</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_c1_pllcout_enable" type="string"> + <ipxact:name>cmu_fpll_pll_c1_pllcout_enable</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_c1_pllcout_enable</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_c_counter_1" type="int"> + <ipxact:name>cmu_fpll_pll_c_counter_1</ipxact:name> + <ipxact:displayName>C-counter-1</ipxact:displayName> + <ipxact:value>14</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_c_counter_1_min_tco_enable" type="string"> + <ipxact:name>cmu_fpll_pll_c_counter_1_min_tco_enable</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_c_counter_1_min_tco_enable</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_c_counter_1_in_src" type="string"> + <ipxact:name>cmu_fpll_pll_c_counter_1_in_src</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_c_counter_1_in_src</ipxact:displayName> + <ipxact:value>m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_c_counter_1_ph_mux_prst" type="int"> + <ipxact:name>cmu_fpll_pll_c_counter_1_ph_mux_prst</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_c_counter_1_ph_mux_prst</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_c_counter_1_prst" type="int"> + <ipxact:name>cmu_fpll_pll_c_counter_1_prst</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_c_counter_1_prst</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_c_counter_1_coarse_dly" type="string"> + <ipxact:name>cmu_fpll_pll_c_counter_1_coarse_dly</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_c_counter_1_coarse_dly</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_c_counter_1_fine_dly" type="string"> + <ipxact:name>cmu_fpll_pll_c_counter_1_fine_dly</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_c_counter_1_fine_dly</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_c2_pllcout_enable" type="string"> + <ipxact:name>cmu_fpll_pll_c2_pllcout_enable</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_c2_pllcout_enable</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_c_counter_2" type="int"> + <ipxact:name>cmu_fpll_pll_c_counter_2</ipxact:name> + <ipxact:displayName>C-counter-2</ipxact:displayName> + <ipxact:value>7</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_c_counter_2_min_tco_enable" type="string"> + <ipxact:name>cmu_fpll_pll_c_counter_2_min_tco_enable</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_c_counter_2_min_tco_enable</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_c_counter_2_in_src" type="string"> + <ipxact:name>cmu_fpll_pll_c_counter_2_in_src</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_c_counter_2_in_src</ipxact:displayName> + <ipxact:value>m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_c_counter_2_ph_mux_prst" type="int"> + <ipxact:name>cmu_fpll_pll_c_counter_2_ph_mux_prst</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_c_counter_2_ph_mux_prst</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_c_counter_2_prst" type="int"> + <ipxact:name>cmu_fpll_pll_c_counter_2_prst</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_c_counter_2_prst</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_c_counter_2_coarse_dly" type="string"> + <ipxact:name>cmu_fpll_pll_c_counter_2_coarse_dly</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_c_counter_2_coarse_dly</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_c_counter_2_fine_dly" type="string"> + <ipxact:name>cmu_fpll_pll_c_counter_2_fine_dly</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_c_counter_2_fine_dly</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_c3_pllcout_enable" type="string"> + <ipxact:name>cmu_fpll_pll_c3_pllcout_enable</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_c3_pllcout_enable</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_c_counter_3" type="int"> + <ipxact:name>cmu_fpll_pll_c_counter_3</ipxact:name> + <ipxact:displayName>C-counter-3</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_c_counter_3_min_tco_enable" type="string"> + <ipxact:name>cmu_fpll_pll_c_counter_3_min_tco_enable</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_c_counter_3_min_tco_enable</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_c_counter_3_in_src" type="string"> + <ipxact:name>cmu_fpll_pll_c_counter_3_in_src</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_c_counter_3_in_src</ipxact:displayName> + <ipxact:value>m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_c_counter_3_ph_mux_prst" type="int"> + <ipxact:name>cmu_fpll_pll_c_counter_3_ph_mux_prst</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_c_counter_3_ph_mux_prst</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_c_counter_3_prst" type="int"> + <ipxact:name>cmu_fpll_pll_c_counter_3_prst</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_c_counter_3_prst</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_c_counter_3_coarse_dly" type="string"> + <ipxact:name>cmu_fpll_pll_c_counter_3_coarse_dly</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_c_counter_3_coarse_dly</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_c_counter_3_fine_dly" type="string"> + <ipxact:name>cmu_fpll_pll_c_counter_3_fine_dly</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_c_counter_3_fine_dly</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_core_cali_ref_off" type="string"> + <ipxact:name>cmu_fpll_pll_core_cali_ref_off</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_core_cali_ref_off</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_core_cali_vco_off" type="string"> + <ipxact:name>cmu_fpll_pll_core_cali_vco_off</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_core_cali_vco_off</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_core_vccdreg_fb" type="string"> + <ipxact:name>cmu_fpll_pll_core_vccdreg_fb</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_core_vccdreg_fb</ipxact:displayName> + <ipxact:value>vreg_fb5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_core_vccdreg_fw" type="string"> + <ipxact:name>cmu_fpll_pll_core_vccdreg_fw</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_core_vccdreg_fw</ipxact:displayName> + <ipxact:value>vreg_fw5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_core_vreg0_atbsel" type="string"> + <ipxact:name>cmu_fpll_pll_core_vreg0_atbsel</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_core_vreg0_atbsel</ipxact:displayName> + <ipxact:value>atb_disabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_core_vreg1_atbsel" type="string"> + <ipxact:name>cmu_fpll_pll_core_vreg1_atbsel</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_core_vreg1_atbsel</ipxact:displayName> + <ipxact:value>atb_disabled1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_atb" type="string"> + <ipxact:name>cmu_fpll_pll_atb</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_atb</ipxact:displayName> + <ipxact:value>atb_selectdisable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_cmp_buf_dly" type="string"> + <ipxact:name>cmu_fpll_pll_cmp_buf_dly</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_cmp_buf_dly</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_fbclk_mux_1" type="string"> + <ipxact:name>cmu_fpll_pll_fbclk_mux_1</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_fbclk_mux_1</ipxact:displayName> + <ipxact:value>pll_fbclk_mux_1_glb</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_fbclk_mux_2" type="string"> + <ipxact:name>cmu_fpll_pll_fbclk_mux_2</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_fbclk_mux_2</ipxact:displayName> + <ipxact:value>pll_fbclk_mux_2_m_cnt</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_iqclk_mux_sel" type="string"> + <ipxact:name>cmu_fpll_pll_iqclk_mux_sel</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_iqclk_mux_sel</ipxact:displayName> + <ipxact:value>power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_cp_compensation" type="string"> + <ipxact:name>cmu_fpll_pll_cp_compensation</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_cp_compensation</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_cp_current_setting" type="string"> + <ipxact:name>cmu_fpll_pll_cp_current_setting</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_cp_current_setting</ipxact:displayName> + <ipxact:value>cp_current_setting22</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_cp_testmode" type="string"> + <ipxact:name>cmu_fpll_pll_cp_testmode</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_cp_testmode</ipxact:displayName> + <ipxact:value>cp_normal</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_cp_lf_3rd_pole_freq" type="string"> + <ipxact:name>cmu_fpll_pll_cp_lf_3rd_pole_freq</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_cp_lf_3rd_pole_freq</ipxact:displayName> + <ipxact:value>lf_3rd_pole_setting0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_lf_cbig" type="string"> + <ipxact:name>cmu_fpll_pll_lf_cbig</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_lf_cbig</ipxact:displayName> + <ipxact:value>lf_cbig_setting4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_cp_lf_order" type="string"> + <ipxact:name>cmu_fpll_pll_cp_lf_order</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_cp_lf_order</ipxact:displayName> + <ipxact:value>lf_2nd_order</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_lf_resistance" type="string"> + <ipxact:name>cmu_fpll_pll_lf_resistance</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_lf_resistance</ipxact:displayName> + <ipxact:value>lf_res_setting1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_lf_ripplecap" type="string"> + <ipxact:name>cmu_fpll_pll_lf_ripplecap</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_lf_ripplecap</ipxact:displayName> + <ipxact:value>lf_no_ripple</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_vco_ph0_en" type="string"> + <ipxact:name>cmu_fpll_pll_vco_ph0_en</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_vco_ph0_en</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_vco_ph0_value" type="string"> + <ipxact:name>cmu_fpll_pll_vco_ph0_value</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_vco_ph0_value</ipxact:displayName> + <ipxact:value>pll_vco_ph0_vss</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_vco_ph1_en" type="string"> + <ipxact:name>cmu_fpll_pll_vco_ph1_en</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_vco_ph1_en</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_vco_ph1_value" type="string"> + <ipxact:name>cmu_fpll_pll_vco_ph1_value</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_vco_ph1_value</ipxact:displayName> + <ipxact:value>pll_vco_ph1_vss</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_vco_ph2_en" type="string"> + <ipxact:name>cmu_fpll_pll_vco_ph2_en</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_vco_ph2_en</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_vco_ph2_value" type="string"> + <ipxact:name>cmu_fpll_pll_vco_ph2_value</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_vco_ph2_value</ipxact:displayName> + <ipxact:value>pll_vco_ph2_vss</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_vco_ph3_en" type="string"> + <ipxact:name>cmu_fpll_pll_vco_ph3_en</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_vco_ph3_en</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_vco_ph3_value" type="string"> + <ipxact:name>cmu_fpll_pll_vco_ph3_value</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_vco_ph3_value</ipxact:displayName> + <ipxact:value>pll_vco_ph3_vss</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_dsm_mode" type="string"> + <ipxact:name>cmu_fpll_pll_dsm_mode</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_dsm_mode</ipxact:displayName> + <ipxact:value>dsm_mode_integer</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_dsm_out_sel" type="string"> + <ipxact:name>cmu_fpll_pll_dsm_out_sel</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_dsm_out_sel</ipxact:displayName> + <ipxact:value>pll_dsm_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_dsm_ecn_bypass" type="string"> + <ipxact:name>cmu_fpll_pll_dsm_ecn_bypass</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_dsm_ecn_bypass</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_dsm_ecn_test_en" type="string"> + <ipxact:name>cmu_fpll_pll_dsm_ecn_test_en</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_dsm_ecn_test_en</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_dsm_fractional_division" type="string"> + <ipxact:name>cmu_fpll_pll_dsm_fractional_division</ipxact:name> + <ipxact:displayName>K-fractional division</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_dsm_fractional_value_ready" type="string"> + <ipxact:name>cmu_fpll_pll_dsm_fractional_value_ready</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_dsm_fractional_value_ready</ipxact:displayName> + <ipxact:value>pll_k_ready</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_l_counter_bypass" type="string"> + <ipxact:name>cmu_fpll_pll_l_counter_bypass</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_l_counter_bypass</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_l_counter" type="int"> + <ipxact:name>cmu_fpll_pll_l_counter</ipxact:name> + <ipxact:displayName>L-counter</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_l_counter_enable" type="string"> + <ipxact:name>cmu_fpll_pll_l_counter_enable</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_l_counter_enable</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_lock_fltr_cfg" type="int"> + <ipxact:name>cmu_fpll_pll_lock_fltr_cfg</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_lock_fltr_cfg</ipxact:displayName> + <ipxact:value>25</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_lock_fltr_test" type="string"> + <ipxact:name>cmu_fpll_pll_lock_fltr_test</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_lock_fltr_test</ipxact:displayName> + <ipxact:value>pll_lock_fltr_nrm</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_unlock_fltr_cfg" type="int"> + <ipxact:name>cmu_fpll_pll_unlock_fltr_cfg</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_unlock_fltr_cfg</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_m_counter" type="int"> + <ipxact:name>cmu_fpll_pll_m_counter</ipxact:name> + <ipxact:displayName>M-counter</ipxact:displayName> + <ipxact:value>56</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_m_counter_min_tco_enable" type="string"> + <ipxact:name>cmu_fpll_pll_m_counter_min_tco_enable</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_m_counter_min_tco_enable</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_m_counter_in_src" type="string"> + <ipxact:name>cmu_fpll_pll_m_counter_in_src</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_m_counter_in_src</ipxact:displayName> + <ipxact:value>m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_m_counter_ph_mux_prst" type="int"> + <ipxact:name>cmu_fpll_pll_m_counter_ph_mux_prst</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_m_counter_ph_mux_prst</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_m_counter_prst" type="int"> + <ipxact:name>cmu_fpll_pll_m_counter_prst</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_m_counter_prst</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_m_counter_coarse_dly" type="string"> + <ipxact:name>cmu_fpll_pll_m_counter_coarse_dly</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_m_counter_coarse_dly</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_m_counter_fine_dly" type="string"> + <ipxact:name>cmu_fpll_pll_m_counter_fine_dly</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_m_counter_fine_dly</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_n_counter" type="int"> + <ipxact:name>cmu_fpll_pll_n_counter</ipxact:name> + <ipxact:displayName>N-counter</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_n_counter_coarse_dly" type="string"> + <ipxact:name>cmu_fpll_pll_n_counter_coarse_dly</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_n_counter_coarse_dly</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_n_counter_fine_dly" type="string"> + <ipxact:name>cmu_fpll_pll_n_counter_fine_dly</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_n_counter_fine_dly</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_ref_buf_dly" type="string"> + <ipxact:name>cmu_fpll_pll_ref_buf_dly</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_ref_buf_dly</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_tclk_mux_en" type="string"> + <ipxact:name>cmu_fpll_pll_tclk_mux_en</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_tclk_mux_en</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_tclk_sel" type="string"> + <ipxact:name>cmu_fpll_pll_tclk_sel</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_tclk_sel</ipxact:displayName> + <ipxact:value>pll_tclk_m_src</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_dprio_base_addr" type="int"> + <ipxact:name>cmu_fpll_pll_dprio_base_addr</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_dprio_base_addr</ipxact:displayName> + <ipxact:value>256</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_dprio_broadcast_en" type="string"> + <ipxact:name>cmu_fpll_pll_dprio_broadcast_en</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_dprio_broadcast_en</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_dprio_clk_vreg_boost" type="string"> + <ipxact:name>cmu_fpll_pll_dprio_clk_vreg_boost</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_dprio_clk_vreg_boost</ipxact:displayName> + <ipxact:value>clk_fpll_vreg_no_voltage_boost</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_dprio_cvp_inter_sel" type="string"> + <ipxact:name>cmu_fpll_pll_dprio_cvp_inter_sel</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_dprio_cvp_inter_sel</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_dprio_force_inter_sel" type="string"> + <ipxact:name>cmu_fpll_pll_dprio_force_inter_sel</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_dprio_force_inter_sel</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_dprio_fpll_vreg_boost" type="string"> + <ipxact:name>cmu_fpll_pll_dprio_fpll_vreg_boost</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_dprio_fpll_vreg_boost</ipxact:displayName> + <ipxact:value>fpll_vreg_boost_1_step</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_dprio_fpll_vreg1_boost" type="string"> + <ipxact:name>cmu_fpll_pll_dprio_fpll_vreg1_boost</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_dprio_fpll_vreg1_boost</ipxact:displayName> + <ipxact:value>fpll_vreg1_boost_1_step</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_dprio_power_iso_en" type="string"> + <ipxact:name>cmu_fpll_pll_dprio_power_iso_en</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_dprio_power_iso_en</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_dprio_status_select" type="string"> + <ipxact:name>cmu_fpll_pll_dprio_status_select</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_dprio_status_select</ipxact:displayName> + <ipxact:value>dprio_normal_status</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_extra_csr" type="int"> + <ipxact:name>cmu_fpll_pll_extra_csr</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_extra_csr</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_nreset_invert" type="string"> + <ipxact:name>cmu_fpll_pll_nreset_invert</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_nreset_invert</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_ctrl_override_setting" type="string"> + <ipxact:name>cmu_fpll_pll_ctrl_override_setting</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_ctrl_override_setting</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_enable" type="string"> + <ipxact:name>cmu_fpll_pll_enable</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_enable</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_self_reset" type="string"> + <ipxact:name>cmu_fpll_pll_self_reset</ipxact:name> + <ipxact:displayName>pll_self_reset</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_test_enable" type="string"> + <ipxact:name>cmu_fpll_pll_test_enable</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_test_enable</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_ctrl_plniotri_override" type="string"> + <ipxact:name>cmu_fpll_pll_ctrl_plniotri_override</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_ctrl_plniotri_override</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_vccr_pd_en" type="string"> + <ipxact:name>cmu_fpll_pll_vccr_pd_en</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_vccr_pd_en</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_bw_sel" type="string"> + <ipxact:name>cmu_fpll_bw_sel</ipxact:name> + <ipxact:displayName>cmu_fpll_bw_sel</ipxact:displayName> + <ipxact:value>low</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_compensation_mode" type="string"> + <ipxact:name>cmu_fpll_compensation_mode</ipxact:name> + <ipxact:displayName>cmu_fpll_compensation_mode</ipxact:displayName> + <ipxact:value>direct</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_duty_cycle_0" type="int"> + <ipxact:name>cmu_fpll_duty_cycle_0</ipxact:name> + <ipxact:displayName>cmu_fpll_duty_cycle_0</ipxact:displayName> + <ipxact:value>50</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_duty_cycle_1" type="int"> + <ipxact:name>cmu_fpll_duty_cycle_1</ipxact:name> + <ipxact:displayName>cmu_fpll_duty_cycle_1</ipxact:displayName> + <ipxact:value>50</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_duty_cycle_2" type="int"> + <ipxact:name>cmu_fpll_duty_cycle_2</ipxact:name> + <ipxact:displayName>cmu_fpll_duty_cycle_2</ipxact:displayName> + <ipxact:value>50</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_duty_cycle_3" type="int"> + <ipxact:name>cmu_fpll_duty_cycle_3</ipxact:name> + <ipxact:displayName>cmu_fpll_duty_cycle_3</ipxact:displayName> + <ipxact:value>50</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_hssi_output_clock_frequency" type="string"> + <ipxact:name>cmu_fpll_hssi_output_clock_frequency</ipxact:name> + <ipxact:displayName>cmu_fpll_hssi_output_clock_frequency</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_is_cascaded_pll" type="string"> + <ipxact:name>cmu_fpll_is_cascaded_pll</ipxact:name> + <ipxact:displayName>cmu_fpll_is_cascaded_pll</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_output_clock_frequency_0" type="string"> + <ipxact:name>cmu_fpll_output_clock_frequency_0</ipxact:name> + <ipxact:displayName>cmu_fpll_output_clock_frequency_0</ipxact:displayName> + <ipxact:value>200.0 MHz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_output_clock_frequency_1" type="string"> + <ipxact:name>cmu_fpll_output_clock_frequency_1</ipxact:name> + <ipxact:displayName>cmu_fpll_output_clock_frequency_1</ipxact:displayName> + <ipxact:value>200.0 MHz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_output_clock_frequency_2" type="string"> + <ipxact:name>cmu_fpll_output_clock_frequency_2</ipxact:name> + <ipxact:displayName>cmu_fpll_output_clock_frequency_2</ipxact:displayName> + <ipxact:value>400.0 MHz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_output_clock_frequency_3" type="string"> + <ipxact:name>cmu_fpll_output_clock_frequency_3</ipxact:name> + <ipxact:displayName>cmu_fpll_output_clock_frequency_3</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_phase_shift_0" type="string"> + <ipxact:name>cmu_fpll_phase_shift_0</ipxact:name> + <ipxact:displayName>cmu_fpll_phase_shift_0</ipxact:displayName> + <ipxact:value>0.0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_phase_shift_1" type="string"> + <ipxact:name>cmu_fpll_phase_shift_1</ipxact:name> + <ipxact:displayName>cmu_fpll_phase_shift_1</ipxact:displayName> + <ipxact:value>89.285714 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_phase_shift_2" type="string"> + <ipxact:name>cmu_fpll_phase_shift_2</ipxact:name> + <ipxact:displayName>cmu_fpll_phase_shift_2</ipxact:displayName> + <ipxact:value>0.0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_phase_shift_3" type="string"> + <ipxact:name>cmu_fpll_phase_shift_3</ipxact:name> + <ipxact:displayName>cmu_fpll_phase_shift_3</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_reference_clock_frequency" type="string"> + <ipxact:name>cmu_fpll_reference_clock_frequency</ipxact:name> + <ipxact:displayName>cmu_fpll_reference_clock_frequency</ipxact:displayName> + <ipxact:value>200.0 MHz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_vco_frequency" type="string"> + <ipxact:name>cmu_fpll_vco_frequency</ipxact:name> + <ipxact:displayName>VCO Frequency</ipxact:displayName> + <ipxact:value>11200.0 MHz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_cgb_div" type="int"> + <ipxact:name>cmu_fpll_cgb_div</ipxact:name> + <ipxact:displayName>cmu_fpll_cgb_div</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pma_width" type="int"> + <ipxact:name>cmu_fpll_pma_width</ipxact:name> + <ipxact:displayName>cmu_fpll_pma_width</ipxact:displayName> + <ipxact:value>64</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_f_out_c3_hz" type="string"> + <ipxact:name>cmu_fpll_f_out_c3_hz</ipxact:name> + <ipxact:displayName>cmu_fpll_f_out_c3_hz</ipxact:displayName> + <ipxact:value>0 hz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_f_out_c1_hz" type="string"> + <ipxact:name>cmu_fpll_f_out_c1_hz</ipxact:name> + <ipxact:displayName>cmu_fpll_f_out_c1_hz</ipxact:displayName> + <ipxact:value>0 hz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_f_out_c0_hz" type="string"> + <ipxact:name>cmu_fpll_f_out_c0_hz</ipxact:name> + <ipxact:displayName>cmu_fpll_f_out_c0_hz</ipxact:displayName> + <ipxact:value>0 hz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_f_out_c2_hz" type="string"> + <ipxact:name>cmu_fpll_f_out_c2_hz</ipxact:name> + <ipxact:displayName>cmu_fpll_f_out_c2_hz</ipxact:displayName> + <ipxact:value>0 hz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_f_out_c3" type="string"> + <ipxact:name>cmu_fpll_f_out_c3</ipxact:name> + <ipxact:displayName>cmu_fpll_f_out_c3</ipxact:displayName> + <ipxact:value>000000000000000000000000000000000000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_f_out_c1" type="string"> + <ipxact:name>cmu_fpll_f_out_c1</ipxact:name> + <ipxact:displayName>cmu_fpll_f_out_c1</ipxact:displayName> + <ipxact:value>000000001011111010111100001000000000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_f_out_c0" type="string"> + <ipxact:name>cmu_fpll_f_out_c0</ipxact:name> + <ipxact:displayName>cmu_fpll_f_out_c0</ipxact:displayName> + <ipxact:value>000000001011111010111100001000000000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_f_out_c2" type="string"> + <ipxact:name>cmu_fpll_f_out_c2</ipxact:name> + <ipxact:displayName>cmu_fpll_f_out_c2</ipxact:displayName> + <ipxact:value>000000010111110101111000010000000000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_output_tolerance" type="int"> + <ipxact:name>cmu_fpll_output_tolerance</ipxact:name> + <ipxact:displayName>cmu_fpll_output_tolerance</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_f_min_band_9" type="string"> + <ipxact:name>cmu_fpll_f_min_band_9</ipxact:name> + <ipxact:displayName>cmu_fpll_f_min_band_9</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_initial_settings" type="string"> + <ipxact:name>cmu_fpll_initial_settings</ipxact:name> + <ipxact:displayName>cmu_fpll_initial_settings</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_f_max_band_9" type="string"> + <ipxact:name>cmu_fpll_f_max_band_9</ipxact:name> + <ipxact:displayName>cmu_fpll_f_max_band_9</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_analog_mode" type="string"> + <ipxact:name>cmu_fpll_analog_mode</ipxact:name> + <ipxact:displayName>cmu_fpll_analog_mode</ipxact:displayName> + <ipxact:value>user_custom</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_min_fractional_percentage" type="int"> + <ipxact:name>cmu_fpll_min_fractional_percentage</ipxact:name> + <ipxact:displayName>cmu_fpll_min_fractional_percentage</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_max_fractional_percentage" type="int"> + <ipxact:name>cmu_fpll_max_fractional_percentage</ipxact:name> + <ipxact:displayName>cmu_fpll_max_fractional_percentage</ipxact:displayName> + <ipxact:value>99</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_f_max_vco_fractional" type="string"> + <ipxact:name>cmu_fpll_f_max_vco_fractional</ipxact:name> + <ipxact:displayName>cmu_fpll_f_max_vco_fractional</ipxact:displayName> + <ipxact:value>14025000000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_input_tolerance" type="int"> + <ipxact:name>cmu_fpll_input_tolerance</ipxact:name> + <ipxact:displayName>cmu_fpll_input_tolerance</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_m_counter_c2" type="int"> + <ipxact:name>cmu_fpll_m_counter_c2</ipxact:name> + <ipxact:displayName>cmu_fpll_m_counter_c2</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_m_counter_c3" type="int"> + <ipxact:name>cmu_fpll_m_counter_c3</ipxact:name> + <ipxact:displayName>cmu_fpll_m_counter_c3</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_m_counter_c0" type="int"> + <ipxact:name>cmu_fpll_m_counter_c0</ipxact:name> + <ipxact:displayName>cmu_fpll_m_counter_c0</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_m_counter_c1" type="int"> + <ipxact:name>cmu_fpll_m_counter_c1</ipxact:name> + <ipxact:displayName>cmu_fpll_m_counter_c1</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pfd_freq" type="string"> + <ipxact:name>cmu_fpll_pfd_freq</ipxact:name> + <ipxact:displayName>cmu_fpll_pfd_freq</ipxact:displayName> + <ipxact:value>000000000101111101011110000100000000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_f_max_pfd_fractional" type="string"> + <ipxact:name>cmu_fpll_f_max_pfd_fractional</ipxact:name> + <ipxact:displayName>cmu_fpll_f_max_pfd_fractional</ipxact:displayName> + <ipxact:value>800000000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_vco_freq_band_0_fix_high" type="string"> + <ipxact:name>cmu_fpll_pll_vco_freq_band_0_fix_high</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_vco_freq_band_0_fix_high</ipxact:displayName> + <ipxact:value>pll_vco_freq_band_0_fix_high_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_vco_freq_band_1_fix_high" type="string"> + <ipxact:name>cmu_fpll_pll_vco_freq_band_1_fix_high</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_vco_freq_band_1_fix_high</ipxact:displayName> + <ipxact:value>pll_vco_freq_band_1_fix_high_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_xpm_cmu_fpll_core_cal_vco_count_length" type="string"> + <ipxact:name>cmu_fpll_xpm_cmu_fpll_core_cal_vco_count_length</ipxact:name> + <ipxact:displayName>cmu_fpll_xpm_cmu_fpll_core_cal_vco_count_length</ipxact:displayName> + <ipxact:value>sel_8b_count</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_xpm_cmu_fpll_core_pfd_pulse_width" type="string"> + <ipxact:name>cmu_fpll_xpm_cmu_fpll_core_pfd_pulse_width</ipxact:name> + <ipxact:displayName>cmu_fpll_xpm_cmu_fpll_core_pfd_pulse_width</ipxact:displayName> + <ipxact:value>pulse_width_setting0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_xpm_cmu_fpll_core_fpll_vco_div_by_2_sel" type="string"> + <ipxact:name>cmu_fpll_xpm_cmu_fpll_core_fpll_vco_div_by_2_sel</ipxact:name> + <ipxact:displayName>cmu_fpll_xpm_cmu_fpll_core_fpll_vco_div_by_2_sel</ipxact:displayName> + <ipxact:value>bypass_divide_by_2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_vco_freq_band_1_dyn_high_bits" type="int"> + <ipxact:name>cmu_fpll_pll_vco_freq_band_1_dyn_high_bits</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_vco_freq_band_1_dyn_high_bits</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_set_fpll_input_freq_range" type="int"> + <ipxact:name>cmu_fpll_set_fpll_input_freq_range</ipxact:name> + <ipxact:displayName>cmu_fpll_set_fpll_input_freq_range</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_f_max_pfd_integer" type="string"> + <ipxact:name>cmu_fpll_f_max_pfd_integer</ipxact:name> + <ipxact:displayName>cmu_fpll_f_max_pfd_integer</ipxact:displayName> + <ipxact:value>800000000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_vco_freq_band_0_fix" type="int"> + <ipxact:name>cmu_fpll_pll_vco_freq_band_0_fix</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_vco_freq_band_0_fix</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_f_max_pfd_bonded" type="string"> + <ipxact:name>cmu_fpll_f_max_pfd_bonded</ipxact:name> + <ipxact:displayName>cmu_fpll_f_max_pfd_bonded</ipxact:displayName> + <ipxact:value>600000000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_vco_freq_band_0_dyn_high_bits" type="int"> + <ipxact:name>cmu_fpll_pll_vco_freq_band_0_dyn_high_bits</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_vco_freq_band_0_dyn_high_bits</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_vco_freq_band_1_fix" type="int"> + <ipxact:name>cmu_fpll_pll_vco_freq_band_1_fix</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_vco_freq_band_1_fix</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_xpm_cmu_fpll_core_xpm_cpvco_fpll_xpm_chgpmplf_fpll_cp_current_boost" type="string"> + <ipxact:name>cmu_fpll_xpm_cmu_fpll_core_xpm_cpvco_fpll_xpm_chgpmplf_fpll_cp_current_boost</ipxact:name> + <ipxact:displayName>cmu_fpll_xpm_cmu_fpll_core_xpm_cpvco_fpll_xpm_chgpmplf_fpll_cp_current_boost</ipxact:displayName> + <ipxact:value>normal_setting</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_xpm_cmu_fpll_core_fpll_refclk_source" type="string"> + <ipxact:name>cmu_fpll_xpm_cmu_fpll_core_fpll_refclk_source</ipxact:name> + <ipxact:displayName>cmu_fpll_xpm_cmu_fpll_core_fpll_refclk_source</ipxact:displayName> + <ipxact:value>normal_refclk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_fpll_cal_test_sel" type="string"> + <ipxact:name>cmu_fpll_fpll_cal_test_sel</ipxact:name> + <ipxact:displayName>cmu_fpll_fpll_cal_test_sel</ipxact:displayName> + <ipxact:value>sel_cal_out_7_to_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_vco_freq_band_0_dyn_low_bits" type="int"> + <ipxact:name>cmu_fpll_pll_vco_freq_band_0_dyn_low_bits</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_vco_freq_band_0_dyn_low_bits</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_f_max_div_two_bypass" type="string"> + <ipxact:name>cmu_fpll_f_max_div_two_bypass</ipxact:name> + <ipxact:displayName>cmu_fpll_f_max_div_two_bypass</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_xpm_cmu_fpll_core_pfd_delay_compensation" type="string"> + <ipxact:name>cmu_fpll_xpm_cmu_fpll_core_pfd_delay_compensation</ipxact:name> + <ipxact:displayName>cmu_fpll_xpm_cmu_fpll_core_pfd_delay_compensation</ipxact:displayName> + <ipxact:value>normal_delay</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_vco_freq_band_1_dyn_low_bits" type="int"> + <ipxact:name>cmu_fpll_pll_vco_freq_band_1_dyn_low_bits</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_vco_freq_band_1_dyn_low_bits</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_enable_idle_fpll_support" type="string"> + <ipxact:name>cmu_fpll_enable_idle_fpll_support</ipxact:name> + <ipxact:displayName>cmu_fpll_enable_idle_fpll_support</ipxact:displayName> + <ipxact:value>idle_none</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_pll_clk_sel_override" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_pll_clk_sel_override</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_pll_clk_sel_override</ipxact:displayName> + <ipxact:value>normal</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_pll_clk_sel_override_value" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_pll_clk_sel_override_value</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_pll_clk_sel_override_value</ipxact:displayName> + <ipxact:value>select_clk0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_pll_clkin_0_scratch0_src" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_pll_clkin_0_scratch0_src</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_pll_clkin_0_scratch0_src</ipxact:displayName> + <ipxact:value>pll_clkin_0_scratch0_src_vss</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_pll_clkin_0_scratch1_src" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_pll_clkin_0_scratch1_src</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_pll_clkin_0_scratch1_src</ipxact:displayName> + <ipxact:value>pll_clkin_0_scratch1_src_vss</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_pll_clkin_0_scratch2_src" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_pll_clkin_0_scratch2_src</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_pll_clkin_0_scratch2_src</ipxact:displayName> + <ipxact:value>pll_clkin_0_scratch2_src_vss</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_pll_clkin_0_scratch3_src" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_pll_clkin_0_scratch3_src</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_pll_clkin_0_scratch3_src</ipxact:displayName> + <ipxact:value>pll_clkin_0_scratch3_src_vss</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_pll_clkin_0_scratch4_src" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_pll_clkin_0_scratch4_src</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_pll_clkin_0_scratch4_src</ipxact:displayName> + <ipxact:value>pll_clkin_0_scratch4_src_vss</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_pll_clkin_1_scratch0_src" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_pll_clkin_1_scratch0_src</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_pll_clkin_1_scratch0_src</ipxact:displayName> + <ipxact:value>pll_clkin_1_scratch0_src_vss</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_pll_clkin_1_scratch1_src" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_pll_clkin_1_scratch1_src</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_pll_clkin_1_scratch1_src</ipxact:displayName> + <ipxact:value>pll_clkin_1_scratch1_src_vss</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_pll_clkin_1_scratch2_src" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_pll_clkin_1_scratch2_src</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_pll_clkin_1_scratch2_src</ipxact:displayName> + <ipxact:value>pll_clkin_1_scratch2_src_vss</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_pll_clkin_1_scratch3_src" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_pll_clkin_1_scratch3_src</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_pll_clkin_1_scratch3_src</ipxact:displayName> + <ipxact:value>pll_clkin_1_scratch3_src_vss</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_pll_clkin_1_scratch4_src" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_pll_clkin_1_scratch4_src</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_pll_clkin_1_scratch4_src</ipxact:displayName> + <ipxact:value>pll_clkin_1_scratch4_src_vss</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_pll_powerdown_mode" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_pll_powerdown_mode</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_pll_powerdown_mode</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_pll_sup_mode" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_pll_sup_mode</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_pll_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_pll_clkin_0_src" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_pll_clkin_0_src</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_pll_clkin_0_src</ipxact:displayName> + <ipxact:value>pll_clkin_0_src_vss</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_pll_clkin_1_src" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_pll_clkin_1_src</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_pll_clkin_1_src</ipxact:displayName> + <ipxact:value>pll_clkin_1_src_vss</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_pll_auto_clk_sw_en" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_pll_auto_clk_sw_en</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_pll_auto_clk_sw_en</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_pll_clk_loss_edge" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_pll_clk_loss_edge</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_pll_clk_loss_edge</ipxact:displayName> + <ipxact:value>pll_clk_loss_both_edges</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_pll_clk_loss_sw_en" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_pll_clk_loss_sw_en</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_pll_clk_loss_sw_en</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_pll_clk_sw_dly" type="int"> + <ipxact:name>cmu_fpll_refclk_select_mux_pll_clk_sw_dly</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_pll_clk_sw_dly</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_pll_manu_clk_sw_en" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_pll_manu_clk_sw_en</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_pll_manu_clk_sw_en</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_pll_sw_refclk_src" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_pll_sw_refclk_src</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_pll_sw_refclk_src</ipxact:displayName> + <ipxact:value>pll_sw_refclk_src_clk_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_xpm_iqref_mux0_iqclk_sel" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_xpm_iqref_mux0_iqclk_sel</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_xpm_iqref_mux0_iqclk_sel</ipxact:displayName> + <ipxact:value>power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_xpm_iqref_mux0_scratch0_src" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_xpm_iqref_mux0_scratch0_src</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_xpm_iqref_mux0_scratch0_src</ipxact:displayName> + <ipxact:value>scratch0_power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_xpm_iqref_mux0_scratch1_src" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_xpm_iqref_mux0_scratch1_src</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_xpm_iqref_mux0_scratch1_src</ipxact:displayName> + <ipxact:value>scratch1_power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_xpm_iqref_mux0_scratch2_src" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_xpm_iqref_mux0_scratch2_src</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_xpm_iqref_mux0_scratch2_src</ipxact:displayName> + <ipxact:value>scratch2_power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_xpm_iqref_mux0_scratch3_src" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_xpm_iqref_mux0_scratch3_src</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_xpm_iqref_mux0_scratch3_src</ipxact:displayName> + <ipxact:value>scratch3_power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_xpm_iqref_mux0_scratch4_src" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_xpm_iqref_mux0_scratch4_src</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_xpm_iqref_mux0_scratch4_src</ipxact:displayName> + <ipxact:value>scratch4_power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_xpm_iqref_mux1_iqclk_sel" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_xpm_iqref_mux1_iqclk_sel</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_xpm_iqref_mux1_iqclk_sel</ipxact:displayName> + <ipxact:value>power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_xpm_iqref_mux1_scratch0_src" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_xpm_iqref_mux1_scratch0_src</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_xpm_iqref_mux1_scratch0_src</ipxact:displayName> + <ipxact:value>scratch0_power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_xpm_iqref_mux1_scratch1_src" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_xpm_iqref_mux1_scratch1_src</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_xpm_iqref_mux1_scratch1_src</ipxact:displayName> + <ipxact:value>scratch1_power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_xpm_iqref_mux1_scratch2_src" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_xpm_iqref_mux1_scratch2_src</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_xpm_iqref_mux1_scratch2_src</ipxact:displayName> + <ipxact:value>scratch2_power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_xpm_iqref_mux1_scratch3_src" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_xpm_iqref_mux1_scratch3_src</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_xpm_iqref_mux1_scratch3_src</ipxact:displayName> + <ipxact:value>scratch3_power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_xpm_iqref_mux1_scratch4_src" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_xpm_iqref_mux1_scratch4_src</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_xpm_iqref_mux1_scratch4_src</ipxact:displayName> + <ipxact:value>scratch4_power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_silicon_rev" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_silicon_rev</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_refclk_select0" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_refclk_select0</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_refclk_select0</ipxact:displayName> + <ipxact:value>lvpecl</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_refclk_select1" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_refclk_select1</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_refclk_select1</ipxact:displayName> + <ipxact:value>ref_iqclk0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_mux0_inclk0_logical_to_physical_mapping" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_mux0_inclk0_logical_to_physical_mapping</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_mux0_inclk0_logical_to_physical_mapping</ipxact:displayName> + <ipxact:value>lvpecl</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_mux0_inclk1_logical_to_physical_mapping" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_mux0_inclk1_logical_to_physical_mapping</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_mux0_inclk1_logical_to_physical_mapping</ipxact:displayName> + <ipxact:value>power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_mux0_inclk2_logical_to_physical_mapping" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_mux0_inclk2_logical_to_physical_mapping</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_mux0_inclk2_logical_to_physical_mapping</ipxact:displayName> + <ipxact:value>power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_mux0_inclk3_logical_to_physical_mapping" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_mux0_inclk3_logical_to_physical_mapping</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_mux0_inclk3_logical_to_physical_mapping</ipxact:displayName> + <ipxact:value>power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_mux0_inclk4_logical_to_physical_mapping" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_mux0_inclk4_logical_to_physical_mapping</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_mux0_inclk4_logical_to_physical_mapping</ipxact:displayName> + <ipxact:value>power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_mux1_inclk0_logical_to_physical_mapping" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_mux1_inclk0_logical_to_physical_mapping</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_mux1_inclk0_logical_to_physical_mapping</ipxact:displayName> + <ipxact:value>lvpecl</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_mux1_inclk1_logical_to_physical_mapping" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_mux1_inclk1_logical_to_physical_mapping</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_mux1_inclk1_logical_to_physical_mapping</ipxact:displayName> + <ipxact:value>power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_mux1_inclk2_logical_to_physical_mapping" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_mux1_inclk2_logical_to_physical_mapping</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_mux1_inclk2_logical_to_physical_mapping</ipxact:displayName> + <ipxact:value>power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_mux1_inclk3_logical_to_physical_mapping" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_mux1_inclk3_logical_to_physical_mapping</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_mux1_inclk3_logical_to_physical_mapping</ipxact:displayName> + <ipxact:value>power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_mux1_inclk4_logical_to_physical_mapping" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_mux1_inclk4_logical_to_physical_mapping</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_mux1_inclk4_logical_to_physical_mapping</ipxact:displayName> + <ipxact:value>power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_pll_set_hssi_m_counter" type="int"> + <ipxact:name>gui_pll_set_hssi_m_counter</ipxact:name> + <ipxact:displayName>Multiply factor (M-counter)</ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_pll_set_hssi_n_counter" type="int"> + <ipxact:name>gui_pll_set_hssi_n_counter</ipxact:name> + <ipxact:displayName>Divide factor (N-counter)</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_pll_set_hssi_l_counter" type="int"> + <ipxact:name>gui_pll_set_hssi_l_counter</ipxact:name> + <ipxact:displayName>Divide factor (L-counter)</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_pll_set_hssi_k_counter" type="longint"> + <ipxact:name>gui_pll_set_hssi_k_counter</ipxact:name> + <ipxact:displayName>Divide factor (K-counter)</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="device_family" type="string"> + <ipxact:name>device_family</ipxact:name> + <ipxact:displayName>Device Family</ipxact:displayName> + <ipxact:value>Arria 10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="device" type="string"> + <ipxact:name>device</ipxact:name> + <ipxact:displayName>Device</ipxact:displayName> + <ipxact:value>10AX115U3F45E2SG</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="base_device" type="string"> + <ipxact:name>base_device</ipxact:name> + <ipxact:displayName>Device</ipxact:displayName> + <ipxact:value>NIGHTFURY5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="device_revision" type="string"> + <ipxact:name>device_revision</ipxact:name> + <ipxact:displayName>device_revision</ipxact:displayName> + <ipxact:value>20nm5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="device_speed_grade" type="string"> + <ipxact:name>device_speed_grade</ipxact:name> + <ipxact:displayName>Speed grade</ipxact:displayName> + <ipxact:value>e3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="numeric_speed_grade" type="int"> + <ipxact:name>numeric_speed_grade</ipxact:name> + <ipxact:displayName>Speed grade</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_fpll_mode" type="string"> + <ipxact:name>gui_fpll_mode</ipxact:name> + <ipxact:displayName>FPLL Mode</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="primary_use" type="string"> + <ipxact:name>primary_use</ipxact:name> + <ipxact:displayName>FPLL Mode</ipxact:displayName> + <ipxact:value>core</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_hssi_prot_mode" type="string"> + <ipxact:name>gui_hssi_prot_mode</ipxact:name> + <ipxact:displayName>Protocol mode</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prot_mode" type="string"> + <ipxact:name>prot_mode</ipxact:name> + <ipxact:displayName>Protocol mode</ipxact:displayName> + <ipxact:value>basic_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_refclk_switch" type="bit"> + <ipxact:name>gui_refclk_switch</ipxact:name> + <ipxact:displayName>Create a second input clock 'pll_refclk1'</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_refclk1_frequency" type="real"> + <ipxact:name>gui_refclk1_frequency</ipxact:name> + <ipxact:displayName>Second Reference Clock Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_switchover_mode" type="string"> + <ipxact:name>gui_switchover_mode</ipxact:name> + <ipxact:displayName>Switchover Mode</ipxact:displayName> + <ipxact:value>Automatic Switchover</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_switchover_delay" type="int"> + <ipxact:name>gui_switchover_delay</ipxact:name> + <ipxact:displayName>Switchover Delays</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_enable_active_clk" type="bit"> + <ipxact:name>gui_enable_active_clk</ipxact:name> + <ipxact:displayName>Create an 'active_clk' signal to indicate the input clock in use</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_enable_clk_bad" type="bit"> + <ipxact:name>gui_enable_clk_bad</ipxact:name> + <ipxact:displayName>Create a 'clkbad' signal for each of the input clocks</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_enable_extswitch" type="bit"> + <ipxact:name>gui_enable_extswitch</ipxact:name> + <ipxact:displayName>gui_enable_extswitch</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_advanced_options" type="int"> + <ipxact:name>enable_advanced_options</ipxact:name> + <ipxact:displayName>enable_advanced_options</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_hip_options" type="int"> + <ipxact:name>enable_hip_options</ipxact:name> + <ipxact:displayName>enable_hip_options</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="generate_docs" type="int"> + <ipxact:name>generate_docs</ipxact:name> + <ipxact:displayName>Generate parameter documentation file</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="generate_add_hdl_instance_example" type="int"> + <ipxact:name>generate_add_hdl_instance_example</ipxact:name> + <ipxact:displayName>Generate '_hw.tcl' 'add_hdl_instance' example file</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_bw_sel" type="string"> + <ipxact:name>gui_bw_sel</ipxact:name> + <ipxact:displayName>Bandwidth</ipxact:displayName> + <ipxact:value>low</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="temp_bw_sel" type="string"> + <ipxact:name>temp_bw_sel</ipxact:name> + <ipxact:displayName>Bandwidth</ipxact:displayName> + <ipxact:value>low</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_cp_lf_3rd_pole_freq" type="string"> + <ipxact:name>pll_cp_lf_3rd_pole_freq</ipxact:name> + <ipxact:displayName>pll_cp_lf_3rd_pole_freq</ipxact:displayName> + <ipxact:value>lf_3rd_pole_setting0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_self_reset_enabled" type="bit"> + <ipxact:name>gui_self_reset_enabled</ipxact:name> + <ipxact:displayName>PLL Auto Reset</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_enable_low_f_support" type="bit"> + <ipxact:name>gui_enable_low_f_support</ipxact:name> + <ipxact:displayName>Enable expanded reference clock range for low output frequency support</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_is_downstream_cascaded_pll" type="bit"> + <ipxact:name>gui_is_downstream_cascaded_pll</ipxact:name> + <ipxact:displayName>Enable downstream cascaded pll</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_enable_50G_support" type="bit"> + <ipxact:name>gui_enable_50G_support</ipxact:name> + <ipxact:displayName>Enable support for 50G solution</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="silicon_rev" type="bit"> + <ipxact:name>silicon_rev</ipxact:name> + <ipxact:displayName>Silicon revision ES</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_silicon_rev" type="string"> + <ipxact:name>gui_silicon_rev</ipxact:name> + <ipxact:displayName>gui_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_reference_clock_frequency" type="real"> + <ipxact:name>gui_reference_clock_frequency</ipxact:name> + <ipxact:displayName>Reference clock frequency</ipxact:displayName> + <ipxact:value>200.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_desired_refclk_frequency" type="real"> + <ipxact:name>gui_desired_refclk_frequency</ipxact:name> + <ipxact:displayName>Desired reference clock frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_refclk_frequency" type="string"> + <ipxact:name>gui_actual_refclk_frequency</ipxact:name> + <ipxact:displayName>Actual reference clock frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="full_actual_refclk_frequency" type="real"> + <ipxact:name>full_actual_refclk_frequency</ipxact:name> + <ipxact:displayName>Actual reference clock frequency</ipxact:displayName> + <ipxact:value>94.33962264150944</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="reference_clock_frequency" type="string"> + <ipxact:name>reference_clock_frequency</ipxact:name> + <ipxact:displayName>Reference clock frequency</ipxact:displayName> + <ipxact:value>200.0 MHz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_operation_mode" type="string"> + <ipxact:name>gui_operation_mode</ipxact:name> + <ipxact:displayName>Operation mode</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="compensation_mode" type="string"> + <ipxact:name>compensation_mode</ipxact:name> + <ipxact:displayName>Operation mode</ipxact:displayName> + <ipxact:value>direct</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="feedback" type="string"> + <ipxact:name>feedback</ipxact:name> + <ipxact:displayName>Operation mode</ipxact:displayName> + <ipxact:value>normal</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_enable_iqtxrxclk_mode" type="bit"> + <ipxact:name>gui_enable_iqtxrxclk_mode</ipxact:name> + <ipxact:displayName>Operation mode for IQTXRXCLK</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_iqtxrxclk_outclk_index" type="string"> + <ipxact:name>gui_iqtxrxclk_outclk_index</ipxact:name> + <ipxact:displayName>Specifies which core outclk to be used as feedback source</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_refclk_cnt" type="int"> + <ipxact:name>gui_refclk_cnt</ipxact:name> + <ipxact:displayName>Number of PLL reference clocks</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_refclk_index" type="int"> + <ipxact:name>gui_refclk_index</ipxact:name> + <ipxact:displayName>Selected reference clock source</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_enable_fractional" type="bit"> + <ipxact:name>gui_enable_fractional</ipxact:name> + <ipxact:displayName>Enable fractional mode</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_enable_manual_hssi_counters" type="bit"> + <ipxact:name>gui_enable_manual_hssi_counters</ipxact:name> + <ipxact:displayName>Enable manual counter configuration</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_cascade_in" type="int"> + <ipxact:name>enable_cascade_in</ipxact:name> + <ipxact:displayName>Enable ATX to FPLL cascade clock input port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_analog_resets" type="int"> + <ipxact:name>enable_analog_resets</ipxact:name> + <ipxact:displayName>Enable pll_powerdown and mcgb_rst connections</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_enable_pld_cal_busy_port" type="int"> + <ipxact:name>gui_enable_pld_cal_busy_port</ipxact:name> + <ipxact:displayName>enable_pld_fpll_cal_busy_port</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_enable_hip_cal_done_port" type="int"> + <ipxact:name>gui_enable_hip_cal_done_port</ipxact:name> + <ipxact:displayName>Enable calibration status ports for HIP</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_hip_cal_en" type="int"> + <ipxact:name>gui_hip_cal_en</ipxact:name> + <ipxact:displayName>Enable PCIe hard IP calibration</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hip_cal_en" type="string"> + <ipxact:name>hip_cal_en</ipxact:name> + <ipxact:displayName>hip_cal_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_enable_cascade_out" type="bit"> + <ipxact:name>gui_enable_cascade_out</ipxact:name> + <ipxact:displayName>Enable cascade clock output port (FPLL to FPLL cascading)</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_cascade_outclk_index" type="string"> + <ipxact:name>gui_cascade_outclk_index</ipxact:name> + <ipxact:displayName>Specifies which core outclk to be used as cascading source</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_enable_dps" type="bit"> + <ipxact:name>gui_enable_dps</ipxact:name> + <ipxact:displayName>Enable access to dynamic phase shift ports</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_enable_manual_config" type="bit"> + <ipxact:name>gui_enable_manual_config</ipxact:name> + <ipxact:displayName>Enable physical output clock parameters</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_hssi_calc_output_clock_frequency" type="real"> + <ipxact:name>gui_hssi_calc_output_clock_frequency</ipxact:name> + <ipxact:displayName>PLL output frequency</ipxact:displayName> + <ipxact:value>1250.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_hssi_output_clock_frequency" type="real"> + <ipxact:name>gui_hssi_output_clock_frequency</ipxact:name> + <ipxact:displayName>PLL output frequency</ipxact:displayName> + <ipxact:value>1250.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_output_clock_frequency" type="string"> + <ipxact:name>hssi_output_clock_frequency</ipxact:name> + <ipxact:displayName>PLL output frequency</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_pll_datarate" type="real"> + <ipxact:name>gui_pll_datarate</ipxact:name> + <ipxact:displayName>PLL Datarate</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_datarate" type="string"> + <ipxact:name>pll_datarate</ipxact:name> + <ipxact:displayName>PLL Datarate</ipxact:displayName> + <ipxact:value>0 Mbps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_pll_m_counter" type="int"> + <ipxact:name>gui_pll_m_counter</ipxact:name> + <ipxact:displayName>Multiply factor (M-counter)</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_pll_n_counter" type="int"> + <ipxact:name>gui_pll_n_counter</ipxact:name> + <ipxact:displayName>Divide factor (N-counter)</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_fractional_x" type="int"> + <ipxact:name>gui_fractional_x</ipxact:name> + <ipxact:displayName>Fractional factor (x)</ipxact:displayName> + <ipxact:value>32</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_pll_dsm_fractional_division" type="longint"> + <ipxact:name>gui_pll_dsm_fractional_division</ipxact:name> + <ipxact:displayName>Fractional multiply factor (K)</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_fractional_f" type="real"> + <ipxact:name>gui_fractional_f</ipxact:name> + <ipxact:displayName>Fractional factor (F)</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_pll_c_counter_0" type="int"> + <ipxact:name>gui_pll_c_counter_0</ipxact:name> + <ipxact:displayName>Divide factor (C-counter 0)</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_pll_c_counter_1" type="int"> + <ipxact:name>gui_pll_c_counter_1</ipxact:name> + <ipxact:displayName>Divide factor (C-counter 1)</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_pll_c_counter_2" type="int"> + <ipxact:name>gui_pll_c_counter_2</ipxact:name> + <ipxact:displayName>Divide factor (C-counter 2)</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_pll_c_counter_3" type="int"> + <ipxact:name>gui_pll_c_counter_3</ipxact:name> + <ipxact:displayName>Divide factor (C-counter 3)</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_number_of_output_clocks" type="string"> + <ipxact:name>gui_number_of_output_clocks</ipxact:name> + <ipxact:displayName>Number of clocks</ipxact:displayName> + <ipxact:value>3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_enable_phase_alignment" type="bit"> + <ipxact:name>gui_enable_phase_alignment</ipxact:name> + <ipxact:displayName>Enable phase alignment</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="phase_alignment_check_var" type="bit"> + <ipxact:name>phase_alignment_check_var</ipxact:name> + <ipxact:displayName>Core mode phase alignment frequency check variable</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_pfd_frequency" type="string"> + <ipxact:name>gui_pfd_frequency</ipxact:name> + <ipxact:displayName>PFD Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="core_vco_frequency_basic" type="string"> + <ipxact:name>core_vco_frequency_basic</ipxact:name> + <ipxact:displayName>VCO Frequency</ipxact:displayName> + <ipxact:value>11200.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="core_vco_frequency_adv" type="string"> + <ipxact:name>core_vco_frequency_adv</ipxact:name> + <ipxact:displayName>VCO Frequency</ipxact:displayName> + <ipxact:value>300.0 MHz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_vco_frequency" type="string"> + <ipxact:name>hssi_vco_frequency</ipxact:name> + <ipxact:displayName>VCO Frequency</ipxact:displayName> + <ipxact:value>10000.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_cascade_vco_frequency" type="string"> + <ipxact:name>hssi_cascade_vco_frequency</ipxact:name> + <ipxact:displayName>VCO Frequency</ipxact:displayName> + <ipxact:value>300.0 MHz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="vco_frequency" type="string"> + <ipxact:name>vco_frequency</ipxact:name> + <ipxact:displayName>VCO Frequency</ipxact:displayName> + <ipxact:value>11200.0 MHz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="core_pfd_frequency" type="string"> + <ipxact:name>core_pfd_frequency</ipxact:name> + <ipxact:displayName>PFD Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pfd_frequency" type="string"> + <ipxact:name>hssi_pfd_frequency</ipxact:name> + <ipxact:displayName>PFD Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_cascade_pfd_frequency" type="string"> + <ipxact:name>hssi_cascade_pfd_frequency</ipxact:name> + <ipxact:displayName>PFD Frequency</ipxact:displayName> + <ipxact:value>300.0 MHz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pfd_frequency" type="string"> + <ipxact:name>pfd_frequency</ipxact:name> + <ipxact:displayName>PFD Frequency</ipxact:displayName> + <ipxact:value>100.0 MHz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_desired_outclk0_frequency" type="real"> + <ipxact:name>gui_desired_outclk0_frequency</ipxact:name> + <ipxact:displayName>Desired frequency</ipxact:displayName> + <ipxact:value>200.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_outclk0_frequency" type="string"> + <ipxact:name>gui_actual_outclk0_frequency</ipxact:name> + <ipxact:displayName>Actual frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="full_actual_outclk0_frequency" type="string"> + <ipxact:name>full_actual_outclk0_frequency</ipxact:name> + <ipxact:displayName>Actual frequency</ipxact:displayName> + <ipxact:value>200.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="output_clock_frequency_0" type="string"> + <ipxact:name>output_clock_frequency_0</ipxact:name> + <ipxact:displayName>Actual frequency</ipxact:displayName> + <ipxact:value>200.0 MHz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_outclk0_phase_shift_unit" type="string"> + <ipxact:name>gui_outclk0_phase_shift_unit</ipxact:name> + <ipxact:displayName>Phase shift units</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_outclk0_desired_phase_shift" type="real"> + <ipxact:name>gui_outclk0_desired_phase_shift</ipxact:name> + <ipxact:displayName>Phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_outclk0_actual_phase_shift" type="string"> + <ipxact:name>gui_outclk0_actual_phase_shift</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_outclk0_actual_phase_shift_deg" type="string"> + <ipxact:name>gui_outclk0_actual_phase_shift_deg</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="full_outclk0_actual_phase_shift" type="string"> + <ipxact:name>full_outclk0_actual_phase_shift</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="phase_shift_0" type="string"> + <ipxact:name>phase_shift_0</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0.0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_desired_outclk1_frequency" type="real"> + <ipxact:name>gui_desired_outclk1_frequency</ipxact:name> + <ipxact:displayName>Desired frequency</ipxact:displayName> + <ipxact:value>200.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_outclk1_frequency" type="string"> + <ipxact:name>gui_actual_outclk1_frequency</ipxact:name> + <ipxact:displayName>Actual frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="full_actual_outclk1_frequency" type="string"> + <ipxact:name>full_actual_outclk1_frequency</ipxact:name> + <ipxact:displayName>Actual frequency</ipxact:displayName> + <ipxact:value>200.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="output_clock_frequency_1" type="string"> + <ipxact:name>output_clock_frequency_1</ipxact:name> + <ipxact:displayName>Actual frequency</ipxact:displayName> + <ipxact:value>200.0 MHz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_outclk1_phase_shift_unit" type="string"> + <ipxact:name>gui_outclk1_phase_shift_unit</ipxact:name> + <ipxact:displayName>Phase shift units</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_outclk1_desired_phase_shift" type="int"> + <ipxact:name>gui_outclk1_desired_phase_shift</ipxact:name> + <ipxact:displayName>Phase shift</ipxact:displayName> + <ipxact:value>90</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_outclk1_actual_phase_shift" type="string"> + <ipxact:name>gui_outclk1_actual_phase_shift</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_outclk1_actual_phase_shift_deg" type="string"> + <ipxact:name>gui_outclk1_actual_phase_shift_deg</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="full_outclk1_actual_phase_shift" type="string"> + <ipxact:name>full_outclk1_actual_phase_shift</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>89.28571428571428</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="phase_shift_1" type="string"> + <ipxact:name>phase_shift_1</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>89.285714 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_desired_outclk2_frequency" type="real"> + <ipxact:name>gui_desired_outclk2_frequency</ipxact:name> + <ipxact:displayName>Desired frequency</ipxact:displayName> + <ipxact:value>400.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_outclk2_frequency" type="string"> + <ipxact:name>gui_actual_outclk2_frequency</ipxact:name> + <ipxact:displayName>Actual frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="full_actual_outclk2_frequency" type="string"> + <ipxact:name>full_actual_outclk2_frequency</ipxact:name> + <ipxact:displayName>Actual frequency</ipxact:displayName> + <ipxact:value>400.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="output_clock_frequency_2" type="string"> + <ipxact:name>output_clock_frequency_2</ipxact:name> + <ipxact:displayName>Actual frequency</ipxact:displayName> + <ipxact:value>400.0 MHz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_outclk2_phase_shift_unit" type="string"> + <ipxact:name>gui_outclk2_phase_shift_unit</ipxact:name> + <ipxact:displayName>Phase shift units</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_outclk2_desired_phase_shift" type="int"> + <ipxact:name>gui_outclk2_desired_phase_shift</ipxact:name> + <ipxact:displayName>Phase shift</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_outclk2_actual_phase_shift" type="string"> + <ipxact:name>gui_outclk2_actual_phase_shift</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_outclk2_actual_phase_shift_deg" type="string"> + <ipxact:name>gui_outclk2_actual_phase_shift_deg</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0 deg</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="full_outclk2_actual_phase_shift" type="string"> + <ipxact:name>full_outclk2_actual_phase_shift</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="phase_shift_2" type="string"> + <ipxact:name>phase_shift_2</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0.0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_desired_outclk3_frequency" type="real"> + <ipxact:name>gui_desired_outclk3_frequency</ipxact:name> + <ipxact:displayName>Desired frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_outclk3_frequency" type="string"> + <ipxact:name>gui_actual_outclk3_frequency</ipxact:name> + <ipxact:displayName>Actual frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="full_actual_outclk3_frequency" type="string"> + <ipxact:name>full_actual_outclk3_frequency</ipxact:name> + <ipxact:displayName>Actual frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="output_clock_frequency_3" type="string"> + <ipxact:name>output_clock_frequency_3</ipxact:name> + <ipxact:displayName>Actual frequency</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_outclk3_phase_shift_unit" type="string"> + <ipxact:name>gui_outclk3_phase_shift_unit</ipxact:name> + <ipxact:displayName>Phase shift units</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_outclk3_desired_phase_shift" type="int"> + <ipxact:name>gui_outclk3_desired_phase_shift</ipxact:name> + <ipxact:displayName>Phase shift</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_outclk3_actual_phase_shift" type="string"> + <ipxact:name>gui_outclk3_actual_phase_shift</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_outclk3_actual_phase_shift_deg" type="string"> + <ipxact:name>gui_outclk3_actual_phase_shift_deg</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="full_outclk3_actual_phase_shift" type="string"> + <ipxact:name>full_outclk3_actual_phase_shift</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="phase_shift_3" type="string"> + <ipxact:name>phase_shift_3</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_desired_hssi_cascade_frequency" type="real"> + <ipxact:name>gui_desired_hssi_cascade_frequency</ipxact:name> + <ipxact:displayName>Desired frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="refclk_select0" type="string"> + <ipxact:name>refclk_select0</ipxact:name> + <ipxact:displayName>refclk_select0</ipxact:displayName> + <ipxact:value>lvpecl</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="refclk_select1" type="string"> + <ipxact:name>refclk_select1</ipxact:name> + <ipxact:displayName>refclk_select1</ipxact:displayName> + <ipxact:value>ref_iqclk0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="core_c_counter_0" type="int"> + <ipxact:name>core_c_counter_0</ipxact:name> + <ipxact:displayName>pll_c_counter_0</ipxact:displayName> + <ipxact:value>14</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="core_c_counter_0_in_src" type="string"> + <ipxact:name>core_c_counter_0_in_src</ipxact:name> + <ipxact:displayName>pll_c_counter_0_in_src</ipxact:displayName> + <ipxact:value>m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="core_c_counter_0_ph_mux_prst" type="int"> + <ipxact:name>core_c_counter_0_ph_mux_prst</ipxact:name> + <ipxact:displayName>pll_c_counter_0_ph_mux_prst</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="core_c_counter_0_prst" type="int"> + <ipxact:name>core_c_counter_0_prst</ipxact:name> + <ipxact:displayName>pll_c_counter_0_prst</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="core_c_counter_0_coarse_dly" type="string"> + <ipxact:name>core_c_counter_0_coarse_dly</ipxact:name> + <ipxact:displayName>pll_c_counter_0_coarse_dly</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="core_c_counter_0_fine_dly" type="string"> + <ipxact:name>core_c_counter_0_fine_dly</ipxact:name> + <ipxact:displayName>pll_c_counter_0_fine_dly</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="core_c_counter_1" type="int"> + <ipxact:name>core_c_counter_1</ipxact:name> + <ipxact:displayName>pll_c_counter_1</ipxact:displayName> + <ipxact:value>14</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="core_c_counter_1_in_src" type="string"> + <ipxact:name>core_c_counter_1_in_src</ipxact:name> + <ipxact:displayName>pll_c_counter_1_in_src</ipxact:displayName> + <ipxact:value>m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="core_c_counter_1_ph_mux_prst" type="int"> + <ipxact:name>core_c_counter_1_ph_mux_prst</ipxact:name> + <ipxact:displayName>pll_c_counter_1_ph_mux_prst</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="core_c_counter_1_prst" type="int"> + <ipxact:name>core_c_counter_1_prst</ipxact:name> + <ipxact:displayName>pll_c_counter_1_prst</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="core_c_counter_1_coarse_dly" type="string"> + <ipxact:name>core_c_counter_1_coarse_dly</ipxact:name> + <ipxact:displayName>pll_c_counter_1_coarse_dly</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="core_c_counter_1_fine_dly" type="string"> + <ipxact:name>core_c_counter_1_fine_dly</ipxact:name> + <ipxact:displayName>pll_c_counter_1_fine_dly</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="core_c_counter_2" type="int"> + <ipxact:name>core_c_counter_2</ipxact:name> + <ipxact:displayName>pll_c_counter_2</ipxact:displayName> + <ipxact:value>7</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="core_c_counter_2_in_src" type="string"> + <ipxact:name>core_c_counter_2_in_src</ipxact:name> + <ipxact:displayName>pll_c_counter_2_in_src</ipxact:displayName> + <ipxact:value>m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="core_c_counter_2_ph_mux_prst" type="int"> + <ipxact:name>core_c_counter_2_ph_mux_prst</ipxact:name> + <ipxact:displayName>pll_c_counter_2_ph_mux_prst</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="core_c_counter_2_prst" type="int"> + <ipxact:name>core_c_counter_2_prst</ipxact:name> + <ipxact:displayName>pll_c_counter_2_prst</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="core_c_counter_2_coarse_dly" type="string"> + <ipxact:name>core_c_counter_2_coarse_dly</ipxact:name> + <ipxact:displayName>pll_c_counter_2_coarse_dly</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="core_c_counter_2_fine_dly" type="string"> + <ipxact:name>core_c_counter_2_fine_dly</ipxact:name> + <ipxact:displayName>pll_c_counter_2_fine_dly</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="core_c_counter_3" type="int"> + <ipxact:name>core_c_counter_3</ipxact:name> + <ipxact:displayName>pll_c_counter_3</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="core_c_counter_3_in_src" type="string"> + <ipxact:name>core_c_counter_3_in_src</ipxact:name> + <ipxact:displayName>pll_c_counter_3_in_src</ipxact:displayName> + <ipxact:value>m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="core_c_counter_3_ph_mux_prst" type="int"> + <ipxact:name>core_c_counter_3_ph_mux_prst</ipxact:name> + <ipxact:displayName>pll_c_counter_3_ph_mux_prst</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="core_c_counter_3_prst" type="int"> + <ipxact:name>core_c_counter_3_prst</ipxact:name> + <ipxact:displayName>pll_c_counter_3_prst</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="core_c_counter_3_coarse_dly" type="string"> + <ipxact:name>core_c_counter_3_coarse_dly</ipxact:name> + <ipxact:displayName>pll_c_counter_3_coarse_dly</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="core_c_counter_3_fine_dly" type="string"> + <ipxact:name>core_c_counter_3_fine_dly</ipxact:name> + <ipxact:displayName>pll_c_counter_3_fine_dly</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_l_counter" type="int"> + <ipxact:name>hssi_l_counter</ipxact:name> + <ipxact:displayName>pll_c_counter_0</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_l_counter_in_src" type="string"> + <ipxact:name>hssi_l_counter_in_src</ipxact:name> + <ipxact:displayName>pll_c_counter_0_in_src</ipxact:displayName> + <ipxact:value>m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_l_counter_ph_mux_prst" type="int"> + <ipxact:name>hssi_l_counter_ph_mux_prst</ipxact:name> + <ipxact:displayName>pll_c_counter_0_ph_mux_prst</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_l_counter_bypass" type="string"> + <ipxact:name>hssi_l_counter_bypass</ipxact:name> + <ipxact:displayName>pll_l_counter_bypass</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_l_counter_enable" type="string"> + <ipxact:name>hssi_l_counter_enable</ipxact:name> + <ipxact:displayName>pll_l_counter_enable</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pcie_c_counter_0" type="int"> + <ipxact:name>hssi_pcie_c_counter_0</ipxact:name> + <ipxact:displayName>pll_c_counter_0</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pcie_c_counter_0_in_src" type="string"> + <ipxact:name>hssi_pcie_c_counter_0_in_src</ipxact:name> + <ipxact:displayName>pll_c_counter_0_in_src</ipxact:displayName> + <ipxact:value>m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pcie_c_counter_0_ph_mux_prst" type="int"> + <ipxact:name>hssi_pcie_c_counter_0_ph_mux_prst</ipxact:name> + <ipxact:displayName>pll_c_counter_0_ph_mux_prst</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pcie_c_counter_0_prst" type="int"> + <ipxact:name>hssi_pcie_c_counter_0_prst</ipxact:name> + <ipxact:displayName>pll_c_counter_0_prst</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pcie_c_counter_0_coarse_dly" type="string"> + <ipxact:name>hssi_pcie_c_counter_0_coarse_dly</ipxact:name> + <ipxact:displayName>pll_c_counter_0_coarse_dly</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pcie_c_counter_0_fine_dly" type="string"> + <ipxact:name>hssi_pcie_c_counter_0_fine_dly</ipxact:name> + <ipxact:displayName>pll_c_counter_0_fine_dly</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_cascade_c_counter" type="int"> + <ipxact:name>hssi_cascade_c_counter</ipxact:name> + <ipxact:displayName>pll_c_counter_0</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_cascade_c_counter_in_src" type="string"> + <ipxact:name>hssi_cascade_c_counter_in_src</ipxact:name> + <ipxact:displayName>pll_c_counter_0_in_src</ipxact:displayName> + <ipxact:value>m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_cascade_c_counter_ph_mux_prst" type="int"> + <ipxact:name>hssi_cascade_c_counter_ph_mux_prst</ipxact:name> + <ipxact:displayName>pll_c_counter_0_ph_mux_prst</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_cascade_c_counter_prst" type="int"> + <ipxact:name>hssi_cascade_c_counter_prst</ipxact:name> + <ipxact:displayName>pll_c_counter_0_prst</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_cascade_c_counter_coarse_dly" type="string"> + <ipxact:name>hssi_cascade_c_counter_coarse_dly</ipxact:name> + <ipxact:displayName>pll_c_counter_0_coarse_dly</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_cascade_c_counter_fine_dly" type="string"> + <ipxact:name>hssi_cascade_c_counter_fine_dly</ipxact:name> + <ipxact:displayName>pll_c_counter_0_fine_dly</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_m_counter_in_src" type="string"> + <ipxact:name>pll_m_counter_in_src</ipxact:name> + <ipxact:displayName>pll_m_counter_in_src</ipxact:displayName> + <ipxact:value>m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_c_counter_0" type="int"> + <ipxact:name>pll_c_counter_0</ipxact:name> + <ipxact:displayName>pll_c_counter_0</ipxact:displayName> + <ipxact:value>14</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_c_counter_0_in_src" type="string"> + <ipxact:name>pll_c_counter_0_in_src</ipxact:name> + <ipxact:displayName>pll_c_counter_0_in_src</ipxact:displayName> + <ipxact:value>m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_c_counter_0_ph_mux_prst" type="int"> + <ipxact:name>pll_c_counter_0_ph_mux_prst</ipxact:name> + <ipxact:displayName>pll_c_counter_0_ph_mux_prst</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_c_counter_0_prst" type="int"> + <ipxact:name>pll_c_counter_0_prst</ipxact:name> + <ipxact:displayName>pll_c_counter_0_prst</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_c_counter_0_coarse_dly" type="string"> + <ipxact:name>pll_c_counter_0_coarse_dly</ipxact:name> + <ipxact:displayName>pll_c_counter_0_coarse_dly</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_c_counter_0_fine_dly" type="string"> + <ipxact:name>pll_c_counter_0_fine_dly</ipxact:name> + <ipxact:displayName>pll_c_counter_0_fine_dly</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_c_counter_1" type="int"> + <ipxact:name>pll_c_counter_1</ipxact:name> + <ipxact:displayName>pll_c_counter_1</ipxact:displayName> + <ipxact:value>14</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_c_counter_1_in_src" type="string"> + <ipxact:name>pll_c_counter_1_in_src</ipxact:name> + <ipxact:displayName>pll_c_counter_1_in_src</ipxact:displayName> + <ipxact:value>m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_c_counter_1_ph_mux_prst" type="int"> + <ipxact:name>pll_c_counter_1_ph_mux_prst</ipxact:name> + <ipxact:displayName>pll_c_counter_1_ph_mux_prst</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_c_counter_1_prst" type="int"> + <ipxact:name>pll_c_counter_1_prst</ipxact:name> + <ipxact:displayName>pll_c_counter_1_prst</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_c_counter_1_coarse_dly" type="string"> + <ipxact:name>pll_c_counter_1_coarse_dly</ipxact:name> + <ipxact:displayName>pll_c_counter_1_coarse_dly</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_c_counter_1_fine_dly" type="string"> + <ipxact:name>pll_c_counter_1_fine_dly</ipxact:name> + <ipxact:displayName>pll_c_counter_1_fine_dly</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_c_counter_2" type="int"> + <ipxact:name>pll_c_counter_2</ipxact:name> + <ipxact:displayName>pll_c_counter_2</ipxact:displayName> + <ipxact:value>7</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_c_counter_2_in_src" type="string"> + <ipxact:name>pll_c_counter_2_in_src</ipxact:name> + <ipxact:displayName>pll_c_counter_2_in_src</ipxact:displayName> + <ipxact:value>m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_c_counter_2_ph_mux_prst" type="int"> + <ipxact:name>pll_c_counter_2_ph_mux_prst</ipxact:name> + <ipxact:displayName>pll_c_counter_2_ph_mux_prst</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_c_counter_2_prst" type="int"> + <ipxact:name>pll_c_counter_2_prst</ipxact:name> + <ipxact:displayName>pll_c_counter_2_prst</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_c_counter_2_coarse_dly" type="string"> + <ipxact:name>pll_c_counter_2_coarse_dly</ipxact:name> + <ipxact:displayName>pll_c_counter_2_coarse_dly</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_c_counter_2_fine_dly" type="string"> + <ipxact:name>pll_c_counter_2_fine_dly</ipxact:name> + <ipxact:displayName>pll_c_counter_2_fine_dly</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_c_counter_3" type="int"> + <ipxact:name>pll_c_counter_3</ipxact:name> + <ipxact:displayName>pll_c_counter_3</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_c_counter_3_in_src" type="string"> + <ipxact:name>pll_c_counter_3_in_src</ipxact:name> + <ipxact:displayName>pll_c_counter_3_in_src</ipxact:displayName> + <ipxact:value>m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_c_counter_3_ph_mux_prst" type="int"> + <ipxact:name>pll_c_counter_3_ph_mux_prst</ipxact:name> + <ipxact:displayName>pll_c_counter_3_ph_mux_prst</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_c_counter_3_prst" type="int"> + <ipxact:name>pll_c_counter_3_prst</ipxact:name> + <ipxact:displayName>pll_c_counter_3_prst</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_c_counter_3_coarse_dly" type="string"> + <ipxact:name>pll_c_counter_3_coarse_dly</ipxact:name> + <ipxact:displayName>pll_c_counter_3_coarse_dly</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_c_counter_3_fine_dly" type="string"> + <ipxact:name>pll_c_counter_3_fine_dly</ipxact:name> + <ipxact:displayName>pll_c_counter_3_fine_dly</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_iqclk_mux_sel" type="string"> + <ipxact:name>pll_iqclk_mux_sel</ipxact:name> + <ipxact:displayName>pll_iqclk_mux_sel</ipxact:displayName> + <ipxact:value>power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_l_counter" type="int"> + <ipxact:name>pll_l_counter</ipxact:name> + <ipxact:displayName>pll_l_counter</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="core_actual_using_fractional" type="bit"> + <ipxact:name>core_actual_using_fractional</ipxact:name> + <ipxact:displayName>Enable fractional mode</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_actual_using_fractional" type="bit"> + <ipxact:name>hssi_actual_using_fractional</ipxact:name> + <ipxact:displayName>Enable fractional mode</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_cascade_actual_using_fractional" type="bit"> + <ipxact:name>hssi_cascade_actual_using_fractional</ipxact:name> + <ipxact:displayName>Enable fractional mode</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_actual_using_fractional" type="bit"> + <ipxact:name>pll_actual_using_fractional</ipxact:name> + <ipxact:displayName>Enable fractional mode</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="core_dsm_fractional_division" type="longint"> + <ipxact:name>core_dsm_fractional_division</ipxact:name> + <ipxact:displayName>pll_dsm_fractional_division</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_dsm_fractional_division" type="longint"> + <ipxact:name>hssi_dsm_fractional_division</ipxact:name> + <ipxact:displayName>pll_dsm_fractional_division</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_cascade_dsm_fractional_division" type="longint"> + <ipxact:name>hssi_cascade_dsm_fractional_division</ipxact:name> + <ipxact:displayName>pll_dsm_fractional_division</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_dsm_fractional_division" type="string"> + <ipxact:name>pll_dsm_fractional_division</ipxact:name> + <ipxact:displayName>pll_dsm_fractional_division</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_dsm_mode" type="string"> + <ipxact:name>pll_dsm_mode</ipxact:name> + <ipxact:displayName>pll_dsm_mode</ipxact:displayName> + <ipxact:value>dsm_mode_integer</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_dsm_out_sel" type="string"> + <ipxact:name>pll_dsm_out_sel</ipxact:name> + <ipxact:displayName>pll_dsm_out_sel</ipxact:displayName> + <ipxact:value>pll_dsm_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="core_m_counter" type="int"> + <ipxact:name>core_m_counter</ipxact:name> + <ipxact:displayName>pll_m_counter</ipxact:displayName> + <ipxact:value>56</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_m_counter" type="int"> + <ipxact:name>hssi_m_counter</ipxact:name> + <ipxact:displayName>pll_m_counter</ipxact:displayName> + <ipxact:value>11</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_cascade_m_counter" type="int"> + <ipxact:name>hssi_cascade_m_counter</ipxact:name> + <ipxact:displayName>pll_m_counter</ipxact:displayName> + <ipxact:value>11</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="core_n_counter" type="int"> + <ipxact:name>core_n_counter</ipxact:name> + <ipxact:displayName>pll_n_counter</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_n_counter" type="int"> + <ipxact:name>hssi_n_counter</ipxact:name> + <ipxact:displayName>pll_n_counter</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_cascade_n_counter" type="int"> + <ipxact:name>hssi_cascade_n_counter</ipxact:name> + <ipxact:displayName>pll_n_counter</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_m_counter" type="int"> + <ipxact:name>pll_m_counter</ipxact:name> + <ipxact:displayName>pll_m_counter</ipxact:displayName> + <ipxact:value>56</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_n_counter" type="int"> + <ipxact:name>pll_n_counter</ipxact:name> + <ipxact:displayName>pll_n_counter</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="refclk_freq_bitvec" type="string"> + <ipxact:name>refclk_freq_bitvec</ipxact:name> + <ipxact:displayName>Reference clock frequency</ipxact:displayName> + <ipxact:value>000000001011111010111100001000000000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="vco_freq_bitvec" type="string"> + <ipxact:name>vco_freq_bitvec</ipxact:name> + <ipxact:displayName>VCO Frequency</ipxact:displayName> + <ipxact:value>001010011011100100100111000000000000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pfd_freq_bitvec" type="string"> + <ipxact:name>pfd_freq_bitvec</ipxact:name> + <ipxact:displayName>PFD Frequency</ipxact:displayName> + <ipxact:value>000000000101111101011110000100000000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="output_freq_bitvec" type="string"> + <ipxact:name>output_freq_bitvec</ipxact:name> + <ipxact:displayName>PLL output frequency</ipxact:displayName> + <ipxact:value>000000000000000000000000000000000000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="f_out_c0_bitvec" type="string"> + <ipxact:name>f_out_c0_bitvec</ipxact:name> + <ipxact:displayName>PLL output frequency</ipxact:displayName> + <ipxact:value>000000001011111010111100001000000000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="f_out_c1_bitvec" type="string"> + <ipxact:name>f_out_c1_bitvec</ipxact:name> + <ipxact:displayName>PLL output frequency</ipxact:displayName> + <ipxact:value>000000001011111010111100001000000000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="f_out_c2_bitvec" type="string"> + <ipxact:name>f_out_c2_bitvec</ipxact:name> + <ipxact:displayName>PLL output frequency</ipxact:displayName> + <ipxact:value>000000010111110101111000010000000000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="f_out_c3_bitvec" type="string"> + <ipxact:name>f_out_c3_bitvec</ipxact:name> + <ipxact:displayName>PLL output frequency</ipxact:displayName> + <ipxact:value>000000000000000000000000000000000000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_counter_bitvec" type="int"> + <ipxact:name>l_counter_bitvec</ipxact:name> + <ipxact:displayName>l_counter</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="n_counter_bitvec" type="int"> + <ipxact:name>n_counter_bitvec</ipxact:name> + <ipxact:displayName>n_counter</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="m_counter_bitvec" type="int"> + <ipxact:name>m_counter_bitvec</ipxact:name> + <ipxact:displayName>m_counter</ipxact:displayName> + <ipxact:value>56</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_counter0_bitvec" type="int"> + <ipxact:name>c_counter0_bitvec</ipxact:name> + <ipxact:displayName>c0_counter</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_counter1_bitvec" type="int"> + <ipxact:name>c_counter1_bitvec</ipxact:name> + <ipxact:displayName>c1_counter</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_counter2_bitvec" type="int"> + <ipxact:name>c_counter2_bitvec</ipxact:name> + <ipxact:displayName>c2_counter</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_counter3_bitvec" type="int"> + <ipxact:name>c_counter3_bitvec</ipxact:name> + <ipxact:displayName>c3_counter</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_width_bitvec" type="int"> + <ipxact:name>pma_width_bitvec</ipxact:name> + <ipxact:displayName>pma_width</ipxact:displayName> + <ipxact:value>64</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cgb_div_bitvec" type="int"> + <ipxact:name>cgb_div_bitvec</ipxact:name> + <ipxact:displayName>cgb_div</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_auto_clk_sw_en" type="string"> + <ipxact:name>pll_auto_clk_sw_en</ipxact:name> + <ipxact:displayName>pll_auto_clk_sw_en</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_clk_loss_edge" type="string"> + <ipxact:name>pll_clk_loss_edge</ipxact:name> + <ipxact:displayName>pll_clk_loss_edge</ipxact:displayName> + <ipxact:value>pll_clk_loss_both_edges</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_clk_loss_sw_en" type="string"> + <ipxact:name>pll_clk_loss_sw_en</ipxact:name> + <ipxact:displayName>pll_clk_loss_sw_en</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_clk_sw_dly" type="int"> + <ipxact:name>pll_clk_sw_dly</ipxact:name> + <ipxact:displayName>pll_clk_sw_dly</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_manu_clk_sw_en" type="string"> + <ipxact:name>pll_manu_clk_sw_en</ipxact:name> + <ipxact:displayName>pll_manu_clk_sw_en</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_sw_refclk_src" type="string"> + <ipxact:name>pll_sw_refclk_src</ipxact:name> + <ipxact:displayName>pll_sw_refclk_src</ipxact:displayName> + <ipxact:value>pll_sw_refclk_src_clk_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_altera_xcvr_fpll_a10_calibration_en" type="int"> + <ipxact:name>set_altera_xcvr_fpll_a10_calibration_en</ipxact:name> + <ipxact:displayName>Enable calibration</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="calibration_en" type="string"> + <ipxact:name>calibration_en</ipxact:name> + <ipxact:displayName>calibration_en</ipxact:displayName> + <ipxact:value>enable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="support_mode" type="string"> + <ipxact:name>support_mode</ipxact:name> + <ipxact:displayName>Support mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_ext_lockdetect_ports" type="int"> + <ipxact:name>enable_ext_lockdetect_ports</ipxact:name> + <ipxact:displayName>Enable clklow and fref ports</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="is_c10" type="int"> + <ipxact:name>is_c10</ipxact:name> + <ipxact:displayName>is_c10</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_reconfig_en" type="string"> + <ipxact:name>cmu_fpll_reconfig_en</ipxact:name> + <ipxact:displayName>cmu_fpll_reconfig_en</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_dps_en" type="string"> + <ipxact:name>cmu_fpll_dps_en</ipxact:name> + <ipxact:displayName>cmu_fpll_dps_en</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_calibration_en" type="string"> + <ipxact:name>cmu_fpll_calibration_en</ipxact:name> + <ipxact:displayName>cmu_fpll_calibration_en</ipxact:displayName> + <ipxact:value>enable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_freq" type="string"> + <ipxact:name>cmu_fpll_refclk_freq</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_freq</ipxact:displayName> + <ipxact:value>000000001011111010111100001000000000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="fpll_refclk_select" type="int"> + <ipxact:name>fpll_refclk_select</ipxact:name> + <ipxact:displayName>fpll_refclk_select</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_mcgb" type="int"> + <ipxact:name>enable_mcgb</ipxact:name> + <ipxact:displayName>Include Master Clock Generation Block</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="mcgb_div" type="int"> + <ipxact:name>mcgb_div</ipxact:name> + <ipxact:displayName>Clock division factor</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="mcgb_div_fnl" type="int"> + <ipxact:name>mcgb_div_fnl</ipxact:name> + <ipxact:displayName>mcgb_div_fnl</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_hfreq_clk" type="int"> + <ipxact:name>enable_hfreq_clk</ipxact:name> + <ipxact:displayName>Enable x6/xN non-bonded high-speed clock output port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_mcgb_pcie_clksw" type="int"> + <ipxact:name>enable_mcgb_pcie_clksw</ipxact:name> + <ipxact:displayName>Enable PCIe clock switch interface</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="mcgb_aux_clkin_cnt" type="int"> + <ipxact:name>mcgb_aux_clkin_cnt</ipxact:name> + <ipxact:displayName>Number of auxiliary MCGB clock input ports.</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="mcgb_in_clk_freq" type="real"> + <ipxact:name>mcgb_in_clk_freq</ipxact:name> + <ipxact:displayName>MCGB input clock frequency</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="mcgb_out_datarate" type="real"> + <ipxact:name>mcgb_out_datarate</ipxact:name> + <ipxact:displayName>MCGB output data rate</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_bonding_clks" type="int"> + <ipxact:name>enable_bonding_clks</ipxact:name> + <ipxact:displayName>Enable bonding clock output ports</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_fb_comp_bonding" type="int"> + <ipxact:name>enable_fb_comp_bonding</ipxact:name> + <ipxact:displayName>Enable feedback compensation bonding</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="mcgb_enable_iqtxrxclk" type="string"> + <ipxact:name>mcgb_enable_iqtxrxclk</ipxact:name> + <ipxact:displayName>mcgb_enable_iqtxrxclk</ipxact:displayName> + <ipxact:value>disable_iqtxrxclk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_width" type="int"> + <ipxact:name>pma_width</ipxact:name> + <ipxact:displayName>PMA interface width</ipxact:displayName> + <ipxact:value>64</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_mcgb_debug_ports_parameters" type="int"> + <ipxact:name>enable_mcgb_debug_ports_parameters</ipxact:name> + <ipxact:displayName>enable_mcgb_debug_ports_parameters</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_pld_mcgb_cal_busy_port" type="int"> + <ipxact:name>enable_pld_mcgb_cal_busy_port</ipxact:name> + <ipxact:displayName>enable_pld_mcgb_cal_busy_port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="check_output_ports_mcgb" type="int"> + <ipxact:name>check_output_ports_mcgb</ipxact:name> + <ipxact:displayName>check_output_ports_mcgb</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="is_protocol_PCIe" type="int"> + <ipxact:name>is_protocol_PCIe</ipxact:name> + <ipxact:displayName>is_protocol_PCIe</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="mapped_output_clock_frequency" type="string"> + <ipxact:name>mapped_output_clock_frequency</ipxact:name> + <ipxact:displayName>mapped_output_clock_frequency</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="mapped_primary_pll_buffer" type="string"> + <ipxact:name>mapped_primary_pll_buffer</ipxact:name> + <ipxact:displayName>mapped_primary_pll_buffer</ipxact:displayName> + <ipxact:value>N/A</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="mapped_hip_cal_done_port" type="int"> + <ipxact:name>mapped_hip_cal_done_port</ipxact:name> + <ipxact:displayName>mapped_hip_cal_done_port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pma_cgb_master_prot_mode" type="string"> + <ipxact:name>hssi_pma_cgb_master_prot_mode</ipxact:name> + <ipxact:displayName>hssi_pma_cgb_master_prot_mode</ipxact:displayName> + <ipxact:value>basic_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pma_cgb_master_silicon_rev" type="string"> + <ipxact:name>hssi_pma_cgb_master_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_pma_cgb_master_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pma_cgb_master_x1_div_m_sel" type="string"> + <ipxact:name>hssi_pma_cgb_master_x1_div_m_sel</ipxact:name> + <ipxact:displayName>hssi_pma_cgb_master_x1_div_m_sel</ipxact:displayName> + <ipxact:value>divbypass</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pma_cgb_master_cgb_enable_iqtxrxclk" type="string"> + <ipxact:name>hssi_pma_cgb_master_cgb_enable_iqtxrxclk</ipxact:name> + <ipxact:displayName>hssi_pma_cgb_master_cgb_enable_iqtxrxclk</ipxact:displayName> + <ipxact:value>disable_iqtxrxclk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pma_cgb_master_ser_mode" type="string"> + <ipxact:name>hssi_pma_cgb_master_ser_mode</ipxact:name> + <ipxact:displayName>hssi_pma_cgb_master_ser_mode</ipxact:displayName> + <ipxact:value>sixty_four_bit</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pma_cgb_master_datarate" type="string"> + <ipxact:name>hssi_pma_cgb_master_datarate</ipxact:name> + <ipxact:displayName>hssi_pma_cgb_master_datarate</ipxact:displayName> + <ipxact:value>0 bps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pma_cgb_master_cgb_power_down" type="string"> + <ipxact:name>hssi_pma_cgb_master_cgb_power_down</ipxact:name> + <ipxact:displayName>hssi_pma_cgb_master_cgb_power_down</ipxact:displayName> + <ipxact:value>normal_cgb</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pma_cgb_master_observe_cgb_clocks" type="string"> + <ipxact:name>hssi_pma_cgb_master_observe_cgb_clocks</ipxact:name> + <ipxact:displayName>hssi_pma_cgb_master_observe_cgb_clocks</ipxact:displayName> + <ipxact:value>observe_nothing</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pma_cgb_master_op_mode" type="string"> + <ipxact:name>hssi_pma_cgb_master_op_mode</ipxact:name> + <ipxact:displayName>hssi_pma_cgb_master_op_mode</ipxact:displayName> + <ipxact:value>enabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pma_cgb_master_tx_ucontrol_reset_pcie" type="string"> + <ipxact:name>hssi_pma_cgb_master_tx_ucontrol_reset_pcie</ipxact:name> + <ipxact:displayName>hssi_pma_cgb_master_tx_ucontrol_reset_pcie</ipxact:displayName> + <ipxact:value>pcscorehip_controls_mcgb</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pma_cgb_master_vccdreg_output" type="string"> + <ipxact:name>hssi_pma_cgb_master_vccdreg_output</ipxact:name> + <ipxact:displayName>hssi_pma_cgb_master_vccdreg_output</ipxact:displayName> + <ipxact:value>vccdreg_nominal</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pma_cgb_master_input_select" type="string"> + <ipxact:name>hssi_pma_cgb_master_input_select</ipxact:name> + <ipxact:displayName>hssi_pma_cgb_master_input_select</ipxact:displayName> + <ipxact:value>fpll_top</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pma_cgb_master_input_select_gen3" type="string"> + <ipxact:name>hssi_pma_cgb_master_input_select_gen3</ipxact:name> + <ipxact:displayName>hssi_pma_cgb_master_input_select_gen3</ipxact:displayName> + <ipxact:value>unused</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_parameter_list" type="string"> + <ipxact:name>gui_parameter_list</ipxact:name> + <ipxact:displayName>Parameter Names</ipxact:displayName> + <ipxact:value>C-counter-0,C-counter-1,C-counter-2,C-counter-3,L-counter,M-counter,N-counter,VCO Frequency,pll_dsm_fractional_division</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_parameter_values" type="string"> + <ipxact:name>gui_parameter_values</ipxact:name> + <ipxact:displayName>Parameter Values</ipxact:displayName> + <ipxact:value>14,14,7,1,1,56,2,11200.0 MHz,1</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_module_parameters> + <altera:altera_system_parameters> + <ipxact:parameters> + <ipxact:parameter parameterId="device" type="string"> + <ipxact:name>device</ipxact:name> + <ipxact:displayName>Device</ipxact:displayName> + <ipxact:value>10AX115U3F45E2SG</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="deviceFamily" type="string"> + <ipxact:name>deviceFamily</ipxact:name> + <ipxact:displayName>Device family</ipxact:displayName> + <ipxact:value>Arria 10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="deviceSpeedGrade" type="string"> + <ipxact:name>deviceSpeedGrade</ipxact:name> + <ipxact:displayName>Device Speed Grade</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="generationId" type="int"> + <ipxact:name>generationId</ipxact:name> + <ipxact:displayName>Generation Id</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="bonusData" type="string"> + <ipxact:name>bonusData</ipxact:name> + <ipxact:displayName>bonusData</ipxact:displayName> + <ipxact:value>bonusData +{ + element xcvr_fpll_a10_0 + { + datum _sortIndex + { + value = "0"; + type = "int"; + } + } +} +</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hideFromIPCatalog" type="bit"> + <ipxact:name>hideFromIPCatalog</ipxact:name> + <ipxact:displayName>Hide from IP Catalog</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="lockedInterfaceDefinition" type="string"> + <ipxact:name>lockedInterfaceDefinition</ipxact:name> + <ipxact:displayName>lockedInterfaceDefinition</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="systemInfos" type="string"> + <ipxact:name>systemInfos</ipxact:name> + <ipxact:displayName>systemInfos</ipxact:displayName> + <ipxact:value><systemInfosDefinition> + <connPtSystemInfos> + <entry> + <key>outclk0</key> + <value> + <connectionPointName>outclk0</connectionPointName> + <suppliedSystemInfos/> + <consumedSystemInfos> + <entry> + <key>CLOCK_RATE</key> + <value>100000000</value> + </entry> + </consumedSystemInfos> + </value> + </entry> + <entry> + <key>outclk1</key> + <value> + <connectionPointName>outclk1</connectionPointName> + <suppliedSystemInfos/> + <consumedSystemInfos> + <entry> + <key>CLOCK_RATE</key> + <value>100000000</value> + </entry> + </consumedSystemInfos> + </value> + </entry> + <entry> + <key>outclk2</key> + <value> + <connectionPointName>outclk2</connectionPointName> + <suppliedSystemInfos/> + <consumedSystemInfos> + <entry> + <key>CLOCK_RATE</key> + <value>100000000</value> + </entry> + </consumedSystemInfos> + </value> + </entry> + </connPtSystemInfos> +</systemInfosDefinition></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_system_parameters> + <altera:altera_interface_boundary> + <altera:interface_mapping altera:name="outclk0" altera:internal="xcvr_fpll_a10_0.outclk0" altera:type="clock" altera:dir="start"> + <altera:port_mapping altera:name="outclk0" altera:internal="outclk0"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="outclk1" altera:internal="xcvr_fpll_a10_0.outclk1" altera:type="clock" altera:dir="start"> + <altera:port_mapping altera:name="outclk1" altera:internal="outclk1"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="outclk2" altera:internal="xcvr_fpll_a10_0.outclk2" altera:type="clock" altera:dir="start"> + <altera:port_mapping altera:name="outclk2" altera:internal="outclk2"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="pll_cal_busy" altera:internal="xcvr_fpll_a10_0.pll_cal_busy" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="pll_cal_busy" altera:internal="pll_cal_busy"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="pll_locked" altera:internal="xcvr_fpll_a10_0.pll_locked" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="pll_locked" altera:internal="pll_locked"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="pll_powerdown" altera:internal="xcvr_fpll_a10_0.pll_powerdown" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="pll_powerdown" altera:internal="pll_powerdown"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="pll_refclk0" altera:internal="xcvr_fpll_a10_0.pll_refclk0" altera:type="clock" altera:dir="end"> + <altera:port_mapping altera:name="pll_refclk0" altera:internal="pll_refclk0"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_serial_clk" altera:internal="xcvr_fpll_a10_0.tx_serial_clk"></altera:interface_mapping> + </altera:altera_interface_boundary> + <altera:altera_has_warnings>true</altera:altera_has_warnings> + <altera:altera_has_errors>false</altera:altera_has_errors> + </ipxact:vendorExtensions> +</ipxact:component> \ No newline at end of file diff --git a/libraries/technology/ip_arria10_e2sg/fractional_pll_clk200/ip_arria10_e2sg_fractional_pll_clk200.qsys b/libraries/technology/ip_arria10_e2sg/fractional_pll_clk200/ip_arria10_e2sg_fractional_pll_clk200.qsys deleted file mode 100644 index a34206bc49..0000000000 --- a/libraries/technology/ip_arria10_e2sg/fractional_pll_clk200/ip_arria10_e2sg_fractional_pll_clk200.qsys +++ /dev/null @@ -1,246 +0,0 @@ -<?xml version="1.0" encoding="UTF-8"?> -<system name="ip_arria10_e2sg_fractional_pll_clk200"> - <component - name="$${FILENAME}" - displayName="$${FILENAME}" - version="1.0" - description="" - tags="AUTHORSHIP=Intel Corporation /// INTERNAL_COMPONENT=true" - categories="System" - tool="QsysStandard" /> - <parameter name="bonusData"><![CDATA[bonusData -{ - element $system - { - } - element xcvr_fpll_a10_0 - { - datum _sortIndex - { - value = "0"; - type = "int"; - } - } -} -]]></parameter> - <parameter name="device" value="10AX115U3F45E2SG" /> - <parameter name="deviceFamily" value="Arria 10" /> - <parameter name="deviceSpeedGrade" value="2" /> - <parameter name="fabricMode" value="QSYS" /> - <parameter name="generateLegacySim" value="false" /> - <parameter name="generationId" value="0" /> - <parameter name="globalResetBus" value="false" /> - <parameter name="hdlLanguage" value="VERILOG" /> - <parameter name="hideFromIPCatalog" value="true" /> - <parameter name="lockedInterfaceDefinition" value="" /> - <parameter name="sopcBorderPoints" value="false" /> - <parameter name="systemHash" value="0" /> - <parameter name="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos> - <entry> - <key>outclk0</key> - <value> - <connectionPointName>outclk0</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>outclk1</key> - <value> - <connectionPointName>outclk1</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>outclk2</key> - <value> - <connectionPointName>outclk2</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> -</systemInfosDefinition>]]></parameter> - <parameter name="systemScripts" value="" /> - <parameter name="testBenchDutName" value="" /> - <parameter name="timeStamp" value="0" /> - <parameter name="useTestBenchNamingPattern" value="false" /> - <instanceScript></instanceScript> - <interface - name="hssi_pll_cascade_clk" - internal="xcvr_fpll_a10_0.hssi_pll_cascade_clk" /> - <interface - name="outclk0" - internal="xcvr_fpll_a10_0.outclk0" - type="clock" - dir="start"> - <port name="outclk0" internal="outclk0" /> - </interface> - <interface - name="outclk1" - internal="xcvr_fpll_a10_0.outclk1" - type="clock" - dir="start"> - <port name="outclk1" internal="outclk1" /> - </interface> - <interface - name="outclk2" - internal="xcvr_fpll_a10_0.outclk2" - type="clock" - dir="start"> - <port name="outclk2" internal="outclk2" /> - </interface> - <interface - name="pll_cal_busy" - internal="xcvr_fpll_a10_0.pll_cal_busy" - type="conduit" - dir="end"> - <port name="pll_cal_busy" internal="pll_cal_busy" /> - </interface> - <interface - name="pll_locked" - internal="xcvr_fpll_a10_0.pll_locked" - type="conduit" - dir="end"> - <port name="pll_locked" internal="pll_locked" /> - </interface> - <interface - name="pll_powerdown" - internal="xcvr_fpll_a10_0.pll_powerdown" - type="conduit" - dir="end"> - <port name="pll_powerdown" internal="pll_powerdown" /> - </interface> - <interface - name="pll_refclk0" - internal="xcvr_fpll_a10_0.pll_refclk0" - type="clock" - dir="end"> - <port name="pll_refclk0" internal="pll_refclk0" /> - </interface> - <interface name="tx_serial_clk" internal="xcvr_fpll_a10_0.tx_serial_clk" /> - <module - name="xcvr_fpll_a10_0" - kind="altera_xcvr_fpll_a10" - version="19.1" - enabled="1" - autoexport="1"> - <parameter name="base_device" value="NIGHTFURY5" /> - <parameter name="device" value="10AX115U3F45E2SG" /> - <parameter name="enable_analog_resets" value="0" /> - <parameter name="enable_bonding_clks" value="0" /> - <parameter name="enable_ext_lockdetect_ports" value="0" /> - <parameter name="enable_fb_comp_bonding" value="0" /> - <parameter name="enable_hfreq_clk" value="0" /> - <parameter name="enable_mcgb" value="0" /> - <parameter name="enable_mcgb_pcie_clksw" value="0" /> - <parameter name="enable_pld_mcgb_cal_busy_port" value="0" /> - <parameter name="enable_pll_reconfig" value="0" /> - <parameter name="generate_add_hdl_instance_example" value="0" /> - <parameter name="generate_docs" value="1" /> - <parameter name="gui_actual_outclk0_frequency" value="100.0" /> - <parameter name="gui_actual_outclk1_frequency" value="100.0" /> - <parameter name="gui_actual_outclk2_frequency" value="100.0" /> - <parameter name="gui_actual_outclk3_frequency" value="100.0" /> - <parameter name="gui_actual_refclk_frequency" value="100.0" /> - <parameter name="gui_bw_sel" value="low" /> - <parameter name="gui_cascade_outclk_index" value="0" /> - <parameter name="gui_desired_hssi_cascade_frequency" value="100.0" /> - <parameter name="gui_desired_outclk0_frequency" value="200.0" /> - <parameter name="gui_desired_outclk1_frequency" value="200.0" /> - <parameter name="gui_desired_outclk2_frequency" value="400.0" /> - <parameter name="gui_desired_outclk3_frequency" value="100.0" /> - <parameter name="gui_desired_refclk_frequency" value="200.0" /> - <parameter name="gui_enable_50G_support" value="false" /> - <parameter name="gui_enable_active_clk" value="false" /> - <parameter name="gui_enable_cascade_out" value="false" /> - <parameter name="gui_enable_clk_bad" value="false" /> - <parameter name="gui_enable_dps" value="false" /> - <parameter name="gui_enable_fractional" value="false" /> - <parameter name="gui_enable_hip_cal_done_port" value="0" /> - <parameter name="gui_enable_manual_config" value="false" /> - <parameter name="gui_enable_manual_hssi_counters" value="false" /> - <parameter name="gui_enable_phase_alignment" value="false" /> - <parameter name="gui_enable_pld_cal_busy_port" value="1" /> - <parameter name="gui_fpll_mode" value="0" /> - <parameter name="gui_fractional_x" value="32" /> - <parameter name="gui_hip_cal_en" value="0" /> - <parameter name="gui_hssi_output_clock_frequency" value="1250.0" /> - <parameter name="gui_hssi_prot_mode" value="0" /> - <parameter name="gui_iqtxrxclk_outclk_index" value="0" /> - <parameter name="gui_is_downstream_cascaded_pll" value="false" /> - <parameter name="gui_number_of_output_clocks" value="3" /> - <parameter name="gui_operation_mode" value="0" /> - <parameter name="gui_outclk0_actual_phase_shift" value="0.0" /> - <parameter name="gui_outclk0_actual_phase_shift_deg" value="0.0" /> - <parameter name="gui_outclk0_desired_phase_shift" value="0.0" /> - <parameter name="gui_outclk0_phase_shift_unit" value="0" /> - <parameter name="gui_outclk1_actual_phase_shift" value="0.0" /> - <parameter name="gui_outclk1_actual_phase_shift_deg" value="0.0" /> - <parameter name="gui_outclk1_desired_phase_shift" value="90" /> - <parameter name="gui_outclk1_phase_shift_unit" value="0" /> - <parameter name="gui_outclk2_actual_phase_shift" value="0 ps" /> - <parameter name="gui_outclk2_actual_phase_shift_deg" value="0 deg" /> - <parameter name="gui_outclk2_desired_phase_shift" value="0" /> - <parameter name="gui_outclk2_phase_shift_unit" value="0" /> - <parameter name="gui_outclk3_actual_phase_shift" value="0.0" /> - <parameter name="gui_outclk3_actual_phase_shift_deg" value="0.0" /> - <parameter name="gui_outclk3_desired_phase_shift" value="0" /> - <parameter name="gui_outclk3_phase_shift_unit" value="0" /> - <parameter name="gui_pll_c_counter_0" value="1" /> - <parameter name="gui_pll_c_counter_1" value="1" /> - <parameter name="gui_pll_c_counter_2" value="1" /> - <parameter name="gui_pll_c_counter_3" value="1" /> - <parameter name="gui_pll_dsm_fractional_division" value="1" /> - <parameter name="gui_pll_m_counter" value="1" /> - <parameter name="gui_pll_n_counter" value="1" /> - <parameter name="gui_pll_set_hssi_k_counter" value="1" /> - <parameter name="gui_pll_set_hssi_l_counter" value="1" /> - <parameter name="gui_pll_set_hssi_m_counter" value="1" /> - <parameter name="gui_pll_set_hssi_n_counter" value="1" /> - <parameter name="gui_refclk1_frequency" value="100.0" /> - <parameter name="gui_refclk_cnt" value="1" /> - <parameter name="gui_refclk_index" value="0" /> - <parameter name="gui_refclk_switch" value="false" /> - <parameter name="gui_reference_clock_frequency" value="200.0" /> - <parameter name="gui_self_reset_enabled" value="false" /> - <parameter name="gui_switchover_delay" value="0" /> - <parameter name="gui_switchover_mode">Automatic Switchover</parameter> - <parameter name="mcgb_aux_clkin_cnt" value="0" /> - <parameter name="mcgb_div" value="1" /> - <parameter name="phase_alignment_check_var" value="false" /> - <parameter name="pma_width" value="64" /> - <parameter name="rcfg_debug" value="0" /> - <parameter name="rcfg_enable_avmm_busy_port" value="0" /> - <parameter name="rcfg_file_prefix">altera_xcvr_fpll_a10</parameter> - <parameter name="rcfg_h_file_enable" value="0" /> - <parameter name="rcfg_jtag_enable" value="0" /> - <parameter name="rcfg_mif_file_enable" value="0" /> - <parameter name="rcfg_separate_avmm_busy" value="0" /> - <parameter name="rcfg_sv_file_enable" value="0" /> - <parameter name="rcfg_txt_file_enable" value="0" /> - <parameter name="set_altera_xcvr_fpll_a10_calibration_en" value="1" /> - <parameter name="set_capability_reg_enable" value="0" /> - <parameter name="set_csr_soft_logic_enable" value="0" /> - <parameter name="set_user_identifier" value="0" /> - <parameter name="silicon_rev" value="false" /> - <parameter name="support_mode" value="user_mode" /> - </module> -</system> diff --git a/libraries/technology/ip_arria10_e2sg/jesd204b/compile_ip.tcl b/libraries/technology/ip_arria10_e2sg/jesd204b/compile_ip.tcl new file mode 100644 index 0000000000..b970b966a7 --- /dev/null +++ b/libraries/technology/ip_arria10_e2sg/jesd204b/compile_ip.tcl @@ -0,0 +1,46 @@ +#------------------------------------------------------------------------------ +# +# Copyright (C) 2017 +# ASTRON (Netherlands Institute for Radio Astronomy) <http://www.astron.nl/> +# JIVE (Joint Institute for VLBI in Europe) <http://www.jive.nl/> +# P.O.Box 2, 7990 AA Dwingeloo, The Netherlands +# +# This program is free software: you can redistribute it and/or modify +# it under the terms of the GNU General Public License as published by +# the Free Software Foundation, either version 3 of the License, or +# (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program. If not, see <http://www.gnu.org/licenses/>. +# +#------------------------------------------------------------------------------ + +# This file is based on generated file mentor/msim_setup.tcl. +# - the values for modelsim_search_libraries key in the hdllib.cfg follow from altera libraries vmap section in the msim_setup.tcl +# - vmap for the IP specific libraries and compile all IP source files into those libraries similar as in the msim_setup.tcl +# - replace QSYS_SIMDIR by IP_DIR +# - if the testbench is also generated with QSYS then only the IP_TBDIR files are needed, because these also contain the source files. + +#vlib ./work/ ;# Assume library work already exist + + +set IP_DIR "$env(RADIOHDL_BUILD_DIR)/$env(BUILDSET)/qsys-generate/ip_arria10_e2sg_jesd204b_rx_200MHz/sim" + vcom "$IP_DIR/ip_arria10_e2sg_jesd204b_rx_200MHz.vhd" + +set IP_DIR "$env(RADIOHDL_BUILD_DIR)/$env(BUILDSET)/qsys-generate/ip_arria10_e2sg_jesd204b_rx_core_pll_200MHz/sim" + vcom "$IP_DIR/ip_arria10_e2sg_jesd204b_rx_core_pll_200MHz.vhd" + +set IP_DIR "$env(RADIOHDL_BUILD_DIR)/$env(BUILDSET)/qsys-generate/ip_arria10_e2sg_jesd204b_rx_reset_seq/sim" + vcom "$IP_DIR/ip_arria10_e2sg_jesd204b_rx_reset_seq.vhd" + +set IP_DIR "$env(RADIOHDL_BUILD_DIR)/$env(BUILDSET)/qsys-generate/ip_arria10_e2sg_jesd204b_rx_xcvr_reset_control_12/sim" + vcom "$IP_DIR/ip_arria10_e2sg_jesd204b_rx_xcvr_reset_control_12.vhd" + +set IP_DIR "$env(RADIOHDL_BUILD_DIR)/$env(BUILDSET)/qsys-generate/ip_arria10_e2sg_jesd204b_tx/sim" + vcom "$IP_DIR/ip_arria10_e2sg_jesd204b_tx.vhd" + diff --git a/libraries/technology/ip_arria10_e2sg/jesd204b/hdllib.cfg b/libraries/technology/ip_arria10_e2sg/jesd204b/hdllib.cfg index 40bfe949c4..296fe1e421 100644 --- a/libraries/technology/ip_arria10_e2sg/jesd204b/hdllib.cfg +++ b/libraries/technology/ip_arria10_e2sg/jesd204b/hdllib.cfg @@ -2,28 +2,34 @@ hdl_lib_name = ip_arria10_e2sg_jesd204b hdl_library_clause_name = ip_arria10_e2sg_jesd204b_lib hdl_lib_uses_synth = technology tech_pll common dp hdl_lib_uses_sim = +#ip_arria10_e2sg_altera_jesd204_180 ip_arria10_e2sg_altera_xcvr_reset_control_180 ip_arria10_e2sg_altera_iopll_180 ip_arria10_e2sg_altera_reset_sequencer_180 hdl_lib_technology = ip_arria10_e2sg synth_files = -# ip_arria10_e2sg_jesd204b_component_pkg.vhd + ip_arria10_e2sg_jesd204b_component_pkg.vhd ip_arria10_e2sg_jesd204b.vhd test_bench_files = +modelsim_compile_ip_files = + $RADIOHDL_WORK/libraries/technology/ip_arria10_e2sg/jesd204b/compile_ip.tcl + [modelsim_project_file] [quartus_project_file] quartus_qip_files = - $RADIOHDL_BUILD_DIR/<buildset_name>/qsys-generate/ip_arria10_e2sg_jesd204b_rx/ip_arria10_e2sg_jesd204b_rx.qip - $RADIOHDL_BUILD_DIR/<buildset_name>/qsys-generate/ip_arria10_e2sg_jesd204b_rx_core_pll/ip_arria10_e2sg_jesd204b_rx_core_pll.qip + $RADIOHDL_BUILD_DIR/<buildset_name>/qsys-generate/ip_arria10_e2sg_jesd204b_rx_200MHz/ip_arria10_e2sg_jesd204b_rx_200MHz.qip + $RADIOHDL_BUILD_DIR/<buildset_name>/qsys-generate/ip_arria10_e2sg_jesd204b_rx_core_pll_200MHz/ip_arria10_e2sg_jesd204b_rx_core_pll_200MHz.qip $RADIOHDL_BUILD_DIR/<buildset_name>/qsys-generate/ip_arria10_e2sg_jesd204b_rx_reset_seq/ip_arria10_e2sg_jesd204b_rx_reset_seq.qip $RADIOHDL_BUILD_DIR/<buildset_name>/qsys-generate/ip_arria10_e2sg_jesd204b_rx_xcvr_reset_control_12/ip_arria10_e2sg_jesd204b_rx_xcvr_reset_control_12.qip + $RADIOHDL_BUILD_DIR/<buildset_name>/qsys-generate/ip_arria10_e2sg_jesd204b_tx/ip_arria10_e2sg_jesd204b_tx.qip [generate_ip_libs] qsys-generate_ip_files = - ip_arria10_e2sg_jesd204b_rx.ip - ip_arria10_e2sg_jesd204b_rx_core_pll.ip + ip_arria10_e2sg_jesd204b_rx_200MHz.ip + ip_arria10_e2sg_jesd204b_rx_core_pll_200MHz.ip ip_arria10_e2sg_jesd204b_rx_reset_seq.ip ip_arria10_e2sg_jesd204b_rx_xcvr_reset_control_12.ip + ip_arria10_e2sg_jesd204b_tx.ip diff --git a/libraries/technology/ip_arria10_e2sg/jesd204b/ip_arria10_e2sg_jesd204b.vhd b/libraries/technology/ip_arria10_e2sg/jesd204b/ip_arria10_e2sg_jesd204b.vhd index 5978d3ef3c..64187db7ae 100644 --- a/libraries/technology/ip_arria10_e2sg/jesd204b/ip_arria10_e2sg_jesd204b.vhd +++ b/libraries/technology/ip_arria10_e2sg/jesd204b/ip_arria10_e2sg_jesd204b.vhd @@ -20,7 +20,7 @@ -- -------------------------------------------------------------------------------- - +-- Authors : J Hargreaves, L Hiemstra -- Purpose: Combine IP components needed to create a JESD204B interface -- Initially supports RX_ONLY for receiving data from an ADC -- Description @@ -28,21 +28,21 @@ -- The sync_n signals are gated together to form g_nof_sync_n outputs -- ---LIBRARY IEEE, common_lib, dp_lib, technology_lib, ip_arria10_e2sg_jesd204b_rx, ip_arria10_e2sg_jesd204b_rx_reset_seq, ip_arria10_e2sg_jesd204b_rx_core_pll, ip_arria10_e2sg_jesd204b_rx_xcvr_reset_control_12; -LIBRARY IEEE, common_lib, dp_lib, technology_lib; --, ip_arria10_e2sg_jesd204b_lib; +LIBRARY IEEE, common_lib, dp_lib, technology_lib, ip_arria10_e2sg_jesd204b_lib; USE IEEE.STD_LOGIC_1164.ALL; USE technology_lib.technology_pkg.ALL; USE common_lib.common_pkg.ALL; USE common_lib.common_mem_pkg.ALL; USE dp_lib.dp_stream_pkg.ALL; ---USE ip_arria10_e2sg_jesd204b_lib.ip_arria10_e2sg_jesd204b_component_pkg.ALL; +USE ip_arria10_e2sg_jesd204b_lib.ip_arria10_e2sg_jesd204b_component_pkg.ALL; ENTITY ip_arria10_e2sg_jesd204b IS GENERIC ( g_sim : BOOLEAN := FALSE; g_nof_streams : NATURAL := 1; g_nof_sync_n : NATURAL := 1; - g_direction : STRING := "RX_ONLY" -- "TX_RX", "TX_ONLY", "RX_ONLY" + g_direction : STRING := "RX_ONLY"; -- "TX_RX", "TX_ONLY", "RX_ONLY" + g_jesd_freq : STRING := "200MHz" ); PORT ( -- JESD204B external signals @@ -59,6 +59,7 @@ ENTITY ip_arria10_e2sg_jesd204b IS -- MM Control mm_clk : IN STD_LOGIC; mm_rst : IN STD_LOGIC; + jesd204b_disable_arr : IN STD_LOGIC_VECTOR(g_nof_streams-1 DOWNTO 0); jesd204b_mosi : IN t_mem_mosi; -- mm control jesd204b_miso : OUT t_mem_miso; @@ -116,6 +117,8 @@ ARCHITECTURE str OF ip_arria10_e2sg_jesd204b IS SIGNAL jesd204b_sysref_2 : STD_LOGIC; SIGNAL jesd204b_sysref_frameclk_1 : STD_LOGIC; SIGNAL jesd204b_sysref_frameclk_2 : STD_LOGIC; + SIGNAL jesd204b_sysref_linkclk_1 : STD_LOGIC; + SIGNAL jesd204b_sysref_linkclk_2 : STD_LOGIC; -- Data path SIGNAL jesd204b_rx_link_data_arr : STD_LOGIC_VECTOR(c_jesd204b_rx_data_w*g_nof_streams-1 DOWNTO 0); @@ -123,12 +126,14 @@ ARCHITECTURE str OF ip_arria10_e2sg_jesd204b IS SIGNAL jesd204b_rx_somf_arr : STD_LOGIC_VECTOR(c_jesd204b_rx_somf_w*g_nof_streams-1 DOWNTO 0); SIGNAL jesd204b_sync_n_internal_arr : STD_LOGIC_VECTOR(g_nof_streams-1 DOWNTO 0); -- output to control ADC initialization/syncronization phase + SIGNAL jesd204b_sync_n_enabled_arr : STD_LOGIC_VECTOR(g_nof_streams-1 DOWNTO 0); -- output to control ADC initialization/syncronization phase + SIGNAL jesd204b_sync_n_combined_arr : STD_LOGIC_VECTOR(g_nof_streams-1 DOWNTO 0); -- output to control ADC initialization/syncronization phase -- Component declarations for the IP blocks - component ip_arria10_e2sg_jesd204b_rx is + component ip_arria10_e2sg_jesd204b_rx_200MHz is port ( alldev_lane_aligned : in std_logic := 'X'; -- export csr_cf : out std_logic_vector(4 downto 0); -- export @@ -177,9 +182,9 @@ ARCHITECTURE str OF ip_arria10_e2sg_jesd204b IS somf : out std_logic_vector(c_jesd204b_rx_somf_w-1 downto 0); -- export sysref : in std_logic := 'X' -- export ); - end component ip_arria10_e2sg_jesd204b_rx; + end component ip_arria10_e2sg_jesd204b_rx_200MHz; - component ip_arria10_e2sg_jesd204b_rx_core_pll is + component ip_arria10_e2sg_jesd204b_rx_core_pll_200MHz is port ( locked : out std_logic; -- export outclk_0 : out std_logic; -- clk @@ -187,7 +192,7 @@ ARCHITECTURE str OF ip_arria10_e2sg_jesd204b IS refclk : in std_logic := 'X'; -- clk rst : in std_logic := 'X' -- reset ); - end component ip_arria10_e2sg_jesd204b_rx_core_pll; + end component ip_arria10_e2sg_jesd204b_rx_core_pll_200MHz; component ip_arria10_e2sg_jesd204b_rx_reset_seq is port ( @@ -229,7 +234,8 @@ ARCHITECTURE str OF ip_arria10_e2sg_jesd204b IS BEGIN - + -- The mm_rst resets the MM interface, but is also used to reset the JESD IP reset sequencer. + -- Therefore a reset of mm_rst effectively resets the entire ip_arria10_e2sg_jesd204b and causes a reset on the rx_rst output. rx_clk <= rxframe_clk; rx_rst <= not core_pll_locked; @@ -252,63 +258,65 @@ BEGIN -- The JESD204 IP (rx only) ----------------------------------------------------------------------------- - u_ip_arria10_e2sg_jesd204b_rx : ip_arria10_e2sg_jesd204b_rx - PORT MAP - ( - alldev_lane_aligned => dev_lane_aligned_arr(i), - csr_cf => OPEN, - csr_cs => OPEN, - csr_f => OPEN, - csr_hd => OPEN, - csr_k => OPEN, - csr_l => OPEN, - csr_lane_powerdown => rx_csr_lane_powerdown_arr(i downto i), - csr_m => OPEN, - csr_n => OPEN, - csr_np => OPEN, - csr_rx_testmode => OPEN, - csr_s => OPEN, - dev_lane_aligned => dev_lane_aligned_arr(i), - dev_sync_n => jesd204b_sync_n_internal_arr(i), - jesd204_rx_avs_chipselect => '1', --jesd204b_mosi_arr(i).chipselect, - jesd204_rx_avs_address => jesd204b_mosi_arr(i).address(c_jesd204b_mm_addr_w-1 downto 0), - jesd204_rx_avs_read => jesd204b_mosi_arr(i).rd, - jesd204_rx_avs_readdata => jesd204b_miso_arr(i).rddata(31 downto 0), - jesd204_rx_avs_waitrequest => jesd204b_miso_arr(i).waitrequest, - jesd204_rx_avs_write => jesd204b_mosi_arr(i).wr, - jesd204_rx_avs_writedata => jesd204b_mosi_arr(i).wrdata(31 downto 0), - jesd204_rx_avs_clk => jesd204b_avs_clk, --mm_clk, - jesd204_rx_avs_rst_n => rx_avs_rst_n_arr(i), -- Todo: Check if this could use mm_rst, - jesd204_rx_dlb_data => (others => '0'), -- debug/loopback testing - jesd204_rx_dlb_data_valid => (others => '0'), -- debug/loopback testing - jesd204_rx_dlb_disperr => (others => '0'), -- debug/loopback testing - jesd204_rx_dlb_errdetect => (others => '0'), -- debug/loopback testing - jesd204_rx_dlb_kchar_data => (others => '0'), -- debug/loopback testing - jesd204_rx_frame_error => '0', -- jesd204_rx_frame_error.export - jesd204_rx_int => OPEN, -- Connected to status IO in example design - jesd204_rx_link_data => jesd204b_rx_link_data_arr(i*c_jesd204b_rx_data_w+c_jesd204b_rx_data_w-1 DOWNTO i*c_jesd204b_rx_data_w), - jesd204_rx_link_valid => jesd204b_rx_link_valid_arr(i), - jesd204_rx_link_ready => '1', - pll_ref_clk => jesd204b_refclk, -- Aka device_clock, same as reference for the link/frame clock IOPLL (Intel JESD204B-UG p63) - rx_analogreset => rx_analogreset_arr(I DOWNTO I), - rx_cal_busy => rx_cal_busy_arr(I DOWNTO I), - rx_digitalreset => rx_digitalreset_arr(I DOWNTO I), - rx_islockedtodata => rx_islockedtodata_arr(I DOWNTO I), - rx_serial_data => serial_rx_arr(i downto i), - rxlink_clk => rxlink_clk, -- TODO: still not clear if this should be 100MHz or 200MHz (Intel JESD204B-UG p63) - rxlink_rst_n_reset_n => rxlink_rst_n_arr(i), -- Assoc with rxlink_clk (Intel JESD204B-UG p69) - rxphy_clk => OPEN, -- Not used in Subclass 0 (Intel JESD204B-UG p63) - sof => OPEN, - somf => jesd204b_rx_somf_arr(c_jesd204b_rx_somf_w*i+c_jesd204b_rx_somf_w-1 downto c_jesd204b_rx_somf_w*i), - sysref => jesd204b_sysref_2 - ); + gen_jesd204b_rx_freqsel : IF g_jesd_freq = "200MHz" GENERATE + u_ip_arria10_e2sg_jesd204b_rx_200MHz : ip_arria10_e2sg_jesd204b_rx_200MHz + PORT MAP + ( + alldev_lane_aligned => dev_lane_aligned_arr(i), + csr_cf => OPEN, + csr_cs => OPEN, + csr_f => OPEN, + csr_hd => OPEN, + csr_k => OPEN, + csr_l => OPEN, + csr_lane_powerdown => rx_csr_lane_powerdown_arr(i downto i), + csr_m => OPEN, + csr_n => OPEN, + csr_np => OPEN, + csr_rx_testmode => OPEN, + csr_s => OPEN, + dev_lane_aligned => dev_lane_aligned_arr(i), + dev_sync_n => jesd204b_sync_n_internal_arr(i), + jesd204_rx_avs_chipselect => '1', + jesd204_rx_avs_address => jesd204b_mosi_arr(i).address(c_jesd204b_mm_addr_w-1 downto 0), + jesd204_rx_avs_read => jesd204b_mosi_arr(i).rd, + jesd204_rx_avs_readdata => jesd204b_miso_arr(i).rddata(31 downto 0), + jesd204_rx_avs_waitrequest => jesd204b_miso_arr(i).waitrequest, + jesd204_rx_avs_write => jesd204b_mosi_arr(i).wr, + jesd204_rx_avs_writedata => jesd204b_mosi_arr(i).wrdata(31 downto 0), + jesd204_rx_avs_clk => jesd204b_avs_clk, + jesd204_rx_avs_rst_n => rx_avs_rst_n_arr(i), + jesd204_rx_dlb_data => (others => '0'), -- debug/loopback testing + jesd204_rx_dlb_data_valid => (others => '0'), -- debug/loopback testing + jesd204_rx_dlb_disperr => (others => '0'), -- debug/loopback testing + jesd204_rx_dlb_errdetect => (others => '0'), -- debug/loopback testing + jesd204_rx_dlb_kchar_data => (others => '0'), -- debug/loopback testing + jesd204_rx_frame_error => '0', -- jesd204_rx_frame_error.export + jesd204_rx_int => OPEN, -- Connected to status IO in example design + jesd204_rx_link_data => jesd204b_rx_link_data_arr(i*c_jesd204b_rx_data_w+c_jesd204b_rx_data_w-1 DOWNTO i*c_jesd204b_rx_data_w), + jesd204_rx_link_valid => jesd204b_rx_link_valid_arr(i), + jesd204_rx_link_ready => '1', + pll_ref_clk => jesd204b_refclk, -- Aka device_clock, same as reference for the link/frame clock IOPLL (Intel JESD204B-UG p63) + rx_analogreset => rx_analogreset_arr(I DOWNTO I), + rx_cal_busy => rx_cal_busy_arr(I DOWNTO I), + rx_digitalreset => rx_digitalreset_arr(I DOWNTO I), + rx_islockedtodata => rx_islockedtodata_arr(I DOWNTO I), + rx_serial_data => serial_rx_arr(i downto i), + rxlink_clk => rxlink_clk, + rxlink_rst_n_reset_n => rxlink_rst_n_arr(i), -- Assoc with rxlink_clk (Intel JESD204B-UG p69) + rxphy_clk => OPEN, -- Not used in Subclass 0 (Intel JESD204B-UG p63) + sof => OPEN, + somf => jesd204b_rx_somf_arr(c_jesd204b_rx_somf_w*i+c_jesd204b_rx_somf_w-1 downto c_jesd204b_rx_somf_w*i), + sysref => jesd204b_sysref_2 + ); + END GENERATE; ----------------------------------------------------------------------------- -- Reset sequencer for each channel ----------------------------------------------------------------------------- u_ip_arria10_e2sg_jesd204b_rx_reset_seq : ip_arria10_e2sg_jesd204b_rx_reset_seq PORT MAP ( - av_address => reset_seq_mosi_arr(i).address(7 downto 0), -- in std_logic_vector(7 downto 0) := (others => '0'); + av_address => reset_seq_mosi_arr(i).address(7 downto 0), av_readdata => reset_seq_miso_arr(i).rddata(31 downto 0), av_read => reset_seq_mosi_arr(i).rd, av_writedata => reset_seq_mosi_arr(i).wrdata(31 downto 0), @@ -321,7 +329,7 @@ BEGIN reset5_dsrt_qual => rx_xcvr_ready_in_arr(i), reset_in0 => mm_rst, reset_out0 => pll_reset_arr(i), -- Use channel 0 to reset the core pll - reset_out1 => xcvr_rst_arr(i), -- Use channel 0 to reset the transceiver reset controller + reset_out1 => xcvr_rst_arr(i), -- Use channel 1 to reset the transceiver reset controller reset_out2 => open, reset_out3 => open, reset_out4 => open, @@ -330,7 +338,6 @@ BEGIN reset_out7 => rxframe_rst_arr(i) ); - --rx_xcvr_ready_in_arr(i) <= rx_csr_lane_powerdown_arr(i) OR xcvr_rst_ctrl_rx_ready_arr(i); rx_xcvr_ready_in_arr(i) <= '1' when rx_csr_lane_powerdown_arr(i)='1' OR xcvr_rst_ctrl_rx_ready_arr(i)='1' else '0'; -- Invert thr active-low resets @@ -350,6 +357,7 @@ BEGIN rx_src_out_arr(i).data(c_jesd204b_rx_framer_data_w-1 downto 0) <= (OTHERS => '0'); rx_src_out_arr(i).channel(c_jesd204b_rx_framer_somf_w-1 downto 0) <= (OTHERS => '0'); f2_div1_cnt_arr(i) <= '0'; + rx_src_out_arr(i).valid <= '0'; ELSE rx_src_out_arr(i).valid <= jesd204b_rx_link_valid_arr(i); IF jesd204b_rx_link_valid_arr(i) = '0' THEN @@ -372,23 +380,28 @@ BEGIN END GENERATE; ----------------------------------------------------------------------------- - -- Reclock sysref + -- Reclock sysref and the sync_n output + -- See: https://www.intel.com/content/dam/www/programmable/us/en/pdfs/literature/ug/ug_jesd204b.pdf + -- Figure 25, page 151 ----------------------------------------------------------------------------- p_reclocksysref : PROCESS (rxlink_clk, core_pll_locked) BEGIN IF core_pll_locked = '0' THEN jesd204b_sysref_1 <= '0'; jesd204b_sysref_2 <= '0'; + jesd204b_sync_n_arr <= (others => '0'); ELSE IF rising_edge(rxlink_clk) THEN jesd204b_sysref_1 <= jesd204b_sysref; jesd204b_sysref_2 <= jesd204b_sysref_1; + jesd204b_sync_n_arr <= jesd204b_sync_n_combined_arr; END IF; END IF; END PROCESS; + ----------------------------------------------------------------------------- - -- Capture sysref on the frame clock for export + -- Move sysref from rxlink_clk to rxframe_clk ----------------------------------------------------------------------------- p_rx_sysref : PROCESS (rxframe_clk, core_pll_locked) BEGIN @@ -398,8 +411,8 @@ BEGIN rx_sysref <= '0'; ELSE IF rising_edge(rxframe_clk) THEN - jesd204b_sysref_frameclk_1 <= jesd204b_sysref; - jesd204b_sysref_frameclk_2 <= jesd204b_sysref_frameclk_1; + jesd204b_sysref_frameclk_1 <= jesd204b_sysref_2; -- sysref from rxlink_clk domain + jesd204b_sysref_frameclk_2 <= jesd204b_sysref_linkclk_1; IF jesd204b_sysref_frameclk_1 = '1' and jesd204b_sysref_frameclk_2 = '0' THEN rx_sysref <= '1'; ELSE @@ -411,14 +424,16 @@ BEGIN -- IOPLL in source synchronous or normal mode. (Intel JESD204B-UG p66) - u_ip_arria10_e2sg_jesd204b_rx_corepll : ip_arria10_e2sg_jesd204b_rx_core_pll - PORT MAP ( - locked => core_pll_locked, - outclk_0 => rxlink_clk, - outclk_1 => rxframe_clk, - refclk => jesd204b_refclk, - rst => pll_reset_arr(0) - ); + gen_jesd204b_rx_corepll_freqsel : IF g_jesd_freq = "200MHz" GENERATE + u_ip_arria10_e2sg_jesd204b_rx_corepll_200MHz : ip_arria10_e2sg_jesd204b_rx_core_pll_200MHz + PORT MAP ( + locked => core_pll_locked, + outclk_0 => rxlink_clk, -- out 100 MHz + outclk_1 => rxframe_clk, -- out 200 MHz + refclk => jesd204b_refclk, -- in 200 MHz + rst => pll_reset_arr(0) + ); + END GENERATE; p_pll_locked_reg : PROCESS (mm_rst, mm_clk) BEGIN @@ -448,12 +463,22 @@ BEGIN END GENERATE; + gen_enable_sync_n : FOR i IN 0 TO g_nof_streams-1 GENERATE + -- The sync_n_enabled output is active '0'. For disabled signal inputs the sync_n_enabled output is forced to '1', so that for the disabled (= inactive = not used) + -- signal inputs the sync_n_internal from the JESD IP will not pull sync_n_enabled low. + -- The purpose of being able to disable inactive signal inputs is that this avoids that one inactive signal input will cause all signal inputs in a group that share + -- the sync_n_combined to become unavailable (see gen_group_sync_n). + + + -- For disabled channels (in jesd204b_disable_arr), the SYNC_N output will not be used + jesd204b_sync_n_enabled_arr(i) <= jesd204b_sync_n_internal_arr(i) OR jesd204b_disable_arr(i); + END GENERATE; ----------------------------------------------------------------------------- -- Group the SYNC_N outputs ----------------------------------------------------------------------------- gen_group_sync_n : FOR i IN 0 TO g_nof_sync_n-1 GENERATE - jesd204b_sync_n_arr(i) <= vector_and(jesd204b_sync_n_internal_arr(c_nof_sync_n_per_group*i+c_nof_sync_n_per_group-1 downto c_nof_sync_n_per_group*i)); + jesd204b_sync_n_combined_arr(i) <= vector_and(jesd204b_sync_n_enabled_arr(c_nof_sync_n_per_group*i+c_nof_sync_n_per_group-1 downto c_nof_sync_n_per_group*i)); END GENERATE; ----------------------------------------------------------------------------- diff --git a/libraries/technology/ip_arria10_e2sg/jesd204b/ip_arria10_e2sg_jesd204b_component_pkg.vhd b/libraries/technology/ip_arria10_e2sg/jesd204b/ip_arria10_e2sg_jesd204b_component_pkg.vhd new file mode 100644 index 0000000000..545e648215 --- /dev/null +++ b/libraries/technology/ip_arria10_e2sg/jesd204b/ip_arria10_e2sg_jesd204b_component_pkg.vhd @@ -0,0 +1,96 @@ +-------------------------------------------------------------------------------- +-- +-- Copyright (C) 2014 +-- ASTRON (Netherlands Institute for Radio Astronomy) <http://www.astron.nl/> +-- JIVE (Joint Institute for VLBI in Europe) <http://www.jive.nl/> +-- P.O.Box 2, 7990 AA Dwingeloo, The Netherlands +-- +-- This program is free software: you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation, either version 3 of the License, or +-- (at your option) any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with this program. If not, see <http://www.gnu.org/licenses/>. +-- +-------------------------------------------------------------------------------- + + +-- Purpose: Component declarations for jesd204b ip blocks + +LIBRARY IEEE, technology_lib, common_lib, dp_lib; +USE IEEE.std_logic_1164.ALL; +USE common_lib.common_pkg.ALL; +USE common_lib.common_mem_pkg.ALL; +USE technology_lib.technology_pkg.ALL; +USE dp_lib.dp_stream_pkg.ALL; + +PACKAGE ip_arria10_e2sg_jesd204b_component_pkg IS + + ------------------------------------------------------------------------------ + -- Main IP, TX ONLY, 1 channel + ------------------------------------------------------------------------------ + + component ip_arria10_e2sg_jesd204b_tx is + port ( + csr_cf : out std_logic_vector(4 downto 0); -- export + csr_cs : out std_logic_vector(1 downto 0); -- export + csr_f : out std_logic_vector(7 downto 0); -- export + csr_hd : out std_logic; -- export + csr_k : out std_logic_vector(4 downto 0); -- export + csr_l : out std_logic_vector(4 downto 0); -- export + csr_lane_powerdown : out std_logic_vector(0 downto 0); -- export + csr_m : out std_logic_vector(7 downto 0); -- export + csr_n : out std_logic_vector(4 downto 0); -- export + csr_np : out std_logic_vector(4 downto 0); -- export + csr_s : out std_logic_vector(4 downto 0); -- export + csr_tx_testmode : out std_logic_vector(3 downto 0); -- export + csr_tx_testpattern_a : out std_logic_vector(31 downto 0); -- export + csr_tx_testpattern_b : out std_logic_vector(31 downto 0); -- export + csr_tx_testpattern_c : out std_logic_vector(31 downto 0); -- export + csr_tx_testpattern_d : out std_logic_vector(31 downto 0); -- export + dev_sync_n : out std_logic; -- export + jesd204_tx_avs_chipselect : in std_logic := 'X'; -- chipselect + jesd204_tx_avs_address : in std_logic_vector(7 downto 0) := (others => 'X'); -- address + jesd204_tx_avs_read : in std_logic := 'X'; -- read + jesd204_tx_avs_readdata : out std_logic_vector(31 downto 0); -- readdata + jesd204_tx_avs_waitrequest : out std_logic; -- waitrequest + jesd204_tx_avs_write : in std_logic := 'X'; -- write + jesd204_tx_avs_writedata : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata + jesd204_tx_avs_clk : in std_logic := 'X'; -- clk + jesd204_tx_avs_rst_n : in std_logic := 'X'; -- reset_n + jesd204_tx_dlb_data : out std_logic_vector(31 downto 0); -- export + jesd204_tx_dlb_kchar_data : out std_logic_vector(3 downto 0); -- export + jesd204_tx_frame_error : in std_logic := 'X'; -- export + jesd204_tx_frame_ready : out std_logic; -- export + jesd204_tx_int : out std_logic; -- irq + jesd204_tx_link_data : in std_logic_vector(31 downto 0) := (others => 'X'); -- data + jesd204_tx_link_valid : in std_logic := 'X'; -- valid + jesd204_tx_link_ready : out std_logic; -- ready + mdev_sync_n : in std_logic := 'X'; -- export + pll_locked : in std_logic_vector(0 downto 0) := (others => 'X'); -- pll_locked + somf : out std_logic_vector(3 downto 0); -- export + sync_n : in std_logic := 'X'; -- export + sysref : in std_logic := 'X'; -- export + tx_analogreset : in std_logic_vector(0 downto 0) := (others => 'X'); -- tx_analogreset + tx_bonding_clocks : in std_logic_vector(5 downto 0) := (others => 'X'); -- clk + tx_cal_busy : out std_logic_vector(0 downto 0); -- tx_cal_busy + tx_digitalreset : in std_logic_vector(0 downto 0) := (others => 'X'); -- tx_digitalreset + tx_serial_data : out std_logic_vector(0 downto 0); -- tx_serial_data + txlink_clk : in std_logic := 'X'; -- clk + txlink_rst_n_reset_n : in std_logic := 'X'; -- reset_n + txphy_clk : out std_logic_vector(0 downto 0) -- export + ); + end component ip_arria10_e2sg_jesd204b_tx; + + + +END ip_arria10_e2sg_jesd204b_component_pkg; + +PACKAGE BODY ip_arria10_e2sg_jesd204b_component_pkg IS +END ip_arria10_e2sg_jesd204b_component_pkg; diff --git a/libraries/technology/ip_arria10_e2sg/jesd204b/ip_arria10_e2sg_jesd204b_rx.ip b/libraries/technology/ip_arria10_e2sg/jesd204b/ip_arria10_e2sg_jesd204b_rx.ip deleted file mode 100644 index 8751987d6b..0000000000 --- a/libraries/technology/ip_arria10_e2sg/jesd204b/ip_arria10_e2sg_jesd204b_rx.ip +++ /dev/null @@ -1,3276 +0,0 @@ -<?xml version="1.0" ?> -<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"> - <spirit:vendor>Intel Corporation</spirit:vendor> - <spirit:library>ip_arria10_e2sg_jesd204b_rx</spirit:library> - <spirit:name>jesd204_0</spirit:name> - <spirit:version>18.0</spirit:version> - <spirit:busInterfaces> - <spirit:busInterface> - <spirit:name>alldev_lane_aligned</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>alldev_lane_aligned</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>ui.blockdiagram.direction</spirit:name> - <spirit:value spirit:format="string" spirit:id="ui.blockdiagram.direction">input</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>csr_cf</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csr_cf</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>ui.blockdiagram.direction</spirit:name> - <spirit:value spirit:format="string" spirit:id="ui.blockdiagram.direction">output</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>csr_cs</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csr_cs</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>ui.blockdiagram.direction</spirit:name> - <spirit:value spirit:format="string" spirit:id="ui.blockdiagram.direction">output</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>csr_f</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csr_f</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>ui.blockdiagram.direction</spirit:name> - <spirit:value spirit:format="string" spirit:id="ui.blockdiagram.direction">output</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>csr_hd</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csr_hd</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>ui.blockdiagram.direction</spirit:name> - <spirit:value spirit:format="string" spirit:id="ui.blockdiagram.direction">output</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>csr_k</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csr_k</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>ui.blockdiagram.direction</spirit:name> - <spirit:value spirit:format="string" spirit:id="ui.blockdiagram.direction">output</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>csr_l</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csr_l</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>ui.blockdiagram.direction</spirit:name> - <spirit:value spirit:format="string" spirit:id="ui.blockdiagram.direction">output</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>csr_lane_powerdown</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csr_lane_powerdown</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>ui.blockdiagram.direction</spirit:name> - <spirit:value spirit:format="string" spirit:id="ui.blockdiagram.direction">output</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>csr_m</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csr_m</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>ui.blockdiagram.direction</spirit:name> - <spirit:value spirit:format="string" spirit:id="ui.blockdiagram.direction">output</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>csr_n</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csr_n</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>ui.blockdiagram.direction</spirit:name> - <spirit:value spirit:format="string" spirit:id="ui.blockdiagram.direction">output</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>csr_np</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csr_np</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>ui.blockdiagram.direction</spirit:name> - <spirit:value spirit:format="string" spirit:id="ui.blockdiagram.direction">output</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>csr_rx_testmode</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csr_rx_testmode</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>ui.blockdiagram.direction</spirit:name> - <spirit:value spirit:format="string" spirit:id="ui.blockdiagram.direction">output</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>csr_s</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csr_s</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>ui.blockdiagram.direction</spirit:name> - <spirit:value spirit:format="string" spirit:id="ui.blockdiagram.direction">output</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>dev_lane_aligned</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>dev_lane_aligned</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>ui.blockdiagram.direction</spirit:name> - <spirit:value spirit:format="string" spirit:id="ui.blockdiagram.direction">output</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>dev_sync_n</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>dev_sync_n</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>ui.blockdiagram.direction</spirit:name> - <spirit:value spirit:format="string" spirit:id="ui.blockdiagram.direction">output</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>jesd204_rx_avs</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>chipselect</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>jesd204_rx_avs_chipselect</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>address</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>jesd204_rx_avs_address</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>read</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>jesd204_rx_avs_read</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>readdata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>jesd204_rx_avs_readdata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>waitrequest</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>jesd204_rx_avs_waitrequest</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>write</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>jesd204_rx_avs_write</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>writedata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>jesd204_rx_avs_writedata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>addressAlignment</spirit:name> - <spirit:displayName>Slave addressing</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressAlignment">DYNAMIC</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressGroup</spirit:name> - <spirit:displayName>Address group</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressSpan</spirit:name> - <spirit:displayName>Address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressSpan">1024</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressUnits</spirit:name> - <spirit:displayName>Address units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>alwaysBurstMaxBurst</spirit:name> - <spirit:displayName>Always burst maximum burst</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">jesd204_rx_avs_clk</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>Associated reset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset">jesd204_rx_avs_rst_n</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bitsPerSymbol</spirit:name> - <spirit:displayName>Bits per symbol</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgedAddressOffset</spirit:name> - <spirit:displayName>Bridged Address Offset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgesToMaster</spirit:name> - <spirit:displayName>Bridges to master</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstOnBurstBoundariesOnly</spirit:name> - <spirit:displayName>Burst on burst boundaries only</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstcountUnits</spirit:name> - <spirit:displayName>Burstcount units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>constantBurstBehavior</spirit:name> - <spirit:displayName>Constant burst behavior</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>explicitAddressSpan</spirit:name> - <spirit:displayName>Explicit address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>holdTime</spirit:name> - <spirit:displayName>Hold</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>interleaveBursts</spirit:name> - <spirit:displayName>Interleave bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isBigEndian</spirit:name> - <spirit:displayName>Big endian</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isFlash</spirit:name> - <spirit:displayName>Flash memory</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isMemoryDevice</spirit:name> - <spirit:displayName>Memory device</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isNonVolatileStorage</spirit:name> - <spirit:displayName>Non-volatile storage</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>linewrapBursts</spirit:name> - <spirit:displayName>Linewrap bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingReadTransactions</spirit:name> - <spirit:displayName>Maximum pending read transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingWriteTransactions</spirit:name> - <spirit:displayName>Maximum pending write transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumReadLatency</spirit:name> - <spirit:displayName>minimumReadLatency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumResponseLatency</spirit:name> - <spirit:displayName>Minimum response latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumUninterruptedRunLength</spirit:name> - <spirit:displayName>Minimum uninterrupted run length</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>printableDevice</spirit:name> - <spirit:displayName>Can receive stdout/stderr</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="printableDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readLatency</spirit:name> - <spirit:displayName>Read latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitStates</spirit:name> - <spirit:displayName>Read wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitStates">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitTime</spirit:name> - <spirit:displayName>Read wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitTime">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerIncomingSignals</spirit:name> - <spirit:displayName>Register incoming signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerOutgoingSignals</spirit:name> - <spirit:displayName>Register outgoing signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setupTime</spirit:name> - <spirit:displayName>Setup</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>timingUnits</spirit:name> - <spirit:displayName>Timing units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>transparentBridge</spirit:name> - <spirit:displayName>Transparent bridge</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>waitrequestAllowance</spirit:name> - <spirit:displayName>Waitrequest allowance</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>wellBehavedWaitrequest</spirit:name> - <spirit:displayName>Well-behaved waitrequest</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeLatency</spirit:name> - <spirit:displayName>Write latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitStates</spirit:name> - <spirit:displayName>Write wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitTime</spirit:name> - <spirit:displayName>Write wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isFlash</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>jesd204_rx_avs_clk</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>clk</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>jesd204_rx_avs_clk</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>clockRate</spirit:name> - <spirit:displayName>Clock rate</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>externallyDriven</spirit:name> - <spirit:displayName>Externally driven</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ptfSchematicName</spirit:name> - <spirit:displayName>PTF schematic name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>jesd204_rx_avs_rst_n</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>reset_n</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>jesd204_rx_avs_rst_n</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">jesd204_rx_avs_clk</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>synchronousEdges</spirit:name> - <spirit:displayName>Synchronous edges</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>jesd204_rx_dlb_data</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>jesd204_rx_dlb_data</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>ui.blockdiagram.direction</spirit:name> - <spirit:value spirit:format="string" spirit:id="ui.blockdiagram.direction">input</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>jesd204_rx_dlb_data_valid</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>jesd204_rx_dlb_data_valid</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>ui.blockdiagram.direction</spirit:name> - <spirit:value spirit:format="string" spirit:id="ui.blockdiagram.direction">input</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>jesd204_rx_dlb_disperr</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>jesd204_rx_dlb_disperr</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>ui.blockdiagram.direction</spirit:name> - <spirit:value spirit:format="string" spirit:id="ui.blockdiagram.direction">input</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>jesd204_rx_dlb_errdetect</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>jesd204_rx_dlb_errdetect</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>ui.blockdiagram.direction</spirit:name> - <spirit:value spirit:format="string" spirit:id="ui.blockdiagram.direction">input</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>jesd204_rx_dlb_kchar_data</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>jesd204_rx_dlb_kchar_data</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>ui.blockdiagram.direction</spirit:name> - <spirit:value spirit:format="string" spirit:id="ui.blockdiagram.direction">input</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>jesd204_rx_frame_error</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>jesd204_rx_frame_error</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>ui.blockdiagram.direction</spirit:name> - <spirit:value spirit:format="string" spirit:id="ui.blockdiagram.direction">input</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>jesd204_rx_int</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="interrupt" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>irq</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>jesd204_rx_int</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedAddressablePoint</spirit:name> - <spirit:displayName>Associated addressable interface</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedAddressablePoint">ip_arria10_e2sg_jesd204b_rx.jesd204_rx_avs</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">jesd204_rx_avs_clk</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>Associated reset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset">jesd204_rx_avs_rst_n</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgedReceiverOffset</spirit:name> - <spirit:displayName>Bridged receiver offset</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="bridgedReceiverOffset">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgesToReceiver</spirit:name> - <spirit:displayName>Bridges to receiver</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgesToReceiver"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>irqScheme</spirit:name> - <spirit:displayName>Interrupt scheme</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="irqScheme">NONE</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>jesd204_rx_link</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon_streaming" spirit:version="18.0"></spirit:busType> - <spirit:master></spirit:master> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>data</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>jesd204_rx_link_data</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>valid</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>jesd204_rx_link_valid</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>ready</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>jesd204_rx_link_ready</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">rxlink_clk</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset">rxlink_rst_n</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>beatsPerCycle</spirit:name> - <spirit:displayName>Beats Per Cycle</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="beatsPerCycle">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>dataBitsPerSymbol</spirit:name> - <spirit:displayName>Data bits per symbol</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="dataBitsPerSymbol">32</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>emptyWithinPacket</spirit:name> - <spirit:displayName>emptyWithinPacket</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="emptyWithinPacket">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>errorDescriptor</spirit:name> - <spirit:displayName>Error descriptor</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="errorDescriptor"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>firstSymbolInHighOrderBits</spirit:name> - <spirit:displayName>First Symbol In High-Order Bits</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="firstSymbolInHighOrderBits">true</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>highOrderSymbolAtMSB</spirit:name> - <spirit:displayName>highOrderSymbolAtMSB</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="highOrderSymbolAtMSB">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maxChannel</spirit:name> - <spirit:displayName>Maximum channel</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maxChannel">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>packetDescription</spirit:name> - <spirit:displayName>Packet description </spirit:displayName> - <spirit:value spirit:format="string" spirit:id="packetDescription"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readyAllowance</spirit:name> - <spirit:displayName>Ready allowance</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readyAllowance">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readyLatency</spirit:name> - <spirit:displayName>Ready latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readyLatency">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>symbolsPerBeat</spirit:name> - <spirit:displayName>Symbols per beat </spirit:displayName> - <spirit:value spirit:format="long" spirit:id="symbolsPerBeat">1</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>pll_ref_clk</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>clk</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>pll_ref_clk</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>clockRate</spirit:name> - <spirit:displayName>Clock rate</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>externallyDriven</spirit:name> - <spirit:displayName>Externally driven</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ptfSchematicName</spirit:name> - <spirit:displayName>PTF schematic name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>rx_analogreset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>rx_analogreset</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>rx_analogreset</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>ui.blockdiagram.direction</spirit:name> - <spirit:value spirit:format="string" spirit:id="ui.blockdiagram.direction">input</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>rx_cal_busy</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>rx_cal_busy</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>rx_cal_busy</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>ui.blockdiagram.direction</spirit:name> - <spirit:value spirit:format="string" spirit:id="ui.blockdiagram.direction">output</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>rx_digitalreset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>rx_digitalreset</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>rx_digitalreset</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>ui.blockdiagram.direction</spirit:name> - <spirit:value spirit:format="string" spirit:id="ui.blockdiagram.direction">input</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>rx_islockedtodata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>rx_is_lockedtodata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>rx_islockedtodata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>ui.blockdiagram.direction</spirit:name> - <spirit:value spirit:format="string" spirit:id="ui.blockdiagram.direction">output</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>rx_serial_data</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>rx_serial_data</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>rx_serial_data</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>ui.blockdiagram.direction</spirit:name> - <spirit:value spirit:format="string" spirit:id="ui.blockdiagram.direction">input</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>rxlink_clk</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>clk</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>rxlink_clk</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>clockRate</spirit:name> - <spirit:displayName>Clock rate</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>externallyDriven</spirit:name> - <spirit:displayName>Externally driven</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ptfSchematicName</spirit:name> - <spirit:displayName>PTF schematic name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>rxlink_rst_n</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>reset_n</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>rxlink_rst_n_reset_n</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">rxlink_clk</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>synchronousEdges</spirit:name> - <spirit:displayName>Synchronous edges</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>rxphy_clk</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>rxphy_clk</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>ui.blockdiagram.direction</spirit:name> - <spirit:value spirit:format="string" spirit:id="ui.blockdiagram.direction">output</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>sof</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>sof</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>ui.blockdiagram.direction</spirit:name> - <spirit:value spirit:format="string" spirit:id="ui.blockdiagram.direction">output</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>somf</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>somf</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>ui.blockdiagram.direction</spirit:name> - <spirit:value spirit:format="string" spirit:id="ui.blockdiagram.direction">output</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>sysref</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>sysref</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>ui.blockdiagram.direction</spirit:name> - <spirit:value spirit:format="string" spirit:id="ui.blockdiagram.direction">input</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - </spirit:busInterfaces> - <spirit:model> - <spirit:views> - <spirit:view> - <spirit:name>QUARTUS_SYNTH</spirit:name> - <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier> - <spirit:modelName>altera_jesd204</spirit:modelName> - <spirit:fileSetRef> - <spirit:localName>QUARTUS_SYNTH</spirit:localName> - </spirit:fileSetRef> - </spirit:view> - </spirit:views> - <spirit:ports> - <spirit:port> - <spirit:name>alldev_lane_aligned</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>csr_cf</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>4</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>csr_cs</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>1</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>csr_f</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>7</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>csr_hd</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>csr_k</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>4</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>csr_l</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>4</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>csr_lane_powerdown</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>csr_m</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>7</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>csr_n</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>4</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>csr_np</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>4</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>csr_rx_testmode</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>3</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>csr_s</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>4</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>dev_lane_aligned</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>dev_sync_n</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>jesd204_rx_avs_chipselect</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>jesd204_rx_avs_address</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>7</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>jesd204_rx_avs_read</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>jesd204_rx_avs_readdata</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>jesd204_rx_avs_waitrequest</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>jesd204_rx_avs_write</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>jesd204_rx_avs_writedata</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>jesd204_rx_avs_clk</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>jesd204_rx_avs_rst_n</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>jesd204_rx_dlb_data</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>jesd204_rx_dlb_data_valid</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>jesd204_rx_dlb_disperr</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>3</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>jesd204_rx_dlb_errdetect</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>3</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>jesd204_rx_dlb_kchar_data</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>3</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>jesd204_rx_frame_error</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>jesd204_rx_int</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>jesd204_rx_link_data</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>jesd204_rx_link_valid</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>jesd204_rx_link_ready</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>pll_ref_clk</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>rx_analogreset</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>rx_cal_busy</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>rx_digitalreset</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>rx_islockedtodata</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>rx_serial_data</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>rxlink_clk</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>rxlink_rst_n_reset_n</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>rxphy_clk</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>sof</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>3</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>somf</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>3</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>sysref</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - </spirit:ports> - </spirit:model> - <spirit:vendorExtensions> - <altera:entity_info> - <spirit:vendor>Intel Corporation</spirit:vendor> - <spirit:library>ip_arria10_e2sg_jesd204b_rx</spirit:library> - <spirit:name>altera_jesd204</spirit:name> - <spirit:version>18.0</spirit:version> - </altera:entity_info> - <altera:altera_module_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>wrapper_opt</spirit:name> - <spirit:displayName>Jesd204b wrapper</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="wrapper_opt">base_phy</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>sdc_constraint</spirit:name> - <spirit:displayName>Set constraint for sdc</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="sdc_constraint">1.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>DEVICE_FAMILY</spirit:name> - <spirit:displayName>Device family</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="DEVICE_FAMILY">Arria 10</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>part_trait_dp</spirit:name> - <spirit:displayName>Device Part</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="part_trait_dp">10AX115U3F45E2SG</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>DATA_PATH</spirit:name> - <spirit:displayName>Data path</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="DATA_PATH">RX</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>SUBCLASSV</spirit:name> - <spirit:displayName>Jesd204b subclass</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="SUBCLASSV">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>lane_rate</spirit:name> - <spirit:displayName>Data rate</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="lane_rate">4000.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>PCS_CONFIG</spirit:name> - <spirit:displayName>PCS Option</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="PCS_CONFIG">JESD_PCS_CFG1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>pll_type</spirit:name> - <spirit:displayName>PLL Type</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="pll_type">CMU</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bonded_mode</spirit:name> - <spirit:displayName>Bonding Mode </spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bonded_mode">bonded</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>REFCLK_FREQ</spirit:name> - <spirit:displayName>PLL/CDR Reference Clock Frequency</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="REFCLK_FREQ">200.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_analog_voltage</spirit:name> - <spirit:displayName>VCCR_GXB and VCCT_GXB supply voltage for the Transceiver</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_analog_voltage">1_0V</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bitrev_en</spirit:name> - <spirit:displayName>Enable Bit reversal and Byte reversal</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="bitrev_en">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>pll_reconfig_enable</spirit:name> - <spirit:displayName>Enable Transceiver Dynamic Reconfiguration</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="pll_reconfig_enable">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>rcfg_jtag_enable</spirit:name> - <spirit:displayName>Enable Altera Debug Master Endpoint</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="rcfg_jtag_enable">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>rcfg_shared</spirit:name> - <spirit:displayName>Share Reconfiguration Interface</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="rcfg_shared">true</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>rcfg_enable_split_interface</spirit:name> - <spirit:displayName>Provide Separate Reconfiguration Interface for Each Channel</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="rcfg_enable_split_interface">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>set_capability_reg_enable</spirit:name> - <spirit:displayName>Enable Capability Registers</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="set_capability_reg_enable">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>set_user_identifier</spirit:name> - <spirit:displayName>Set user-defined IP identifier</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="set_user_identifier">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>set_csr_soft_logic_enable</spirit:name> - <spirit:displayName>Enable Control and Status Registers</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="set_csr_soft_logic_enable">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>set_prbs_soft_logic_enable</spirit:name> - <spirit:displayName>Enable PRBS Soft Accumulators</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="set_prbs_soft_logic_enable">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>L</spirit:name> - <spirit:displayName>Lanes per converter device (L)</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="L">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>M</spirit:name> - <spirit:displayName>Converters per device (M)</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="M">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>GUI_EN_CFG_F</spirit:name> - <spirit:displayName>Enable manual F configuration</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="GUI_EN_CFG_F">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>GUI_CFG_F</spirit:name> - <spirit:displayName>Octets per frame (F)</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="GUI_CFG_F">2</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>F</spirit:name> - <spirit:displayName>Octets per frame (F)</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="F">2</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>N</spirit:name> - <spirit:displayName>Converter resolution (N)</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="N">14</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>N_PRIME</spirit:name> - <spirit:displayName>Transmitted bits per sample (N')</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="N_PRIME">16</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>S</spirit:name> - <spirit:displayName>Samples per converter per frame (S)</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="S">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>K</spirit:name> - <spirit:displayName>Frames per multiframe (K)</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="K">32</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>SCR</spirit:name> - <spirit:displayName>Enable scramble (SCR)</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="SCR">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>CS</spirit:name> - <spirit:displayName>Control Bits (CS)</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="CS">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>CF</spirit:name> - <spirit:displayName>Control Words (CF)</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="CF">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>HD</spirit:name> - <spirit:displayName>High Density user data format (HD)</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="HD">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ECC_EN</spirit:name> - <spirit:displayName>Enable Error Code Correction (ECC_EN)</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="ECC_EN">true</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>DLB_TEST</spirit:name> - <spirit:displayName>Enable Digital Loop Back Test (DLB_TEST)</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="DLB_TEST">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>PHADJ</spirit:name> - <spirit:displayName>Phase adjustment request (PHADJ)</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="PHADJ">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ADJCNT</spirit:name> - <spirit:displayName>Adjustment resolution step count (ADJCNT)</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="ADJCNT">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ADJDIR</spirit:name> - <spirit:displayName>Direction of adjustment (ADJDIR)</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="ADJDIR">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>OPTIMIZE</spirit:name> - <spirit:displayName>CSR Programmability</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="OPTIMIZE">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>DID</spirit:name> - <spirit:displayName>Device ID</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="DID">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>BID</spirit:name> - <spirit:displayName>Bank ID</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="BID">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>LID0</spirit:name> - <spirit:displayName>Lane0 ID</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="LID0">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>FCHK0</spirit:name> - <spirit:displayName>Lane0 checksum</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="FCHK0">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>LID1</spirit:name> - <spirit:displayName>Lane1 ID</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="LID1">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>FCHK1</spirit:name> - <spirit:displayName>Lane1 checksum</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="FCHK1">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>LID2</spirit:name> - <spirit:displayName>Lane2 ID</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="LID2">2</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>FCHK2</spirit:name> - <spirit:displayName>Lane2 checksum</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="FCHK2">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>LID3</spirit:name> - <spirit:displayName>Lane3 ID</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="LID3">3</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>FCHK3</spirit:name> - <spirit:displayName>Lane3 checksum</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="FCHK3">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>LID4</spirit:name> - <spirit:displayName>Lane4 ID</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="LID4">4</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>FCHK4</spirit:name> - <spirit:displayName>Lane4 checksum</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="FCHK4">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>LID5</spirit:name> - <spirit:displayName>Lane5 ID</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="LID5">5</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>FCHK5</spirit:name> - <spirit:displayName>Lane5 checksum</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="FCHK5">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>LID6</spirit:name> - <spirit:displayName>Lane6 ID</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="LID6">6</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>FCHK6</spirit:name> - <spirit:displayName>Lane6 checksum</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="FCHK6">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>LID7</spirit:name> - <spirit:displayName>Lane7 ID</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="LID7">7</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>FCHK7</spirit:name> - <spirit:displayName>Lane7 checksum</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="FCHK7">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>d_refclk_freq</spirit:name> - <spirit:displayName>PLL/CDR Reference Clock Frequency</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="d_refclk_freq">200.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>JESDV</spirit:name> - <spirit:displayName>JESDV</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="JESDV">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>PMA_WIDTH</spirit:name> - <spirit:displayName>PMA_WIDTH</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="PMA_WIDTH">32</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>SER_SIZE</spirit:name> - <spirit:displayName>SER_SIZE</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="SER_SIZE">4</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>FK</spirit:name> - <spirit:displayName>FK</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="FK">64</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>RES1</spirit:name> - <spirit:displayName>RES1</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="RES1">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>RES2</spirit:name> - <spirit:displayName>RES2</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="RES2">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>BIT_REVERSAL</spirit:name> - <spirit:displayName>BIT_REVERSAL</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="BIT_REVERSAL">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>BYTE_REVERSAL</spirit:name> - <spirit:displayName>BYTE_REVERSAL</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="BYTE_REVERSAL">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ALIGNMENT_PATTERN</spirit:name> - <spirit:displayName>ALIGNMENT_PATTERN</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="ALIGNMENT_PATTERN">658812</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>PULSE_WIDTH</spirit:name> - <spirit:displayName>PULSE_WIDTH</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="PULSE_WIDTH">2</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>LS_FIFO_DEPTH</spirit:name> - <spirit:displayName>LS_FIFO_DEPTH</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="LS_FIFO_DEPTH">32</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>LS_FIFO_WIDTHU</spirit:name> - <spirit:displayName>LS_FIFO_WIDTHU</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="LS_FIFO_WIDTHU">5</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>UNUSED_TX_PARALLEL_WIDTH</spirit:name> - <spirit:displayName>UNUSED_TX_PARALLEL_WIDTH</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="UNUSED_TX_PARALLEL_WIDTH">92</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>UNUSED_RX_PARALLEL_WIDTH</spirit:name> - <spirit:displayName>UNUSED_RX_PARALLEL_WIDTH</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="UNUSED_RX_PARALLEL_WIDTH">72</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>XCVR_PLL_LOCKED_WIDTH</spirit:name> - <spirit:displayName>XCVR_PLL_LOCKED_WIDTH</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="XCVR_PLL_LOCKED_WIDTH">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>RECONFIG_ADDRESS_WIDTH</spirit:name> - <spirit:displayName>RECONFIG_ADDRESS_WIDTH</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="RECONFIG_ADDRESS_WIDTH">10</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>DEPTH_PIPE</spirit:name> - <spirit:displayName>Pipeline stages for link_clk domain reset signal</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="DEPTH_PIPE">3</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>xcvr_ip</spirit:name> - <spirit:displayName>xcvr_ip</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="xcvr_ip">ltile</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>die_types</spirit:name> - <spirit:displayName>die_types</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="die_types"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>die_revisions</spirit:name> - <spirit:displayName>die_revisions</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="die_revisions"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>support_c1</spirit:name> - <spirit:displayName>support_c1</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="support_c1">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>support_c2</spirit:name> - <spirit:displayName>support_c2</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="support_c2">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>support_c3</spirit:name> - <spirit:displayName>support_c3</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="support_c3">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>crete_tile_status</spirit:name> - <spirit:displayName>Transceiver Tile</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="crete_tile_status">ltile</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_user_crete_tile</spirit:name> - <spirit:displayName>Transceiver Tile</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_user_crete_tile">etile</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>TEST_COMPONENTS_EN</spirit:name> - <spirit:displayName>Add Test Components</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="TEST_COMPONENTS_EN">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>TERMINATE_RECONFIG_EN</spirit:name> - <spirit:displayName>Terminate Reconfig Signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="TERMINATE_RECONFIG_EN">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ED_TYPE</spirit:name> - <spirit:displayName>Select Design</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="ED_TYPE">NONE</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ED_FILESET_SIM</spirit:name> - <spirit:displayName>Simulation</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="ED_FILESET_SIM">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ED_FILESET_SYNTH</spirit:name> - <spirit:displayName>Synthesis</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="ED_FILESET_SYNTH">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ED_HDL_FORMAT_SIM</spirit:name> - <spirit:displayName>HDL Format</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="ED_HDL_FORMAT_SIM">VERILOG</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ED_SIM_PAT_TESTMODE</spirit:name> - <spirit:displayName>Test pattern</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="ED_SIM_PAT_TESTMODE">PRBS_7</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ED_HDL_FORMAT_SYNTH</spirit:name> - <spirit:displayName>HDL Format</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="ED_HDL_FORMAT_SYNTH">VERILOG</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ED_DEV_KIT</spirit:name> - <spirit:displayName>Select Board</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="ED_DEV_KIT">NONE</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>GUI_ED_DEV_KIT</spirit:name> - <spirit:displayName>Select Board</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="GUI_ED_DEV_KIT">None</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ED_SINGLE_REFCLK</spirit:name> - <spirit:displayName>Single reference clock (Advanced users only. Not recommended.)</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="ED_SINGLE_REFCLK">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ED_3WIRE_SPI</spirit:name> - <spirit:displayName>Generate 3-wire SPI module</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="ED_3WIRE_SPI">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>SELECT_CUSTOM_DEVICE</spirit:name> - <spirit:displayName>Change Target Device</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="SELECT_CUSTOM_DEVICE">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>AUTO_DEVICE</spirit:name> - <spirit:displayName>Auto DEVICE</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="AUTO_DEVICE">10AX115U3F45E2SG</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>AUTO_DEVICE_SPEEDGRADE</spirit:name> - <spirit:displayName>Auto DEVICE_SPEEDGRADE</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="AUTO_DEVICE_SPEEDGRADE">1</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_module_parameters> - <altera:altera_system_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>device</spirit:name> - <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U3F45E2SG</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceFamily</spirit:name> - <spirit:displayName>Device family</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceSpeedGrade</spirit:name> - <spirit:displayName>Device Speed Grade</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>generationId</spirit:name> - <spirit:displayName>Generation Id</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bonusData</spirit:name> - <spirit:displayName>bonusData</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bonusData">bonusData -{ - element jesd204_0 - { - datum _sortIndex - { - value = "0"; - type = "int"; - } - } -} -</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hideFromIPCatalog</spirit:name> - <spirit:displayName>Hide from IP Catalog</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">true</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>lockedInterfaceDefinition</spirit:name> - <spirit:displayName>lockedInterfaceDefinition</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>systemInfos</spirit:name> - <spirit:displayName>systemInfos</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos> - <entry> - <key>jesd204_rx_avs</key> - <value> - <connectionPointName>jesd204_rx_avs</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='jesd204_rx_avs' start='0x0' end='0x400' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>10</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> -</systemInfosDefinition>]]></spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_system_parameters> - <altera:altera_interface_boundary> - <altera:interface_mapping altera:name="alldev_lane_aligned" altera:internal="jesd204_0.alldev_lane_aligned" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="alldev_lane_aligned" altera:internal="alldev_lane_aligned"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="csr_cf" altera:internal="jesd204_0.csr_cf" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="csr_cf" altera:internal="csr_cf"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="csr_cs" altera:internal="jesd204_0.csr_cs" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="csr_cs" altera:internal="csr_cs"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="csr_f" altera:internal="jesd204_0.csr_f" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="csr_f" altera:internal="csr_f"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="csr_hd" altera:internal="jesd204_0.csr_hd" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="csr_hd" altera:internal="csr_hd"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="csr_k" altera:internal="jesd204_0.csr_k" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="csr_k" altera:internal="csr_k"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="csr_l" altera:internal="jesd204_0.csr_l" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="csr_l" altera:internal="csr_l"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="csr_lane_powerdown" altera:internal="jesd204_0.csr_lane_powerdown" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="csr_lane_powerdown" altera:internal="csr_lane_powerdown"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="csr_m" altera:internal="jesd204_0.csr_m" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="csr_m" altera:internal="csr_m"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="csr_n" altera:internal="jesd204_0.csr_n" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="csr_n" altera:internal="csr_n"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="csr_np" altera:internal="jesd204_0.csr_np" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="csr_np" altera:internal="csr_np"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="csr_rx_testmode" altera:internal="jesd204_0.csr_rx_testmode" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="csr_rx_testmode" altera:internal="csr_rx_testmode"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="csr_s" altera:internal="jesd204_0.csr_s" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="csr_s" altera:internal="csr_s"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="csr_tx_testmode" altera:internal="jesd204_0.csr_tx_testmode"></altera:interface_mapping> - <altera:interface_mapping altera:name="csr_tx_testpattern_a" altera:internal="jesd204_0.csr_tx_testpattern_a"></altera:interface_mapping> - <altera:interface_mapping altera:name="csr_tx_testpattern_b" altera:internal="jesd204_0.csr_tx_testpattern_b"></altera:interface_mapping> - <altera:interface_mapping altera:name="csr_tx_testpattern_c" altera:internal="jesd204_0.csr_tx_testpattern_c"></altera:interface_mapping> - <altera:interface_mapping altera:name="csr_tx_testpattern_d" altera:internal="jesd204_0.csr_tx_testpattern_d"></altera:interface_mapping> - <altera:interface_mapping altera:name="dev_lane_aligned" altera:internal="jesd204_0.dev_lane_aligned" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="dev_lane_aligned" altera:internal="dev_lane_aligned"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="dev_sync_n" altera:internal="jesd204_0.dev_sync_n" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="dev_sync_n" altera:internal="dev_sync_n"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="jesd204_rx_avs" altera:internal="jesd204_0.jesd204_rx_avs" altera:type="avalon" altera:dir="end"> - <altera:port_mapping altera:name="jesd204_rx_avs_address" altera:internal="jesd204_rx_avs_address"></altera:port_mapping> - <altera:port_mapping altera:name="jesd204_rx_avs_chipselect" altera:internal="jesd204_rx_avs_chipselect"></altera:port_mapping> - <altera:port_mapping altera:name="jesd204_rx_avs_read" altera:internal="jesd204_rx_avs_read"></altera:port_mapping> - <altera:port_mapping altera:name="jesd204_rx_avs_readdata" altera:internal="jesd204_rx_avs_readdata"></altera:port_mapping> - <altera:port_mapping altera:name="jesd204_rx_avs_waitrequest" altera:internal="jesd204_rx_avs_waitrequest"></altera:port_mapping> - <altera:port_mapping altera:name="jesd204_rx_avs_write" altera:internal="jesd204_rx_avs_write"></altera:port_mapping> - <altera:port_mapping altera:name="jesd204_rx_avs_writedata" altera:internal="jesd204_rx_avs_writedata"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="jesd204_rx_avs_clk" altera:internal="jesd204_0.jesd204_rx_avs_clk" altera:type="clock" altera:dir="end"> - <altera:port_mapping altera:name="jesd204_rx_avs_clk" altera:internal="jesd204_rx_avs_clk"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="jesd204_rx_avs_rst_n" altera:internal="jesd204_0.jesd204_rx_avs_rst_n" altera:type="reset" altera:dir="end"> - <altera:port_mapping altera:name="jesd204_rx_avs_rst_n" altera:internal="jesd204_rx_avs_rst_n"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="jesd204_rx_dlb_data" altera:internal="jesd204_0.jesd204_rx_dlb_data" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="jesd204_rx_dlb_data" altera:internal="jesd204_rx_dlb_data"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="jesd204_rx_dlb_data_valid" altera:internal="jesd204_0.jesd204_rx_dlb_data_valid" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="jesd204_rx_dlb_data_valid" altera:internal="jesd204_rx_dlb_data_valid"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="jesd204_rx_dlb_disperr" altera:internal="jesd204_0.jesd204_rx_dlb_disperr" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="jesd204_rx_dlb_disperr" altera:internal="jesd204_rx_dlb_disperr"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="jesd204_rx_dlb_errdetect" altera:internal="jesd204_0.jesd204_rx_dlb_errdetect" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="jesd204_rx_dlb_errdetect" altera:internal="jesd204_rx_dlb_errdetect"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="jesd204_rx_dlb_kchar_data" altera:internal="jesd204_0.jesd204_rx_dlb_kchar_data" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="jesd204_rx_dlb_kchar_data" altera:internal="jesd204_rx_dlb_kchar_data"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="jesd204_rx_frame_error" altera:internal="jesd204_0.jesd204_rx_frame_error" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="jesd204_rx_frame_error" altera:internal="jesd204_rx_frame_error"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="jesd204_rx_int" altera:internal="jesd204_0.jesd204_rx_int" altera:type="interrupt" altera:dir="end"> - <altera:port_mapping altera:name="jesd204_rx_int" altera:internal="jesd204_rx_int"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="jesd204_rx_link" altera:internal="jesd204_0.jesd204_rx_link" altera:type="avalon_streaming" altera:dir="start"> - <altera:port_mapping altera:name="jesd204_rx_link_data" altera:internal="jesd204_rx_link_data"></altera:port_mapping> - <altera:port_mapping altera:name="jesd204_rx_link_ready" altera:internal="jesd204_rx_link_ready"></altera:port_mapping> - <altera:port_mapping altera:name="jesd204_rx_link_valid" altera:internal="jesd204_rx_link_valid"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="jesd204_tx_avs" altera:internal="jesd204_0.jesd204_tx_avs"></altera:interface_mapping> - <altera:interface_mapping altera:name="jesd204_tx_avs_clk" altera:internal="jesd204_0.jesd204_tx_avs_clk"></altera:interface_mapping> - <altera:interface_mapping altera:name="jesd204_tx_avs_rst_n" altera:internal="jesd204_0.jesd204_tx_avs_rst_n"></altera:interface_mapping> - <altera:interface_mapping altera:name="jesd204_tx_dlb_data" altera:internal="jesd204_0.jesd204_tx_dlb_data"></altera:interface_mapping> - <altera:interface_mapping altera:name="jesd204_tx_dlb_kchar_data" altera:internal="jesd204_0.jesd204_tx_dlb_kchar_data"></altera:interface_mapping> - <altera:interface_mapping altera:name="jesd204_tx_frame_error" altera:internal="jesd204_0.jesd204_tx_frame_error"></altera:interface_mapping> - <altera:interface_mapping altera:name="jesd204_tx_frame_ready" altera:internal="jesd204_0.jesd204_tx_frame_ready"></altera:interface_mapping> - <altera:interface_mapping altera:name="jesd204_tx_int" altera:internal="jesd204_0.jesd204_tx_int"></altera:interface_mapping> - <altera:interface_mapping altera:name="jesd204_tx_link" altera:internal="jesd204_0.jesd204_tx_link"></altera:interface_mapping> - <altera:interface_mapping altera:name="mdev_sync_n" altera:internal="jesd204_0.mdev_sync_n"></altera:interface_mapping> - <altera:interface_mapping altera:name="pll_locked" altera:internal="jesd204_0.pll_locked"></altera:interface_mapping> - <altera:interface_mapping altera:name="pll_ref_clk" altera:internal="jesd204_0.pll_ref_clk" altera:type="clock" altera:dir="end"> - <altera:port_mapping altera:name="pll_ref_clk" altera:internal="pll_ref_clk"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="rx_analogreset" altera:internal="jesd204_0.rx_analogreset" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="rx_analogreset" altera:internal="rx_analogreset"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="rx_cal_busy" altera:internal="jesd204_0.rx_cal_busy" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="rx_cal_busy" altera:internal="rx_cal_busy"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="rx_csr_cf" altera:internal="jesd204_0.rx_csr_cf"></altera:interface_mapping> - <altera:interface_mapping altera:name="rx_csr_cs" altera:internal="jesd204_0.rx_csr_cs"></altera:interface_mapping> - <altera:interface_mapping altera:name="rx_csr_f" altera:internal="jesd204_0.rx_csr_f"></altera:interface_mapping> - <altera:interface_mapping altera:name="rx_csr_hd" altera:internal="jesd204_0.rx_csr_hd"></altera:interface_mapping> - <altera:interface_mapping altera:name="rx_csr_k" altera:internal="jesd204_0.rx_csr_k"></altera:interface_mapping> - <altera:interface_mapping altera:name="rx_csr_l" altera:internal="jesd204_0.rx_csr_l"></altera:interface_mapping> - <altera:interface_mapping altera:name="rx_csr_lane_powerdown" altera:internal="jesd204_0.rx_csr_lane_powerdown"></altera:interface_mapping> - <altera:interface_mapping altera:name="rx_csr_m" altera:internal="jesd204_0.rx_csr_m"></altera:interface_mapping> - <altera:interface_mapping altera:name="rx_csr_n" altera:internal="jesd204_0.rx_csr_n"></altera:interface_mapping> - <altera:interface_mapping altera:name="rx_csr_np" altera:internal="jesd204_0.rx_csr_np"></altera:interface_mapping> - <altera:interface_mapping altera:name="rx_csr_s" altera:internal="jesd204_0.rx_csr_s"></altera:interface_mapping> - <altera:interface_mapping altera:name="rx_dev_sync_n" altera:internal="jesd204_0.rx_dev_sync_n"></altera:interface_mapping> - <altera:interface_mapping altera:name="rx_digitalreset" altera:internal="jesd204_0.rx_digitalreset" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="rx_digitalreset" altera:internal="rx_digitalreset"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="rx_islockedtodata" altera:internal="jesd204_0.rx_islockedtodata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="rx_islockedtodata" altera:internal="rx_islockedtodata"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="rx_pll_ref_clk" altera:internal="jesd204_0.rx_pll_ref_clk"></altera:interface_mapping> - <altera:interface_mapping altera:name="rx_serial_data" altera:internal="jesd204_0.rx_serial_data" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="rx_serial_data" altera:internal="rx_serial_data"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="rx_seriallpbken" altera:internal="jesd204_0.rx_seriallpbken"></altera:interface_mapping> - <altera:interface_mapping altera:name="rx_sof" altera:internal="jesd204_0.rx_sof"></altera:interface_mapping> - <altera:interface_mapping altera:name="rx_somf" altera:internal="jesd204_0.rx_somf"></altera:interface_mapping> - <altera:interface_mapping altera:name="rx_sysref" altera:internal="jesd204_0.rx_sysref"></altera:interface_mapping> - <altera:interface_mapping altera:name="rxlink_clk" altera:internal="jesd204_0.rxlink_clk" altera:type="clock" altera:dir="end"> - <altera:port_mapping altera:name="rxlink_clk" altera:internal="rxlink_clk"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="rxlink_rst_n" altera:internal="jesd204_0.rxlink_rst_n" altera:type="reset" altera:dir="end"> - <altera:port_mapping altera:name="rxlink_rst_n_reset_n" altera:internal="rxlink_rst_n_reset_n"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="rxphy_clk" altera:internal="jesd204_0.rxphy_clk" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="rxphy_clk" altera:internal="rxphy_clk"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="sof" altera:internal="jesd204_0.sof" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="sof" altera:internal="sof"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="somf" altera:internal="jesd204_0.somf" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="somf" altera:internal="somf"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="sync_n" altera:internal="jesd204_0.sync_n"></altera:interface_mapping> - <altera:interface_mapping altera:name="sysref" altera:internal="jesd204_0.sysref" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="sysref" altera:internal="sysref"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="tx_analogreset" altera:internal="jesd204_0.tx_analogreset"></altera:interface_mapping> - <altera:interface_mapping altera:name="tx_bonding_clocks_ch0" altera:internal="jesd204_0.tx_bonding_clocks_ch0"></altera:interface_mapping> - <altera:interface_mapping altera:name="tx_bonding_clocks_ch1" altera:internal="jesd204_0.tx_bonding_clocks_ch1"></altera:interface_mapping> - <altera:interface_mapping altera:name="tx_cal_busy" altera:internal="jesd204_0.tx_cal_busy"></altera:interface_mapping> - <altera:interface_mapping altera:name="tx_csr_cf" altera:internal="jesd204_0.tx_csr_cf"></altera:interface_mapping> - <altera:interface_mapping altera:name="tx_csr_cs" altera:internal="jesd204_0.tx_csr_cs"></altera:interface_mapping> - <altera:interface_mapping altera:name="tx_csr_f" altera:internal="jesd204_0.tx_csr_f"></altera:interface_mapping> - <altera:interface_mapping altera:name="tx_csr_hd" altera:internal="jesd204_0.tx_csr_hd"></altera:interface_mapping> - <altera:interface_mapping altera:name="tx_csr_k" altera:internal="jesd204_0.tx_csr_k"></altera:interface_mapping> - <altera:interface_mapping altera:name="tx_csr_l" altera:internal="jesd204_0.tx_csr_l"></altera:interface_mapping> - <altera:interface_mapping altera:name="tx_csr_lane_powerdown" altera:internal="jesd204_0.tx_csr_lane_powerdown"></altera:interface_mapping> - <altera:interface_mapping altera:name="tx_csr_m" altera:internal="jesd204_0.tx_csr_m"></altera:interface_mapping> - <altera:interface_mapping altera:name="tx_csr_n" altera:internal="jesd204_0.tx_csr_n"></altera:interface_mapping> - <altera:interface_mapping altera:name="tx_csr_np" altera:internal="jesd204_0.tx_csr_np"></altera:interface_mapping> - <altera:interface_mapping altera:name="tx_csr_s" altera:internal="jesd204_0.tx_csr_s"></altera:interface_mapping> - <altera:interface_mapping altera:name="tx_dev_sync_n" altera:internal="jesd204_0.tx_dev_sync_n"></altera:interface_mapping> - <altera:interface_mapping altera:name="tx_digitalreset" altera:internal="jesd204_0.tx_digitalreset"></altera:interface_mapping> - <altera:interface_mapping altera:name="tx_serial_data" altera:internal="jesd204_0.tx_serial_data"></altera:interface_mapping> - <altera:interface_mapping altera:name="tx_somf" altera:internal="jesd204_0.tx_somf"></altera:interface_mapping> - <altera:interface_mapping altera:name="tx_sysref" altera:internal="jesd204_0.tx_sysref"></altera:interface_mapping> - <altera:interface_mapping altera:name="txlink_clk" altera:internal="jesd204_0.txlink_clk"></altera:interface_mapping> - <altera:interface_mapping altera:name="txlink_rst_n" altera:internal="jesd204_0.txlink_rst_n"></altera:interface_mapping> - <altera:interface_mapping altera:name="txphy_clk" altera:internal="jesd204_0.txphy_clk"></altera:interface_mapping> - </altera:altera_interface_boundary> - <altera:altera_has_warnings>false</altera:altera_has_warnings> - <altera:altera_has_errors>false</altera:altera_has_errors> - </spirit:vendorExtensions> -</spirit:component> \ No newline at end of file diff --git a/libraries/technology/ip_arria10_e2sg/jesd204b/ip_arria10_e2sg_jesd204b_rx.qsys b/libraries/technology/ip_arria10_e2sg/jesd204b/ip_arria10_e2sg_jesd204b_rx.qsys deleted file mode 100644 index 66b049ba2f..0000000000 --- a/libraries/technology/ip_arria10_e2sg/jesd204b/ip_arria10_e2sg_jesd204b_rx.qsys +++ /dev/null @@ -1,1935 +0,0 @@ -<?xml version="1.0" encoding="UTF-8"?> -<system name="ip_arria10_e2sg_jesd204b_rx"> - <component - name="$${FILENAME}" - displayName="$${FILENAME}" - version="1.0" - description="" - tags="" - categories="System" - tool="QsysPro" /> - <parameter name="bonusData"><![CDATA[bonusData -{ - element jesd204_0 - { - datum _sortIndex - { - value = "0"; - type = "int"; - } - } -} -]]></parameter> - <parameter name="device" value="10AX115U3F45E2SG" /> - <parameter name="deviceFamily" value="Arria 10" /> - <parameter name="deviceSpeedGrade" value="2" /> - <parameter name="fabricMode" value="QSYS" /> - <parameter name="generateLegacySim" value="false" /> - <parameter name="generationId" value="0" /> - <parameter name="globalResetBus" value="false" /> - <parameter name="hdlLanguage" value="VERILOG" /> - <parameter name="hideFromIPCatalog" value="false" /> - <parameter name="lockedInterfaceDefinition" value="" /> - <parameter name="sopcBorderPoints" value="false" /> - <parameter name="systemHash" value="0" /> - <parameter name="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos> - <entry> - <key>jesd204_rx_avs</key> - <value> - <connectionPointName>jesd204_rx_avs</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='jesd204_0.jesd204_rx_avs' start='0x0' end='0x400' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>10</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> -</systemInfosDefinition>]]></parameter> - <parameter name="systemScripts" value="" /> - <parameter name="testBenchDutName" value="" /> - <parameter name="timeStamp" value="0" /> - <parameter name="useTestBenchNamingPattern" value="false" /> - <instanceScript></instanceScript> - <interface name="csr_cf" internal="jesd204_0.csr_cf" type="conduit" dir="end" /> - <interface name="csr_cs" internal="jesd204_0.csr_cs" type="conduit" dir="end" /> - <interface name="csr_f" internal="jesd204_0.csr_f" type="conduit" dir="end" /> - <interface name="csr_hd" internal="jesd204_0.csr_hd" type="conduit" dir="end" /> - <interface name="csr_k" internal="jesd204_0.csr_k" type="conduit" dir="end" /> - <interface name="csr_l" internal="jesd204_0.csr_l" type="conduit" dir="end" /> - <interface - name="csr_lane_powerdown" - internal="jesd204_0.csr_lane_powerdown" - type="conduit" - dir="end" /> - <interface name="csr_m" internal="jesd204_0.csr_m" type="conduit" dir="end" /> - <interface name="csr_n" internal="jesd204_0.csr_n" type="conduit" dir="end" /> - <interface name="csr_np" internal="jesd204_0.csr_np" type="conduit" dir="end" /> - <interface - name="csr_rx_testmode" - internal="jesd204_0.csr_rx_testmode" - type="conduit" - dir="end" /> - <interface name="csr_s" internal="jesd204_0.csr_s" type="conduit" dir="end" /> - <interface - name="dev_lane_aligned" - internal="jesd204_0.dev_lane_aligned" - type="conduit" - dir="end" /> - <interface - name="dev_sync_n" - internal="jesd204_0.dev_sync_n" - type="conduit" - dir="end" /> - <interface - name="jesd204_0_alldev_lane_aligned" - internal="jesd204_0.alldev_lane_aligned" - type="conduit" - dir="end" /> - <interface - name="jesd204_rx_avs" - internal="jesd204_0.jesd204_rx_avs" - type="avalon" - dir="end" /> - <interface - name="jesd204_rx_avs_clk" - internal="jesd204_0.jesd204_rx_avs_clk" - type="clock" - dir="end" /> - <interface - name="jesd204_rx_avs_rst_n" - internal="jesd204_0.jesd204_rx_avs_rst_n" - type="reset" - dir="end" /> - <interface - name="jesd204_rx_dlb_data" - internal="jesd204_0.jesd204_rx_dlb_data" - type="conduit" - dir="end" /> - <interface - name="jesd204_rx_dlb_data_valid" - internal="jesd204_0.jesd204_rx_dlb_data_valid" - type="conduit" - dir="end" /> - <interface - name="jesd204_rx_dlb_disperr" - internal="jesd204_0.jesd204_rx_dlb_disperr" - type="conduit" - dir="end" /> - <interface - name="jesd204_rx_dlb_errdetect" - internal="jesd204_0.jesd204_rx_dlb_errdetect" - type="conduit" - dir="end" /> - <interface - name="jesd204_rx_dlb_kchar_data" - internal="jesd204_0.jesd204_rx_dlb_kchar_data" - type="conduit" - dir="end" /> - <interface - name="jesd204_rx_frame_error" - internal="jesd204_0.jesd204_rx_frame_error" - type="conduit" - dir="end" /> - <interface - name="jesd204_rx_int" - internal="jesd204_0.jesd204_rx_int" - type="interrupt" - dir="end" /> - <interface - name="jesd204_rx_link" - internal="jesd204_0.jesd204_rx_link" - type="avalon_streaming" - dir="start" /> - <interface - name="pll_ref_clk" - internal="jesd204_0.pll_ref_clk" - type="clock" - dir="end" /> - <interface - name="rx_analogreset" - internal="jesd204_0.rx_analogreset" - type="conduit" - dir="end" /> - <interface - name="rx_cal_busy" - internal="jesd204_0.rx_cal_busy" - type="conduit" - dir="end" /> - <interface - name="rx_digitalreset" - internal="jesd204_0.rx_digitalreset" - type="conduit" - dir="end" /> - <interface - name="rx_islockedtodata" - internal="jesd204_0.rx_islockedtodata" - type="conduit" - dir="end" /> - <interface - name="rx_serial_data" - internal="jesd204_0.rx_serial_data" - type="conduit" - dir="end" /> - <interface - name="rxlink_clk" - internal="jesd204_0.rxlink_clk" - type="clock" - dir="end" /> - <interface - name="rxlink_rst_n" - internal="jesd204_0.rxlink_rst_n" - type="reset" - dir="end" /> - <interface - name="rxphy_clk" - internal="jesd204_0.rxphy_clk" - type="conduit" - dir="end" /> - <interface name="sof" internal="jesd204_0.sof" type="conduit" dir="end" /> - <interface name="somf" internal="jesd204_0.somf" type="conduit" dir="end" /> - <interface name="sysref" internal="jesd204_0.sysref" type="conduit" dir="end" /> - <module - name="jesd204_0" - kind="altera_generic_component" - version="1.0" - enabled="1"> - <parameter name="componentDefinition"><![CDATA[<componentDefinition> - <boundary> - <interfaces> - <interface> - <name>alldev_lane_aligned</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>alldev_lane_aligned</name> - <role>export</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>ui.blockdiagram.direction</key> - <value>input</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>csr_cf</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>csr_cf</name> - <role>export</role> - <direction>Output</direction> - <width>5</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>ui.blockdiagram.direction</key> - <value>output</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>csr_cs</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>csr_cs</name> - <role>export</role> - <direction>Output</direction> - <width>2</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>ui.blockdiagram.direction</key> - <value>output</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>csr_f</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>csr_f</name> - <role>export</role> - <direction>Output</direction> - <width>8</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>ui.blockdiagram.direction</key> - <value>output</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>csr_hd</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>csr_hd</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>ui.blockdiagram.direction</key> - <value>output</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>csr_k</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>csr_k</name> - <role>export</role> - <direction>Output</direction> - <width>5</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>ui.blockdiagram.direction</key> - <value>output</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>csr_l</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>csr_l</name> - <role>export</role> - <direction>Output</direction> - <width>5</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>ui.blockdiagram.direction</key> - <value>output</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>csr_lane_powerdown</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>csr_lane_powerdown</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>ui.blockdiagram.direction</key> - <value>output</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>csr_m</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>csr_m</name> - <role>export</role> - <direction>Output</direction> - <width>8</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>ui.blockdiagram.direction</key> - <value>output</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>csr_n</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>csr_n</name> - <role>export</role> - <direction>Output</direction> - <width>5</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>ui.blockdiagram.direction</key> - <value>output</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>csr_np</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>csr_np</name> - <role>export</role> - <direction>Output</direction> - <width>5</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>ui.blockdiagram.direction</key> - <value>output</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>csr_rx_testmode</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>csr_rx_testmode</name> - <role>export</role> - <direction>Output</direction> - <width>4</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>ui.blockdiagram.direction</key> - <value>output</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>csr_s</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>csr_s</name> - <role>export</role> - <direction>Output</direction> - <width>5</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>ui.blockdiagram.direction</key> - <value>output</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>dev_lane_aligned</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>dev_lane_aligned</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>ui.blockdiagram.direction</key> - <value>output</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>dev_sync_n</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>dev_sync_n</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>ui.blockdiagram.direction</key> - <value>output</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>jesd204_rx_avs</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>jesd204_rx_avs_chipselect</name> - <role>chipselect</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>jesd204_rx_avs_address</name> - <role>address</role> - <direction>Input</direction> - <width>8</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>jesd204_rx_avs_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>jesd204_rx_avs_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>jesd204_rx_avs_waitrequest</name> - <role>waitrequest</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>jesd204_rx_avs_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>jesd204_rx_avs_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>1024</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>jesd204_rx_avs_clk</value> - </entry> - <entry> - <key>associatedReset</key> - <value>jesd204_rx_avs_rst_n</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>1</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>1</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>jesd204_rx_avs_clk</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>jesd204_rx_avs_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>jesd204_rx_avs_rst_n</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>jesd204_rx_avs_rst_n</name> - <role>reset_n</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>jesd204_rx_avs_clk</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>jesd204_rx_dlb_data</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>jesd204_rx_dlb_data</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>ui.blockdiagram.direction</key> - <value>input</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>jesd204_rx_dlb_data_valid</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>jesd204_rx_dlb_data_valid</name> - <role>export</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>ui.blockdiagram.direction</key> - <value>input</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>jesd204_rx_dlb_disperr</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>jesd204_rx_dlb_disperr</name> - <role>export</role> - <direction>Input</direction> - <width>4</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>ui.blockdiagram.direction</key> - <value>input</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>jesd204_rx_dlb_errdetect</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>jesd204_rx_dlb_errdetect</name> - <role>export</role> - <direction>Input</direction> - <width>4</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>ui.blockdiagram.direction</key> - <value>input</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>jesd204_rx_dlb_kchar_data</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>jesd204_rx_dlb_kchar_data</name> - <role>export</role> - <direction>Input</direction> - <width>4</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>ui.blockdiagram.direction</key> - <value>input</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>jesd204_rx_frame_error</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>jesd204_rx_frame_error</name> - <role>export</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>ui.blockdiagram.direction</key> - <value>input</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>jesd204_rx_int</name> - <type>interrupt</type> - <isStart>false</isStart> - <ports> - <port> - <name>jesd204_rx_int</name> - <role>irq</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedAddressablePoint</key> - <value>jesd204_0.jesd204_rx_avs</value> - </entry> - <entry> - <key>associatedClock</key> - <value>jesd204_rx_avs_clk</value> - </entry> - <entry> - <key>associatedReset</key> - <value>jesd204_rx_avs_rst_n</value> - </entry> - <entry> - <key>bridgedReceiverOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToReceiver</key> - </entry> - <entry> - <key>irqScheme</key> - <value>NONE</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>jesd204_rx_link</name> - <type>avalon_streaming</type> - <isStart>true</isStart> - <ports> - <port> - <name>jesd204_rx_link_data</name> - <role>data</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>jesd204_rx_link_valid</name> - <role>valid</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>jesd204_rx_link_ready</name> - <role>ready</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>rxlink_clk</value> - </entry> - <entry> - <key>associatedReset</key> - <value>rxlink_rst_n</value> - </entry> - <entry> - <key>beatsPerCycle</key> - <value>1</value> - </entry> - <entry> - <key>dataBitsPerSymbol</key> - <value>32</value> - </entry> - <entry> - <key>emptyWithinPacket</key> - <value>false</value> - </entry> - <entry> - <key>errorDescriptor</key> - </entry> - <entry> - <key>firstSymbolInHighOrderBits</key> - <value>true</value> - </entry> - <entry> - <key>highOrderSymbolAtMSB</key> - <value>false</value> - </entry> - <entry> - <key>maxChannel</key> - <value>0</value> - </entry> - <entry> - <key>packetDescription</key> - <value></value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>readyAllowance</key> - <value>0</value> - </entry> - <entry> - <key>readyLatency</key> - <value>0</value> - </entry> - <entry> - <key>symbolsPerBeat</key> - <value>1</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>pll_ref_clk</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>pll_ref_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>rx_analogreset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>rx_analogreset</name> - <role>rx_analogreset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>ui.blockdiagram.direction</key> - <value>input</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>rx_cal_busy</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>rx_cal_busy</name> - <role>rx_cal_busy</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>ui.blockdiagram.direction</key> - <value>output</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>rx_digitalreset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>rx_digitalreset</name> - <role>rx_digitalreset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>ui.blockdiagram.direction</key> - <value>input</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>rx_islockedtodata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>rx_islockedtodata</name> - <role>rx_is_lockedtodata</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>ui.blockdiagram.direction</key> - <value>output</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>rx_serial_data</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>rx_serial_data</name> - <role>rx_serial_data</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>ui.blockdiagram.direction</key> - <value>input</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>rxlink_clk</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>rxlink_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>rxlink_rst_n</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>rxlink_rst_n_reset_n</name> - <role>reset_n</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>rxlink_clk</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>rxphy_clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>rxphy_clk</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>ui.blockdiagram.direction</key> - <value>output</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>sof</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>sof</name> - <role>export</role> - <direction>Output</direction> - <width>4</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>ui.blockdiagram.direction</key> - <value>output</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>somf</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>somf</name> - <role>export</role> - <direction>Output</direction> - <width>4</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>ui.blockdiagram.direction</key> - <value>output</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>sysref</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>sysref</name> - <role>export</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>ui.blockdiagram.direction</key> - <value>input</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> - </boundary> - <originalModuleInfo> - <className>jesd204_0</className> - <version>19.2.0</version> - <displayName>JESD204B Intel FPGA IP</displayName> - </originalModuleInfo> - <systemInfoParameterDescriptors> - <descriptors/> - </systemInfoParameterDescriptors> - <systemInfos> - <connPtSystemInfos> - <entry> - <key>jesd204_rx_avs</key> - <value> - <connectionPointName>jesd204_rx_avs</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='jesd204_rx_avs' start='0x0' end='0x400' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>10</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - </connPtSystemInfos> - </systemInfos> -</componentDefinition>]]></parameter> - <parameter name="defaultBoundary" value="" /> - <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>ip_arria10_e2sg_jesd204b_rx</hdlLibraryName> - <fileSets> - <fileSet> - <fileSetName>ip_arria10_e2sg_jesd204b_rx</fileSetName> - <fileSetFixedName>ip_arria10_e2sg_jesd204b_rx</fileSetFixedName> - <fileSetKind>QUARTUS_SYNTH</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>ip_arria10_e2sg_jesd204b_rx</fileSetName> - <fileSetFixedName>ip_arria10_e2sg_jesd204b_rx</fileSetFixedName> - <fileSetKind>SIM_VERILOG</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>ip_arria10_e2sg_jesd204b_rx</fileSetName> - <fileSetFixedName>ip_arria10_e2sg_jesd204b_rx</fileSetFixedName> - <fileSetKind>SIM_VHDL</fileSetKind> - <fileSetFiles/> - </fileSet> - </fileSets> -</generationInfoDefinition>]]></parameter> - <parameter name="hlsFile" value="" /> - <parameter name="logicalView">/home/hargreaves/git/hdl/libraries/technology/ip_arria10_e2sg/jesd204b/ip_arria10_e2sg_jesd204b_rx.ip</parameter> - <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> - <assignmentValueMap/> -</assignmentDefinition>]]></parameter> - <parameter name="svInterfaceDefinition" value="" /> - </module> -</system> diff --git a/libraries/technology/ip_arria10_e2sg/jesd204b/ip_arria10_e2sg_jesd204b_rx_200MHz.ip b/libraries/technology/ip_arria10_e2sg/jesd204b/ip_arria10_e2sg_jesd204b_rx_200MHz.ip new file mode 100644 index 0000000000..c3a002e7b8 --- /dev/null +++ b/libraries/technology/ip_arria10_e2sg/jesd204b/ip_arria10_e2sg_jesd204b_rx_200MHz.ip @@ -0,0 +1,4037 @@ +<?xml version="1.0" ?> +<ipxact:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact2014/extensions" xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"> + <ipxact:vendor>Intel Corporation</ipxact:vendor> + <ipxact:library>ip_arria10_e2sg_jesd204b_rx_200MHz</ipxact:library> + <ipxact:name>jesd204_0</ipxact:name> + <ipxact:version>19.2.0</ipxact:version> + <ipxact:busInterfaces> + <ipxact:busInterface> + <ipxact:name>rxlink_clk</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="clock" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rxlink_clk</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="clockRate" type="longint"> + <ipxact:name>clockRate</ipxact:name> + <ipxact:displayName>Clock rate</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="externallyDriven" type="bit"> + <ipxact:name>externallyDriven</ipxact:name> + <ipxact:displayName>Externally driven</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ptfSchematicName" type="string"> + <ipxact:name>ptfSchematicName</ipxact:name> + <ipxact:displayName>PTF schematic name</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rxlink_rst_n</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="reset" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="reset" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>reset_n</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rxlink_rst_n_reset_n</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>Associated clock</ipxact:displayName> + <ipxact:value>rxlink_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="synchronousEdges" type="string"> + <ipxact:name>synchronousEdges</ipxact:name> + <ipxact:displayName>Synchronous edges</ipxact:displayName> + <ipxact:value>DEASSERT</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>jesd204_rx_avs_clk</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="clock" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>jesd204_rx_avs_clk</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="clockRate" type="longint"> + <ipxact:name>clockRate</ipxact:name> + <ipxact:displayName>Clock rate</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="externallyDriven" type="bit"> + <ipxact:name>externallyDriven</ipxact:name> + <ipxact:displayName>Externally driven</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ptfSchematicName" type="string"> + <ipxact:name>ptfSchematicName</ipxact:name> + <ipxact:displayName>PTF schematic name</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>jesd204_rx_avs_rst_n</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="reset" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="reset" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>reset_n</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>jesd204_rx_avs_rst_n</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>Associated clock</ipxact:displayName> + <ipxact:value>jesd204_rx_avs_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="synchronousEdges" type="string"> + <ipxact:name>synchronousEdges</ipxact:name> + <ipxact:displayName>Synchronous edges</ipxact:displayName> + <ipxact:value>DEASSERT</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>jesd204_rx_avs</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="avalon" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="avalon" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>chipselect</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>jesd204_rx_avs_chipselect</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>address</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>jesd204_rx_avs_address</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>read</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>jesd204_rx_avs_read</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>readdata</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>jesd204_rx_avs_readdata</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>waitrequest</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>jesd204_rx_avs_waitrequest</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>write</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>jesd204_rx_avs_write</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>writedata</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>jesd204_rx_avs_writedata</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="addressAlignment" type="string"> + <ipxact:name>addressAlignment</ipxact:name> + <ipxact:displayName>Slave addressing</ipxact:displayName> + <ipxact:value>DYNAMIC</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="addressGroup" type="int"> + <ipxact:name>addressGroup</ipxact:name> + <ipxact:displayName>Address group</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="addressSpan" type="string"> + <ipxact:name>addressSpan</ipxact:name> + <ipxact:displayName>Address span</ipxact:displayName> + <ipxact:value>1024</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="addressUnits" type="string"> + <ipxact:name>addressUnits</ipxact:name> + <ipxact:displayName>Address units</ipxact:displayName> + <ipxact:value>WORDS</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="alwaysBurstMaxBurst" type="bit"> + <ipxact:name>alwaysBurstMaxBurst</ipxact:name> + <ipxact:displayName>Always burst maximum burst</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>Associated clock</ipxact:displayName> + <ipxact:value>jesd204_rx_avs_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>Associated reset</ipxact:displayName> + <ipxact:value>jesd204_rx_avs_rst_n</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="bitsPerSymbol" type="int"> + <ipxact:name>bitsPerSymbol</ipxact:name> + <ipxact:displayName>Bits per symbol</ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="bridgedAddressOffset" type="string"> + <ipxact:name>bridgedAddressOffset</ipxact:name> + <ipxact:displayName>Bridged Address Offset</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="bridgesToMaster" type="string"> + <ipxact:name>bridgesToMaster</ipxact:name> + <ipxact:displayName>Bridges to master</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="burstOnBurstBoundariesOnly" type="bit"> + <ipxact:name>burstOnBurstBoundariesOnly</ipxact:name> + <ipxact:displayName>Burst on burst boundaries only</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="burstcountUnits" type="string"> + <ipxact:name>burstcountUnits</ipxact:name> + <ipxact:displayName>Burstcount units</ipxact:displayName> + <ipxact:value>WORDS</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="constantBurstBehavior" type="bit"> + <ipxact:name>constantBurstBehavior</ipxact:name> + <ipxact:displayName>Constant burst behavior</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="explicitAddressSpan" type="string"> + <ipxact:name>explicitAddressSpan</ipxact:name> + <ipxact:displayName>Explicit address span</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="holdTime" type="int"> + <ipxact:name>holdTime</ipxact:name> + <ipxact:displayName>Hold</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="interleaveBursts" type="bit"> + <ipxact:name>interleaveBursts</ipxact:name> + <ipxact:displayName>Interleave bursts</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="isBigEndian" type="bit"> + <ipxact:name>isBigEndian</ipxact:name> + <ipxact:displayName>Big endian</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="isFlash" type="bit"> + <ipxact:name>isFlash</ipxact:name> + <ipxact:displayName>Flash memory</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="isMemoryDevice" type="bit"> + <ipxact:name>isMemoryDevice</ipxact:name> + <ipxact:displayName>Memory device</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="isNonVolatileStorage" type="bit"> + <ipxact:name>isNonVolatileStorage</ipxact:name> + <ipxact:displayName>Non-volatile storage</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="linewrapBursts" type="bit"> + <ipxact:name>linewrapBursts</ipxact:name> + <ipxact:displayName>Linewrap bursts</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="maximumPendingReadTransactions" type="int"> + <ipxact:name>maximumPendingReadTransactions</ipxact:name> + <ipxact:displayName>Maximum pending read transactions</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="maximumPendingWriteTransactions" type="int"> + <ipxact:name>maximumPendingWriteTransactions</ipxact:name> + <ipxact:displayName>Maximum pending write transactions</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="minimumReadLatency" type="int"> + <ipxact:name>minimumReadLatency</ipxact:name> + <ipxact:displayName>minimumReadLatency</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="minimumResponseLatency" type="int"> + <ipxact:name>minimumResponseLatency</ipxact:name> + <ipxact:displayName>Minimum response latency</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="minimumUninterruptedRunLength" type="int"> + <ipxact:name>minimumUninterruptedRunLength</ipxact:name> + <ipxact:displayName>Minimum uninterrupted run length</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="printableDevice" type="bit"> + <ipxact:name>printableDevice</ipxact:name> + <ipxact:displayName>Can receive stdout/stderr</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="readLatency" type="int"> + <ipxact:name>readLatency</ipxact:name> + <ipxact:displayName>Read latency</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="readWaitStates" type="int"> + <ipxact:name>readWaitStates</ipxact:name> + <ipxact:displayName>Read wait states</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="readWaitTime" type="int"> + <ipxact:name>readWaitTime</ipxact:name> + <ipxact:displayName>Read wait</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="registerIncomingSignals" type="bit"> + <ipxact:name>registerIncomingSignals</ipxact:name> + <ipxact:displayName>Register incoming signals</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="registerOutgoingSignals" type="bit"> + <ipxact:name>registerOutgoingSignals</ipxact:name> + <ipxact:displayName>Register outgoing signals</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="setupTime" type="int"> + <ipxact:name>setupTime</ipxact:name> + <ipxact:displayName>Setup</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="timingUnits" type="string"> + <ipxact:name>timingUnits</ipxact:name> + <ipxact:displayName>Timing units</ipxact:displayName> + <ipxact:value>Cycles</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="transparentBridge" type="bit"> + <ipxact:name>transparentBridge</ipxact:name> + <ipxact:displayName>Transparent bridge</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="waitrequestAllowance" type="int"> + <ipxact:name>waitrequestAllowance</ipxact:name> + <ipxact:displayName>Waitrequest allowance</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="wellBehavedWaitrequest" type="bit"> + <ipxact:name>wellBehavedWaitrequest</ipxact:name> + <ipxact:displayName>Well-behaved waitrequest</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="writeLatency" type="int"> + <ipxact:name>writeLatency</ipxact:name> + <ipxact:displayName>Write latency</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="writeWaitStates" type="int"> + <ipxact:name>writeWaitStates</ipxact:name> + <ipxact:displayName>Write wait states</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="writeWaitTime" type="int"> + <ipxact:name>writeWaitTime</ipxact:name> + <ipxact:displayName>Write wait</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="embeddedsw.configuration.isFlash" type="string"> + <ipxact:name>embeddedsw.configuration.isFlash</ipxact:name> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="embeddedsw.configuration.isMemoryDevice" type="string"> + <ipxact:name>embeddedsw.configuration.isMemoryDevice</ipxact:name> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="embeddedsw.configuration.isNonVolatileStorage" type="string"> + <ipxact:name>embeddedsw.configuration.isNonVolatileStorage</ipxact:name> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="embeddedsw.configuration.isPrintableDevice" type="string"> + <ipxact:name>embeddedsw.configuration.isPrintableDevice</ipxact:name> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>jesd204_rx_link</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="avalon_streaming" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="avalon_streaming" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>data</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>jesd204_rx_link_data</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>valid</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>jesd204_rx_link_valid</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>ready</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>jesd204_rx_link_ready</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:master></ipxact:master> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value>rxlink_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value>rxlink_rst_n</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="beatsPerCycle" type="int"> + <ipxact:name>beatsPerCycle</ipxact:name> + <ipxact:displayName>Beats Per Cycle</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="dataBitsPerSymbol" type="int"> + <ipxact:name>dataBitsPerSymbol</ipxact:name> + <ipxact:displayName>Data bits per symbol</ipxact:displayName> + <ipxact:value>32</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="emptyWithinPacket" type="bit"> + <ipxact:name>emptyWithinPacket</ipxact:name> + <ipxact:displayName>emptyWithinPacket</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="errorDescriptor" type="string"> + <ipxact:name>errorDescriptor</ipxact:name> + <ipxact:displayName>Error descriptor</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="firstSymbolInHighOrderBits" type="bit"> + <ipxact:name>firstSymbolInHighOrderBits</ipxact:name> + <ipxact:displayName>First Symbol In High-Order Bits</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="highOrderSymbolAtMSB" type="bit"> + <ipxact:name>highOrderSymbolAtMSB</ipxact:name> + <ipxact:displayName>highOrderSymbolAtMSB</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="maxChannel" type="int"> + <ipxact:name>maxChannel</ipxact:name> + <ipxact:displayName>Maximum channel</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="packetDescription" type="string"> + <ipxact:name>packetDescription</ipxact:name> + <ipxact:displayName>Packet description </ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="readyAllowance" type="int"> + <ipxact:name>readyAllowance</ipxact:name> + <ipxact:displayName>Ready allowance</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="readyLatency" type="int"> + <ipxact:name>readyLatency</ipxact:name> + <ipxact:displayName>Ready latency</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="symbolsPerBeat" type="int"> + <ipxact:name>symbolsPerBeat</ipxact:name> + <ipxact:displayName>Symbols per beat </ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>sof</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>export</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>sof</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>somf</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>export</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>somf</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>alldev_lane_aligned</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>export</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>alldev_lane_aligned</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>dev_lane_aligned</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>export</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>dev_lane_aligned</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>dev_sync_n</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>export</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>dev_sync_n</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>sysref</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>export</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>sysref</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>jesd204_rx_int</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="interrupt" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="interrupt" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>irq</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>jesd204_rx_int</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedAddressablePoint" type="string"> + <ipxact:name>associatedAddressablePoint</ipxact:name> + <ipxact:displayName>Associated addressable interface</ipxact:displayName> + <ipxact:value>ip_arria10_e2sg_jesd204b_rx_200MHz.jesd204_rx_avs</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>Associated clock</ipxact:displayName> + <ipxact:value>jesd204_rx_avs_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>Associated reset</ipxact:displayName> + <ipxact:value>jesd204_rx_avs_rst_n</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="bridgedReceiverOffset" type="longint"> + <ipxact:name>bridgedReceiverOffset</ipxact:name> + <ipxact:displayName>Bridged receiver offset</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="bridgesToReceiver" type="string"> + <ipxact:name>bridgesToReceiver</ipxact:name> + <ipxact:displayName>Bridges to receiver</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="irqScheme" type="string"> + <ipxact:name>irqScheme</ipxact:name> + <ipxact:displayName>Interrupt scheme</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>csr_rx_testmode</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>export</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>csr_rx_testmode</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>csr_f</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>export</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>csr_f</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>csr_k</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>export</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>csr_k</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>csr_l</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>export</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>csr_l</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>csr_m</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>export</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>csr_m</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>csr_n</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>export</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>csr_n</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>csr_s</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>export</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>csr_s</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>csr_cf</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>export</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>csr_cf</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>csr_cs</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>export</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>csr_cs</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>csr_hd</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>export</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>csr_hd</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>csr_np</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>export</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>csr_np</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>csr_lane_powerdown</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>export</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>csr_lane_powerdown</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>jesd204_rx_frame_error</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>export</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>jesd204_rx_frame_error</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>jesd204_rx_dlb_data</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>export</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>jesd204_rx_dlb_data</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>jesd204_rx_dlb_data_valid</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>export</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>jesd204_rx_dlb_data_valid</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>jesd204_rx_dlb_kchar_data</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>export</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>jesd204_rx_dlb_kchar_data</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>jesd204_rx_dlb_errdetect</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>export</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>jesd204_rx_dlb_errdetect</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>jesd204_rx_dlb_disperr</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>export</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>jesd204_rx_dlb_disperr</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>pll_ref_clk</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="clock" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>pll_ref_clk</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="clockRate" type="longint"> + <ipxact:name>clockRate</ipxact:name> + <ipxact:displayName>Clock rate</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="externallyDriven" type="bit"> + <ipxact:name>externallyDriven</ipxact:name> + <ipxact:displayName>Externally driven</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ptfSchematicName" type="string"> + <ipxact:name>ptfSchematicName</ipxact:name> + <ipxact:displayName>PTF schematic name</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rxphy_clk</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>export</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rxphy_clk</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_islockedtodata</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_is_lockedtodata</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_islockedtodata</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_cal_busy</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_cal_busy</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_cal_busy</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_analogreset</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_analogreset</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_analogreset</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_digitalreset</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_digitalreset</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_digitalreset</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_serial_data</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_serial_data</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_serial_data</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + </ipxact:busInterfaces> + <ipxact:model> + <ipxact:views> + <ipxact:view> + <ipxact:name>QUARTUS_SYNTH</ipxact:name> + <ipxact:envIdentifier>:quartus.altera.com:</ipxact:envIdentifier> + <ipxact:componentInstantiationRef>QUARTUS_SYNTH</ipxact:componentInstantiationRef> + </ipxact:view> + </ipxact:views> + <ipxact:instantiations> + <ipxact:componentInstantiation> + <ipxact:name>QUARTUS_SYNTH</ipxact:name> + <ipxact:moduleName>altera_jesd204</ipxact:moduleName> + <ipxact:fileSetRef> + <ipxact:localName>QUARTUS_SYNTH</ipxact:localName> + </ipxact:fileSetRef> + </ipxact:componentInstantiation> + </ipxact:instantiations> + <ipxact:ports> + <ipxact:port> + <ipxact:name>rxlink_clk</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rxlink_rst_n_reset_n</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>jesd204_rx_avs_clk</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>jesd204_rx_avs_rst_n</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>jesd204_rx_avs_chipselect</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>jesd204_rx_avs_address</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>7</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>jesd204_rx_avs_read</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>jesd204_rx_avs_readdata</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>31</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>jesd204_rx_avs_waitrequest</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>jesd204_rx_avs_write</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>jesd204_rx_avs_writedata</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>31</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>jesd204_rx_link_data</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>31</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>jesd204_rx_link_valid</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>jesd204_rx_link_ready</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>sof</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>3</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>somf</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>3</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>alldev_lane_aligned</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>dev_lane_aligned</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>dev_sync_n</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>sysref</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>jesd204_rx_int</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>csr_rx_testmode</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>3</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>csr_f</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>7</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>csr_k</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>4</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>csr_l</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>4</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>csr_m</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>7</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>csr_n</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>4</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>csr_s</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>4</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>csr_cf</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>4</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>csr_cs</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>1</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>csr_hd</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>csr_np</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>4</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>csr_lane_powerdown</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>jesd204_rx_frame_error</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>jesd204_rx_dlb_data</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>31</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>jesd204_rx_dlb_data_valid</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>jesd204_rx_dlb_kchar_data</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>3</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>jesd204_rx_dlb_errdetect</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>3</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>jesd204_rx_dlb_disperr</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>3</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>pll_ref_clk</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rxphy_clk</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_islockedtodata</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_cal_busy</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_analogreset</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_digitalreset</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_serial_data</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + </ipxact:ports> + </ipxact:model> + <ipxact:vendorExtensions> + <altera:entity_info> + <ipxact:vendor>Intel Corporation</ipxact:vendor> + <ipxact:library>ip_arria10_e2sg_jesd204b_rx_200MHz</ipxact:library> + <ipxact:name>altera_jesd204</ipxact:name> + <ipxact:version>19.2.0</ipxact:version> + </altera:entity_info> + <altera:altera_module_parameters> + <ipxact:parameters> + <ipxact:parameter parameterId="wrapper_opt" type="string"> + <ipxact:name>wrapper_opt</ipxact:name> + <ipxact:displayName>Jesd204b wrapper</ipxact:displayName> + <ipxact:value>base_phy</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="sdc_constraint" type="real"> + <ipxact:name>sdc_constraint</ipxact:name> + <ipxact:displayName>Set constraint for sdc</ipxact:displayName> + <ipxact:value>1.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DEVICE_FAMILY" type="string"> + <ipxact:name>DEVICE_FAMILY</ipxact:name> + <ipxact:displayName>Device family</ipxact:displayName> + <ipxact:value>Arria 10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="part_trait_dp" type="string"> + <ipxact:name>part_trait_dp</ipxact:name> + <ipxact:displayName>Device Part</ipxact:displayName> + <ipxact:value>10AX115U3F45E2SG</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DEVICE_SPEEDGRADE" type="string"> + <ipxact:name>DEVICE_SPEEDGRADE</ipxact:name> + <ipxact:displayName>Device Speedgrade</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DATA_PATH" type="string"> + <ipxact:name>DATA_PATH</ipxact:name> + <ipxact:displayName>Data path</ipxact:displayName> + <ipxact:value>RX</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="SUBCLASSV" type="int"> + <ipxact:name>SUBCLASSV</ipxact:name> + <ipxact:displayName>Jesd204b subclass</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="lane_rate" type="real"> + <ipxact:name>lane_rate</ipxact:name> + <ipxact:displayName>Data rate</ipxact:displayName> + <ipxact:value>4000.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PCS_CONFIG" type="string"> + <ipxact:name>PCS_CONFIG</ipxact:name> + <ipxact:displayName>PCS Option</ipxact:displayName> + <ipxact:value>JESD_PCS_CFG1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_type" type="string"> + <ipxact:name>pll_type</ipxact:name> + <ipxact:displayName>PLL Type</ipxact:displayName> + <ipxact:value>CMU</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="bonded_mode" type="string"> + <ipxact:name>bonded_mode</ipxact:name> + <ipxact:displayName>Bonding Mode </ipxact:displayName> + <ipxact:value>bonded</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="REFCLK_FREQ" type="real"> + <ipxact:name>REFCLK_FREQ</ipxact:name> + <ipxact:displayName>PLL/CDR Reference Clock Frequency</ipxact:displayName> + <ipxact:value>200.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_analog_voltage" type="string"> + <ipxact:name>gui_analog_voltage</ipxact:name> + <ipxact:displayName>VCCR_GXB and VCCT_GXB supply voltage for the Transceiver</ipxact:displayName> + <ipxact:value>1_0V</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="bitrev_en" type="bit"> + <ipxact:name>bitrev_en</ipxact:name> + <ipxact:displayName>Enable Bit reversal and Byte reversal</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_reconfig_enable" type="bit"> + <ipxact:name>pll_reconfig_enable</ipxact:name> + <ipxact:displayName>Enable Transceiver Dynamic Reconfiguration</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_jtag_enable" type="bit"> + <ipxact:name>rcfg_jtag_enable</ipxact:name> + <ipxact:displayName>Enable Native PHY Debug Master Endpoint</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_shared" type="bit"> + <ipxact:name>rcfg_shared</ipxact:name> + <ipxact:displayName>Share Reconfiguration Interface</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_enable_split_interface" type="bit"> + <ipxact:name>rcfg_enable_split_interface</ipxact:name> + <ipxact:displayName>Provide Separate Reconfiguration Interface for Each Channel</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_capability_reg_enable" type="bit"> + <ipxact:name>set_capability_reg_enable</ipxact:name> + <ipxact:displayName>Enable Capability Registers</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_user_identifier" type="int"> + <ipxact:name>set_user_identifier</ipxact:name> + <ipxact:displayName>Set user-defined IP identifier</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_csr_soft_logic_enable" type="bit"> + <ipxact:name>set_csr_soft_logic_enable</ipxact:name> + <ipxact:displayName>Enable Control and Status Registers</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_prbs_soft_logic_enable" type="bit"> + <ipxact:name>set_prbs_soft_logic_enable</ipxact:name> + <ipxact:displayName>Enable PRBS Soft Accumulators</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="L" type="int"> + <ipxact:name>L</ipxact:name> + <ipxact:displayName>Lanes per converter device (L)</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="M" type="int"> + <ipxact:name>M</ipxact:name> + <ipxact:displayName>Converters per device (M)</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_EN_CFG_F" type="bit"> + <ipxact:name>GUI_EN_CFG_F</ipxact:name> + <ipxact:displayName>Enable manual F configuration</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_CFG_F" type="int"> + <ipxact:name>GUI_CFG_F</ipxact:name> + <ipxact:displayName>Octets per frame (F)</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="F" type="int"> + <ipxact:name>F</ipxact:name> + <ipxact:displayName>Octets per frame (F)</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="N" type="int"> + <ipxact:name>N</ipxact:name> + <ipxact:displayName>Converter resolution (N)</ipxact:displayName> + <ipxact:value>14</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="N_PRIME" type="int"> + <ipxact:name>N_PRIME</ipxact:name> + <ipxact:displayName>Transmitted bits per sample (N')</ipxact:displayName> + <ipxact:value>16</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="S" type="int"> + <ipxact:name>S</ipxact:name> + <ipxact:displayName>Samples per converter per frame (S)</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="K" type="int"> + <ipxact:name>K</ipxact:name> + <ipxact:displayName>Frames per multiframe (K)</ipxact:displayName> + <ipxact:value>32</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="SCR" type="int"> + <ipxact:name>SCR</ipxact:name> + <ipxact:displayName>Enable scramble (SCR)</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CS" type="int"> + <ipxact:name>CS</ipxact:name> + <ipxact:displayName>Control Bits (CS)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CF" type="int"> + <ipxact:name>CF</ipxact:name> + <ipxact:displayName>Control Words (CF)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="HD" type="int"> + <ipxact:name>HD</ipxact:name> + <ipxact:displayName>High Density user data format (HD)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ECC_EN" type="bit"> + <ipxact:name>ECC_EN</ipxact:name> + <ipxact:displayName>Enable Error Code Correction (ECC_EN)</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DLB_TEST" type="bit"> + <ipxact:name>DLB_TEST</ipxact:name> + <ipxact:displayName>Enable Digital Loop Back Test (DLB_TEST)</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHADJ" type="int"> + <ipxact:name>PHADJ</ipxact:name> + <ipxact:displayName>Phase adjustment request (PHADJ)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ADJCNT" type="int"> + <ipxact:name>ADJCNT</ipxact:name> + <ipxact:displayName>Adjustment resolution step count (ADJCNT)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ADJDIR" type="int"> + <ipxact:name>ADJDIR</ipxact:name> + <ipxact:displayName>Direction of adjustment (ADJDIR)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="OPTIMIZE" type="int"> + <ipxact:name>OPTIMIZE</ipxact:name> + <ipxact:displayName>CSR Programmability</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DID" type="int"> + <ipxact:name>DID</ipxact:name> + <ipxact:displayName>Device ID</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BID" type="int"> + <ipxact:name>BID</ipxact:name> + <ipxact:displayName>Bank ID</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="LID0" type="int"> + <ipxact:name>LID0</ipxact:name> + <ipxact:displayName>Lane0 ID</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="FCHK0" type="int"> + <ipxact:name>FCHK0</ipxact:name> + <ipxact:displayName>Lane0 checksum</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="LID1" type="int"> + <ipxact:name>LID1</ipxact:name> + <ipxact:displayName>Lane1 ID</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="FCHK1" type="int"> + <ipxact:name>FCHK1</ipxact:name> + <ipxact:displayName>Lane1 checksum</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="LID2" type="int"> + <ipxact:name>LID2</ipxact:name> + <ipxact:displayName>Lane2 ID</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="FCHK2" type="int"> + <ipxact:name>FCHK2</ipxact:name> + <ipxact:displayName>Lane2 checksum</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="LID3" type="int"> + <ipxact:name>LID3</ipxact:name> + <ipxact:displayName>Lane3 ID</ipxact:displayName> + <ipxact:value>3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="FCHK3" type="int"> + <ipxact:name>FCHK3</ipxact:name> + <ipxact:displayName>Lane3 checksum</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="LID4" type="int"> + <ipxact:name>LID4</ipxact:name> + <ipxact:displayName>Lane4 ID</ipxact:displayName> + <ipxact:value>4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="FCHK4" type="int"> + <ipxact:name>FCHK4</ipxact:name> + <ipxact:displayName>Lane4 checksum</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="LID5" type="int"> + <ipxact:name>LID5</ipxact:name> + <ipxact:displayName>Lane5 ID</ipxact:displayName> + <ipxact:value>5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="FCHK5" type="int"> + <ipxact:name>FCHK5</ipxact:name> + <ipxact:displayName>Lane5 checksum</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="LID6" type="int"> + <ipxact:name>LID6</ipxact:name> + <ipxact:displayName>Lane6 ID</ipxact:displayName> + <ipxact:value>6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="FCHK6" type="int"> + <ipxact:name>FCHK6</ipxact:name> + <ipxact:displayName>Lane6 checksum</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="LID7" type="int"> + <ipxact:name>LID7</ipxact:name> + <ipxact:displayName>Lane7 ID</ipxact:displayName> + <ipxact:value>7</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="FCHK7" type="int"> + <ipxact:name>FCHK7</ipxact:name> + <ipxact:displayName>Lane7 checksum</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="d_refclk_freq" type="real"> + <ipxact:name>d_refclk_freq</ipxact:name> + <ipxact:displayName>PLL/CDR Reference Clock Frequency</ipxact:displayName> + <ipxact:value>200.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="JESDV" type="int"> + <ipxact:name>JESDV</ipxact:name> + <ipxact:displayName>JESDV</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PMA_WIDTH" type="int"> + <ipxact:name>PMA_WIDTH</ipxact:name> + <ipxact:displayName>PMA_WIDTH</ipxact:displayName> + <ipxact:value>32</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="SER_SIZE" type="int"> + <ipxact:name>SER_SIZE</ipxact:name> + <ipxact:displayName>SER_SIZE</ipxact:displayName> + <ipxact:value>4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="FK" type="int"> + <ipxact:name>FK</ipxact:name> + <ipxact:displayName>FK</ipxact:displayName> + <ipxact:value>64</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="RES1" type="int"> + <ipxact:name>RES1</ipxact:name> + <ipxact:displayName>RES1</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="RES2" type="int"> + <ipxact:name>RES2</ipxact:name> + <ipxact:displayName>RES2</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BIT_REVERSAL" type="int"> + <ipxact:name>BIT_REVERSAL</ipxact:name> + <ipxact:displayName>BIT_REVERSAL</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BYTE_REVERSAL" type="int"> + <ipxact:name>BYTE_REVERSAL</ipxact:name> + <ipxact:displayName>BYTE_REVERSAL</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ALIGNMENT_PATTERN" type="int"> + <ipxact:name>ALIGNMENT_PATTERN</ipxact:name> + <ipxact:displayName>ALIGNMENT_PATTERN</ipxact:displayName> + <ipxact:value>658812</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PULSE_WIDTH" type="int"> + <ipxact:name>PULSE_WIDTH</ipxact:name> + <ipxact:displayName>PULSE_WIDTH</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="LS_FIFO_DEPTH" type="int"> + <ipxact:name>LS_FIFO_DEPTH</ipxact:name> + <ipxact:displayName>LS_FIFO_DEPTH</ipxact:displayName> + <ipxact:value>32</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="LS_FIFO_WIDTHU" type="int"> + <ipxact:name>LS_FIFO_WIDTHU</ipxact:name> + <ipxact:displayName>LS_FIFO_WIDTHU</ipxact:displayName> + <ipxact:value>5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="UNUSED_TX_PARALLEL_WIDTH" type="int"> + <ipxact:name>UNUSED_TX_PARALLEL_WIDTH</ipxact:name> + <ipxact:displayName>UNUSED_TX_PARALLEL_WIDTH</ipxact:displayName> + <ipxact:value>92</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="UNUSED_RX_PARALLEL_WIDTH" type="int"> + <ipxact:name>UNUSED_RX_PARALLEL_WIDTH</ipxact:name> + <ipxact:displayName>UNUSED_RX_PARALLEL_WIDTH</ipxact:displayName> + <ipxact:value>72</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="XCVR_PLL_LOCKED_WIDTH" type="int"> + <ipxact:name>XCVR_PLL_LOCKED_WIDTH</ipxact:name> + <ipxact:displayName>XCVR_PLL_LOCKED_WIDTH</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="RECONFIG_ADDRESS_WIDTH" type="int"> + <ipxact:name>RECONFIG_ADDRESS_WIDTH</ipxact:name> + <ipxact:displayName>RECONFIG_ADDRESS_WIDTH</ipxact:displayName> + <ipxact:value>10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DEPTH_PIPE" type="int"> + <ipxact:name>DEPTH_PIPE</ipxact:name> + <ipxact:displayName>Pipeline stages for link_clk domain reset signal</ipxact:displayName> + <ipxact:value>3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="xcvr_ip" type="string"> + <ipxact:name>xcvr_ip</ipxact:name> + <ipxact:displayName>xcvr_ip</ipxact:displayName> + <ipxact:value>ltile</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="die_types" type="string"> + <ipxact:name>die_types</ipxact:name> + <ipxact:displayName>die_types</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="die_revisions" type="string"> + <ipxact:name>die_revisions</ipxact:name> + <ipxact:displayName>die_revisions</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="support_c1" type="bit"> + <ipxact:name>support_c1</ipxact:name> + <ipxact:displayName>support_c1</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="support_c2" type="bit"> + <ipxact:name>support_c2</ipxact:name> + <ipxact:displayName>support_c2</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="support_c3" type="bit"> + <ipxact:name>support_c3</ipxact:name> + <ipxact:displayName>support_c3</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="crete_tile_status" type="string"> + <ipxact:name>crete_tile_status</ipxact:name> + <ipxact:displayName>Transceiver Tile</ipxact:displayName> + <ipxact:value>ltile</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_user_crete_tile" type="string"> + <ipxact:name>gui_user_crete_tile</ipxact:name> + <ipxact:displayName>Transceiver Tile</ipxact:displayName> + <ipxact:value>htile</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="TEST_COMPONENTS_EN" type="bit"> + <ipxact:name>TEST_COMPONENTS_EN</ipxact:name> + <ipxact:displayName>Add Test Components</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="TERMINATE_RECONFIG_EN" type="bit"> + <ipxact:name>TERMINATE_RECONFIG_EN</ipxact:name> + <ipxact:displayName>Terminate Reconfig Signals</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ED_TYPE" type="string"> + <ipxact:name>ED_TYPE</ipxact:name> + <ipxact:displayName>Select Design</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ED_FILESET_SIM" type="bit"> + <ipxact:name>ED_FILESET_SIM</ipxact:name> + <ipxact:displayName>Simulation</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ED_FILESET_SYNTH" type="bit"> + <ipxact:name>ED_FILESET_SYNTH</ipxact:name> + <ipxact:displayName>Synthesis</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ED_HDL_FORMAT_SIM" type="string"> + <ipxact:name>ED_HDL_FORMAT_SIM</ipxact:name> + <ipxact:displayName>HDL Format</ipxact:displayName> + <ipxact:value>VERILOG</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ED_SIM_PAT_TESTMODE" type="string"> + <ipxact:name>ED_SIM_PAT_TESTMODE</ipxact:name> + <ipxact:displayName>Test pattern</ipxact:displayName> + <ipxact:value>PRBS_7</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ED_HDL_FORMAT_SYNTH" type="string"> + <ipxact:name>ED_HDL_FORMAT_SYNTH</ipxact:name> + <ipxact:displayName>HDL Format</ipxact:displayName> + <ipxact:value>VERILOG</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ED_DEV_KIT" type="string"> + <ipxact:name>ED_DEV_KIT</ipxact:name> + <ipxact:displayName>Select Board</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_ED_DEV_KIT" type="string"> + <ipxact:name>GUI_ED_DEV_KIT</ipxact:name> + <ipxact:displayName>Select Board</ipxact:displayName> + <ipxact:value>None</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ED_SINGLE_REFCLK" type="bit"> + <ipxact:name>ED_SINGLE_REFCLK</ipxact:name> + <ipxact:displayName>Single reference clock (Advanced users only. Not recommended.)</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ED_3WIRE_SPI" type="bit"> + <ipxact:name>ED_3WIRE_SPI</ipxact:name> + <ipxact:displayName>Generate 3-wire SPI module</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="SELECT_CUSTOM_DEVICE" type="bit"> + <ipxact:name>SELECT_CUSTOM_DEVICE</ipxact:name> + <ipxact:displayName>Change Target Device</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DYN_CSR" type="string"> + <ipxact:name>DYN_CSR</ipxact:name> + <ipxact:displayName>Dynamic CSR Configuration for DV purpose</ipxact:displayName> + <ipxact:value>DISABLE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcp_load_enable" type="int"> + <ipxact:name>rcp_load_enable</ipxact:name> + <ipxact:displayName>Enable adaptation load soft IP</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_adpt_multi_recipe" type="int"> + <ipxact:name>enable_adpt_multi_recipe</ipxact:name> + <ipxact:displayName>enable_adpt_multi_recipe</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cal_recipe_sel" type="string"> + <ipxact:name>cal_recipe_sel</ipxact:name> + <ipxact:displayName>PMA adaptation Select </ipxact:displayName> + <ipxact:value>NRZ_28Gbps_VSR</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_pma_adpt_disp" type="int"> + <ipxact:name>enable_pma_adpt_disp</ipxact:name> + <ipxact:displayName>enable_pma_adpt_disp</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ctle_lf_val_a" type="int"> + <ipxact:name>ctle_lf_val_a</ipxact:name> + <ipxact:displayName>GAINLF</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ctle_lf_val_ada_a" type="string"> + <ipxact:name>ctle_lf_val_ada_a</ipxact:name> + <ipxact:displayName>GAINLF Fix/Adaptable</ipxact:displayName> + <ipxact:value>adaptable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ctle_lf_min_a" type="int"> + <ipxact:name>ctle_lf_min_a</ipxact:name> + <ipxact:displayName>CTLE LF Min</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ctle_lf_max_a" type="int"> + <ipxact:name>ctle_lf_max_a</ipxact:name> + <ipxact:displayName>CTLE LF Max</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ctle_hf_val_a" type="int"> + <ipxact:name>ctle_hf_val_a</ipxact:name> + <ipxact:displayName>GAINHF</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ctle_hf_val_ada_a" type="string"> + <ipxact:name>ctle_hf_val_ada_a</ipxact:name> + <ipxact:displayName>GAINHF Fix/Adaptable</ipxact:displayName> + <ipxact:value>adaptable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ctle_hf_min_a" type="int"> + <ipxact:name>ctle_hf_min_a</ipxact:name> + <ipxact:displayName>CTLE HF Min</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ctle_hf_max_a" type="int"> + <ipxact:name>ctle_hf_max_a</ipxact:name> + <ipxact:displayName>CTLE HF Max</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_p2_val_a" type="int"> + <ipxact:name>rf_p2_val_a</ipxact:name> + <ipxact:displayName>RF_P2</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_p2_val_ada_a" type="string"> + <ipxact:name>rf_p2_val_ada_a</ipxact:name> + <ipxact:displayName>RF_P2 Fix/Adaptable</ipxact:displayName> + <ipxact:value>adaptable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_p2_min_a" type="int"> + <ipxact:name>rf_p2_min_a</ipxact:name> + <ipxact:displayName>RF_P2_MIN</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_p2_max_a" type="int"> + <ipxact:name>rf_p2_max_a</ipxact:name> + <ipxact:displayName>RF_P2_MAX</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_p1_val_a" type="int"> + <ipxact:name>rf_p1_val_a</ipxact:name> + <ipxact:displayName>RF_P1</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_p1_val_ada_a" type="string"> + <ipxact:name>rf_p1_val_ada_a</ipxact:name> + <ipxact:displayName>RF_P1 Fix/Adaptable</ipxact:displayName> + <ipxact:value>adaptable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_p1_min_a" type="int"> + <ipxact:name>rf_p1_min_a</ipxact:name> + <ipxact:displayName>RF_P1_MIN</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_p1_max_a" type="int"> + <ipxact:name>rf_p1_max_a</ipxact:name> + <ipxact:displayName>RF_P1_MAX</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_reserved0_a" type="int"> + <ipxact:name>rf_reserved0_a</ipxact:name> + <ipxact:displayName>Reserved 0</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_p0_val_a" type="int"> + <ipxact:name>rf_p0_val_a</ipxact:name> + <ipxact:displayName>RF_P0</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_p0_val_ada_a" type="string"> + <ipxact:name>rf_p0_val_ada_a</ipxact:name> + <ipxact:displayName>RF_P0 Fix/Adaptable</ipxact:displayName> + <ipxact:value>adaptable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_reserved1_a" type="int"> + <ipxact:name>rf_reserved1_a</ipxact:name> + <ipxact:displayName>Reserved 1</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_b0t_a" type="int"> + <ipxact:name>rf_b0t_a</ipxact:name> + <ipxact:displayName>RF_B0T</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ctle_gs1_val_a" type="int"> + <ipxact:name>ctle_gs1_val_a</ipxact:name> + <ipxact:displayName>GS1</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ctle_gs2_val_a" type="int"> + <ipxact:name>ctle_gs2_val_a</ipxact:name> + <ipxact:displayName>GS2</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_b1_a" type="int"> + <ipxact:name>rf_b1_a</ipxact:name> + <ipxact:displayName>RF_B1</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_b1_ada_a" type="string"> + <ipxact:name>rf_b1_ada_a</ipxact:name> + <ipxact:displayName>RF_B1 Fix/Adaptable</ipxact:displayName> + <ipxact:value>adaptable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_b0_a" type="int"> + <ipxact:name>rf_b0_a</ipxact:name> + <ipxact:displayName>RF_B0</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_b0_ada_a" type="string"> + <ipxact:name>rf_b0_ada_a</ipxact:name> + <ipxact:displayName>RF_B0 Fix/Adaptable</ipxact:displayName> + <ipxact:value>adaptable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_a_a" type="int"> + <ipxact:name>rf_a_a</ipxact:name> + <ipxact:displayName>RF_A</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_ctle_frz_a" type="int"> + <ipxact:name>l_ctle_frz_a</ipxact:name> + <ipxact:displayName>l_ctle_frz_a</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_rf_frz_a" type="int"> + <ipxact:name>l_rf_frz_a</ipxact:name> + <ipxact:displayName>l_rf_frz_a</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ctle_lf_val_b" type="int"> + <ipxact:name>ctle_lf_val_b</ipxact:name> + <ipxact:displayName>GAINLF</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ctle_lf_val_ada_b" type="string"> + <ipxact:name>ctle_lf_val_ada_b</ipxact:name> + <ipxact:displayName>GAINLF Fix/Adaptable</ipxact:displayName> + <ipxact:value>adaptable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ctle_lf_min_b" type="int"> + <ipxact:name>ctle_lf_min_b</ipxact:name> + <ipxact:displayName>CTLE LF Min</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ctle_lf_max_b" type="int"> + <ipxact:name>ctle_lf_max_b</ipxact:name> + <ipxact:displayName>CTLE LF Max</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ctle_hf_val_b" type="int"> + <ipxact:name>ctle_hf_val_b</ipxact:name> + <ipxact:displayName>GAINHF</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ctle_hf_val_ada_b" type="string"> + <ipxact:name>ctle_hf_val_ada_b</ipxact:name> + <ipxact:displayName>GAINHF Fix/Adaptable</ipxact:displayName> + <ipxact:value>adaptable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ctle_hf_min_b" type="int"> + <ipxact:name>ctle_hf_min_b</ipxact:name> + <ipxact:displayName>CTLE HF Min</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ctle_hf_max_b" type="int"> + <ipxact:name>ctle_hf_max_b</ipxact:name> + <ipxact:displayName>CTLE HF Max</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_p2_val_b" type="int"> + <ipxact:name>rf_p2_val_b</ipxact:name> + <ipxact:displayName>RF_P2</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_p2_val_ada_b" type="string"> + <ipxact:name>rf_p2_val_ada_b</ipxact:name> + <ipxact:displayName>RF_P2 Fix/Adaptable</ipxact:displayName> + <ipxact:value>adaptable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_p2_min_b" type="int"> + <ipxact:name>rf_p2_min_b</ipxact:name> + <ipxact:displayName>RF_P2_MIN</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_p2_max_b" type="int"> + <ipxact:name>rf_p2_max_b</ipxact:name> + <ipxact:displayName>RF_P2_MAX</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_p1_val_b" type="int"> + <ipxact:name>rf_p1_val_b</ipxact:name> + <ipxact:displayName>RF_P1</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_p1_val_ada_b" type="string"> + <ipxact:name>rf_p1_val_ada_b</ipxact:name> + <ipxact:displayName>RF_P1 Fix/Adaptable</ipxact:displayName> + <ipxact:value>adaptable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_p1_min_b" type="int"> + <ipxact:name>rf_p1_min_b</ipxact:name> + <ipxact:displayName>RF_P1_MIN</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_p1_max_b" type="int"> + <ipxact:name>rf_p1_max_b</ipxact:name> + <ipxact:displayName>RF_P1_MAX</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_reserved0_b" type="int"> + <ipxact:name>rf_reserved0_b</ipxact:name> + <ipxact:displayName>Reserved 0</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_p0_val_b" type="int"> + <ipxact:name>rf_p0_val_b</ipxact:name> + <ipxact:displayName>RF_P0</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_p0_val_ada_b" type="string"> + <ipxact:name>rf_p0_val_ada_b</ipxact:name> + <ipxact:displayName>RF_P0 Fix/Adaptable</ipxact:displayName> + <ipxact:value>adaptable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_reserved1_b" type="int"> + <ipxact:name>rf_reserved1_b</ipxact:name> + <ipxact:displayName>Reserved 1</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_b0t_b" type="int"> + <ipxact:name>rf_b0t_b</ipxact:name> + <ipxact:displayName>RF_B0T</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ctle_gs1_val_b" type="int"> + <ipxact:name>ctle_gs1_val_b</ipxact:name> + <ipxact:displayName>GS1</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ctle_gs2_val_b" type="int"> + <ipxact:name>ctle_gs2_val_b</ipxact:name> + <ipxact:displayName>GS2</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_b1_b" type="int"> + <ipxact:name>rf_b1_b</ipxact:name> + <ipxact:displayName>RF_B1</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_b1_ada_b" type="string"> + <ipxact:name>rf_b1_ada_b</ipxact:name> + <ipxact:displayName>RF_B1 Fix/Adaptable</ipxact:displayName> + <ipxact:value>adaptable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_b0_b" type="int"> + <ipxact:name>rf_b0_b</ipxact:name> + <ipxact:displayName>RF_B0</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_b0_ada_b" type="string"> + <ipxact:name>rf_b0_ada_b</ipxact:name> + <ipxact:displayName>RF_B0 Fix/Adaptable</ipxact:displayName> + <ipxact:value>adaptable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_a_b" type="int"> + <ipxact:name>rf_a_b</ipxact:name> + <ipxact:displayName>RF_A</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_ctle_frz_b" type="int"> + <ipxact:name>l_ctle_frz_b</ipxact:name> + <ipxact:displayName>l_ctle_frz_b</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_rf_frz_b" type="int"> + <ipxact:name>l_rf_frz_b</ipxact:name> + <ipxact:displayName>l_rf_frz_b</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_multi_enable" type="int"> + <ipxact:name>adpt_multi_enable</ipxact:name> + <ipxact:displayName>Enable multiple PMA configuration</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_recipe_cnt" type="int"> + <ipxact:name>adpt_recipe_cnt</ipxact:name> + <ipxact:displayName>Number of PMA configuration</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_recipe_select" type="int"> + <ipxact:name>adpt_recipe_select</ipxact:name> + <ipxact:displayName>Select a PMA configuration to load or store</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_recipe_data0" type="string"> + <ipxact:name>adpt_recipe_data0</ipxact:name> + <ipxact:displayName>adpt_recipe_data0</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_recipe_data1" type="string"> + <ipxact:name>adpt_recipe_data1</ipxact:name> + <ipxact:displayName>adpt_recipe_data1</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_recipe_data2" type="string"> + <ipxact:name>adpt_recipe_data2</ipxact:name> + <ipxact:displayName>adpt_recipe_data2</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_recipe_data3" type="string"> + <ipxact:name>adpt_recipe_data3</ipxact:name> + <ipxact:displayName>adpt_recipe_data3</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_recipe_data4" type="string"> + <ipxact:name>adpt_recipe_data4</ipxact:name> + <ipxact:displayName>adpt_recipe_data4</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_recipe_data5" type="string"> + <ipxact:name>adpt_recipe_data5</ipxact:name> + <ipxact:displayName>adpt_recipe_data5</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_recipe_data6" type="string"> + <ipxact:name>adpt_recipe_data6</ipxact:name> + <ipxact:displayName>adpt_recipe_data6</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_recipe_data7" type="string"> + <ipxact:name>adpt_recipe_data7</ipxact:name> + <ipxact:displayName>adpt_recipe_data7</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_params" type="string"> + <ipxact:name>adpt_params</ipxact:name> + <ipxact:displayName>adpt_params</ipxact:displayName> + <ipxact:value>ctle_lf_val_a,ctle_lf_val_ada_a,ctle_lf_min_a,ctle_lf_max_a,ctle_hf_val_a,ctle_hf_val_ada_a,ctle_hf_min_a,ctle_hf_max_a,rf_p2_val_a,rf_p2_val_ada_a,rf_p2_min_a,rf_p2_max_a,rf_p1_val_a,rf_p1_val_ada_a,rf_p1_min_a,rf_p1_max_a,rf_reserved0_a,rf_p0_val_a,rf_p0_val_ada_a,rf_reserved1_a,rf_b0t_a,ctle_gs1_val_a,ctle_gs2_val_a,rf_b1_a,rf_b1_ada_a,rf_b0_a,rf_b0_ada_a,rf_a_a,ctle_lf_val_b,ctle_lf_val_ada_b,ctle_lf_min_b,ctle_lf_max_b,ctle_hf_val_b,ctle_hf_val_ada_b,ctle_hf_min_b,ctle_hf_max_b,rf_p2_val_b,rf_p2_val_ada_b,rf_p2_min_b,rf_p2_max_b,rf_p1_val_b,rf_p1_val_ada_b,rf_p1_min_b,rf_p1_max_b,rf_reserved0_b,rf_p0_val_b,rf_p0_val_ada_b,rf_reserved1_b,rf_b0t_b,ctle_gs1_val_b,ctle_gs2_val_b,rf_b1_b,rf_b1_ada_b,rf_b0_b,rf_b0_ada_b,rf_a_b</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_params_a" type="string"> + <ipxact:name>adpt_params_a</ipxact:name> + <ipxact:displayName>adpt_params_a</ipxact:displayName> + <ipxact:value>ctle_lf_val_a,ctle_lf_val_ada_a,ctle_lf_min_a,ctle_lf_max_a,ctle_hf_val_a,ctle_hf_val_ada_a,ctle_hf_min_a,ctle_hf_max_a,rf_p2_val_a,rf_p2_val_ada_a,rf_p2_min_a,rf_p2_max_a,rf_p1_val_a,rf_p1_val_ada_a,rf_p1_min_a,rf_p1_max_a,rf_reserved0_a,rf_p0_val_a,rf_p0_val_ada_a,rf_reserved1_a,rf_b0t_a,ctle_gs1_val_a,ctle_gs2_val_a,rf_b1_a,rf_b1_ada_a,rf_b0_a,rf_b0_ada_a,rf_a_a</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_params_b" type="string"> + <ipxact:name>adpt_params_b</ipxact:name> + <ipxact:displayName>adpt_params_b</ipxact:displayName> + <ipxact:value>ctle_lf_val_b,ctle_lf_val_ada_b,ctle_lf_min_b,ctle_lf_max_b,ctle_hf_val_b,ctle_hf_val_ada_b,ctle_hf_min_b,ctle_hf_max_b,rf_p2_val_b,rf_p2_val_ada_b,rf_p2_min_b,rf_p2_max_b,rf_p1_val_b,rf_p1_val_ada_b,rf_p1_min_b,rf_p1_max_b,rf_reserved0_b,rf_p0_val_b,rf_p0_val_ada_b,rf_reserved1_b,rf_b0t_b,ctle_gs1_val_b,ctle_gs2_val_b,rf_b1_b,rf_b1_ada_b,rf_b0_b,rf_b0_ada_b,rf_a_b</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_param_labels_a" type="string"> + <ipxact:name>adpt_param_labels_a</ipxact:name> + <ipxact:displayName>Init. Parameters</ipxact:displayName> + <ipxact:value>GAINLF,GAINLF Fix/Adaptable,CTLE LF Min,CTLE LF Max,GAINHF,GAINHF Fix/Adaptable,CTLE HF Min,CTLE HF Max,RF_P2,RF_P2 Fix/Adaptable,RF_P2_MIN,RF_P2_MAX,RF_P1,RF_P1 Fix/Adaptable,RF_P1_MIN,RF_P1_MAX,Reserved 0,RF_P0,RF_P0 Fix/Adaptable,Reserved 1,RF_B0T,GS1,GS2,RF_B1,RF_B1 Fix/Adaptable,RF_B0,RF_B0 Fix/Adaptable,RF_A</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_param_labels_b" type="string"> + <ipxact:name>adpt_param_labels_b</ipxact:name> + <ipxact:displayName>Cont. Parameters</ipxact:displayName> + <ipxact:value>GAINLF,GAINLF Fix/Adaptable,CTLE LF Min,CTLE LF Max,GAINHF,GAINHF Fix/Adaptable,CTLE HF Min,CTLE HF Max,RF_P2,RF_P2 Fix/Adaptable,RF_P2_MIN,RF_P2_MAX,RF_P1,RF_P1 Fix/Adaptable,RF_P1_MIN,RF_P1_MAX,Reserved 0,RF_P0,RF_P0 Fix/Adaptable,Reserved 1,RF_B0T,GS1,GS2,RF_B1,RF_B1 Fix/Adaptable,RF_B0,RF_B0 Fix/Adaptable,RF_A</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_param_vals0" type="string"> + <ipxact:name>adpt_param_vals0</ipxact:name> + <ipxact:displayName>adpt_param_vals0</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_param_vals1" type="string"> + <ipxact:name>adpt_param_vals1</ipxact:name> + <ipxact:displayName>adpt_param_vals1</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_param_vals2" type="string"> + <ipxact:name>adpt_param_vals2</ipxact:name> + <ipxact:displayName>adpt_param_vals2</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_param_vals3" type="string"> + <ipxact:name>adpt_param_vals3</ipxact:name> + <ipxact:displayName>adpt_param_vals3</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_param_vals4" type="string"> + <ipxact:name>adpt_param_vals4</ipxact:name> + <ipxact:displayName>adpt_param_vals4</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_param_vals5" type="string"> + <ipxact:name>adpt_param_vals5</ipxact:name> + <ipxact:displayName>adpt_param_vals5</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_param_vals6" type="string"> + <ipxact:name>adpt_param_vals6</ipxact:name> + <ipxact:displayName>adpt_param_vals6</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_param_vals7" type="string"> + <ipxact:name>adpt_param_vals7</ipxact:name> + <ipxact:displayName>adpt_param_vals7</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_param_vals0_a" type="string"> + <ipxact:name>adpt_param_vals0_a</ipxact:name> + <ipxact:displayName>PMA configuration 0</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_param_vals1_a" type="string"> + <ipxact:name>adpt_param_vals1_a</ipxact:name> + <ipxact:displayName>PMA configuration 1</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_param_vals2_a" type="string"> + <ipxact:name>adpt_param_vals2_a</ipxact:name> + <ipxact:displayName>PMA configuration 2</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_param_vals3_a" type="string"> + <ipxact:name>adpt_param_vals3_a</ipxact:name> + <ipxact:displayName>PMA configuration 3</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_param_vals4_a" type="string"> + <ipxact:name>adpt_param_vals4_a</ipxact:name> + <ipxact:displayName>PMA configuration 4</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_param_vals5_a" type="string"> + <ipxact:name>adpt_param_vals5_a</ipxact:name> + <ipxact:displayName>PMA configuration 5</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_param_vals6_a" type="string"> + <ipxact:name>adpt_param_vals6_a</ipxact:name> + <ipxact:displayName>PMA configuration 6</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_param_vals7_a" type="string"> + <ipxact:name>adpt_param_vals7_a</ipxact:name> + <ipxact:displayName>PMA configuration 7</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_param_vals0_b" type="string"> + <ipxact:name>adpt_param_vals0_b</ipxact:name> + <ipxact:displayName>PMA configuration 0</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_param_vals1_b" type="string"> + <ipxact:name>adpt_param_vals1_b</ipxact:name> + <ipxact:displayName>PMA configuration 1</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_param_vals2_b" type="string"> + <ipxact:name>adpt_param_vals2_b</ipxact:name> + <ipxact:displayName>PMA configuration 2</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_param_vals3_b" type="string"> + <ipxact:name>adpt_param_vals3_b</ipxact:name> + <ipxact:displayName>PMA configuration 3</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_param_vals4_b" type="string"> + <ipxact:name>adpt_param_vals4_b</ipxact:name> + <ipxact:displayName>PMA configuration 4</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_param_vals5_b" type="string"> + <ipxact:name>adpt_param_vals5_b</ipxact:name> + <ipxact:displayName>PMA configuration 5</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_param_vals6_b" type="string"> + <ipxact:name>adpt_param_vals6_b</ipxact:name> + <ipxact:displayName>PMA configuration 6</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_param_vals7_b" type="string"> + <ipxact:name>adpt_param_vals7_b</ipxact:name> + <ipxact:displayName>PMA configuration 7</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="AUTO_DEVICE" type="string"> + <ipxact:name>AUTO_DEVICE</ipxact:name> + <ipxact:displayName>Auto DEVICE</ipxact:displayName> + <ipxact:value>10AX115U3F45E2SG</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_module_parameters> + <altera:altera_system_parameters> + <ipxact:parameters> + <ipxact:parameter parameterId="device" type="string"> + <ipxact:name>device</ipxact:name> + <ipxact:displayName>Device</ipxact:displayName> + <ipxact:value>10AX115U3F45E2SG</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="deviceFamily" type="string"> + <ipxact:name>deviceFamily</ipxact:name> + <ipxact:displayName>Device family</ipxact:displayName> + <ipxact:value>Arria 10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="deviceSpeedGrade" type="string"> + <ipxact:name>deviceSpeedGrade</ipxact:name> + <ipxact:displayName>Device Speed Grade</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="generationId" type="int"> + <ipxact:name>generationId</ipxact:name> + <ipxact:displayName>Generation Id</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="bonusData" type="string"> + <ipxact:name>bonusData</ipxact:name> + <ipxact:displayName>bonusData</ipxact:displayName> + <ipxact:value>bonusData +{ + element jesd204_0 + { + datum _sortIndex + { + value = "0"; + type = "int"; + } + } +} +</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hideFromIPCatalog" type="bit"> + <ipxact:name>hideFromIPCatalog</ipxact:name> + <ipxact:displayName>Hide from IP Catalog</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="lockedInterfaceDefinition" type="string"> + <ipxact:name>lockedInterfaceDefinition</ipxact:name> + <ipxact:displayName>lockedInterfaceDefinition</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="systemInfos" type="string"> + <ipxact:name>systemInfos</ipxact:name> + <ipxact:displayName>systemInfos</ipxact:displayName> + <ipxact:value><systemInfosDefinition> + <connPtSystemInfos> + <entry> + <key>jesd204_rx_avs</key> + <value> + <connectionPointName>jesd204_rx_avs</connectionPointName> + <suppliedSystemInfos/> + <consumedSystemInfos> + <entry> + <key>ADDRESS_MAP</key> + <value>&lt;address-map&gt;&lt;slave name='jesd204_rx_avs' start='0x0' end='0x400' datawidth='32' /&gt;&lt;/address-map&gt;</value> + </entry> + <entry> + <key>ADDRESS_WIDTH</key> + <value>10</value> + </entry> + <entry> + <key>MAX_SLAVE_DATA_WIDTH</key> + <value>32</value> + </entry> + </consumedSystemInfos> + </value> + </entry> + </connPtSystemInfos> +</systemInfosDefinition></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_system_parameters> + <altera:altera_interface_boundary> + <altera:interface_mapping altera:name="alldev_lane_aligned" altera:internal="jesd204_0.alldev_lane_aligned" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="alldev_lane_aligned" altera:internal="alldev_lane_aligned"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="csr_cf" altera:internal="jesd204_0.csr_cf" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="csr_cf" altera:internal="csr_cf"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="csr_cs" altera:internal="jesd204_0.csr_cs" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="csr_cs" altera:internal="csr_cs"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="csr_f" altera:internal="jesd204_0.csr_f" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="csr_f" altera:internal="csr_f"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="csr_hd" altera:internal="jesd204_0.csr_hd" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="csr_hd" altera:internal="csr_hd"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="csr_k" altera:internal="jesd204_0.csr_k" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="csr_k" altera:internal="csr_k"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="csr_l" altera:internal="jesd204_0.csr_l" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="csr_l" altera:internal="csr_l"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="csr_lane_powerdown" altera:internal="jesd204_0.csr_lane_powerdown" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="csr_lane_powerdown" altera:internal="csr_lane_powerdown"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="csr_m" altera:internal="jesd204_0.csr_m" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="csr_m" altera:internal="csr_m"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="csr_n" altera:internal="jesd204_0.csr_n" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="csr_n" altera:internal="csr_n"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="csr_np" altera:internal="jesd204_0.csr_np" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="csr_np" altera:internal="csr_np"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="csr_rx_testmode" altera:internal="jesd204_0.csr_rx_testmode" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="csr_rx_testmode" altera:internal="csr_rx_testmode"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="csr_s" altera:internal="jesd204_0.csr_s" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="csr_s" altera:internal="csr_s"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="csr_tx_testmode" altera:internal="jesd204_0.csr_tx_testmode"></altera:interface_mapping> + <altera:interface_mapping altera:name="csr_tx_testpattern_a" altera:internal="jesd204_0.csr_tx_testpattern_a"></altera:interface_mapping> + <altera:interface_mapping altera:name="csr_tx_testpattern_b" altera:internal="jesd204_0.csr_tx_testpattern_b"></altera:interface_mapping> + <altera:interface_mapping altera:name="csr_tx_testpattern_c" altera:internal="jesd204_0.csr_tx_testpattern_c"></altera:interface_mapping> + <altera:interface_mapping altera:name="csr_tx_testpattern_d" altera:internal="jesd204_0.csr_tx_testpattern_d"></altera:interface_mapping> + <altera:interface_mapping altera:name="dev_lane_aligned" altera:internal="jesd204_0.dev_lane_aligned" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="dev_lane_aligned" altera:internal="dev_lane_aligned"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="dev_sync_n" altera:internal="jesd204_0.dev_sync_n" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="dev_sync_n" altera:internal="dev_sync_n"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="jesd204_rx_avs" altera:internal="jesd204_0.jesd204_rx_avs" altera:type="avalon" altera:dir="end"> + <altera:port_mapping altera:name="jesd204_rx_avs_address" altera:internal="jesd204_rx_avs_address"></altera:port_mapping> + <altera:port_mapping altera:name="jesd204_rx_avs_chipselect" altera:internal="jesd204_rx_avs_chipselect"></altera:port_mapping> + <altera:port_mapping altera:name="jesd204_rx_avs_read" altera:internal="jesd204_rx_avs_read"></altera:port_mapping> + <altera:port_mapping altera:name="jesd204_rx_avs_readdata" altera:internal="jesd204_rx_avs_readdata"></altera:port_mapping> + <altera:port_mapping altera:name="jesd204_rx_avs_waitrequest" altera:internal="jesd204_rx_avs_waitrequest"></altera:port_mapping> + <altera:port_mapping altera:name="jesd204_rx_avs_write" altera:internal="jesd204_rx_avs_write"></altera:port_mapping> + <altera:port_mapping altera:name="jesd204_rx_avs_writedata" altera:internal="jesd204_rx_avs_writedata"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="jesd204_rx_avs_clk" altera:internal="jesd204_0.jesd204_rx_avs_clk" altera:type="clock" altera:dir="end"> + <altera:port_mapping altera:name="jesd204_rx_avs_clk" altera:internal="jesd204_rx_avs_clk"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="jesd204_rx_avs_rst_n" altera:internal="jesd204_0.jesd204_rx_avs_rst_n" altera:type="reset" altera:dir="end"> + <altera:port_mapping altera:name="jesd204_rx_avs_rst_n" altera:internal="jesd204_rx_avs_rst_n"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="jesd204_rx_dlb_data" altera:internal="jesd204_0.jesd204_rx_dlb_data" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="jesd204_rx_dlb_data" altera:internal="jesd204_rx_dlb_data"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="jesd204_rx_dlb_data_valid" altera:internal="jesd204_0.jesd204_rx_dlb_data_valid" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="jesd204_rx_dlb_data_valid" altera:internal="jesd204_rx_dlb_data_valid"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="jesd204_rx_dlb_disperr" altera:internal="jesd204_0.jesd204_rx_dlb_disperr" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="jesd204_rx_dlb_disperr" altera:internal="jesd204_rx_dlb_disperr"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="jesd204_rx_dlb_errdetect" altera:internal="jesd204_0.jesd204_rx_dlb_errdetect" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="jesd204_rx_dlb_errdetect" altera:internal="jesd204_rx_dlb_errdetect"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="jesd204_rx_dlb_kchar_data" altera:internal="jesd204_0.jesd204_rx_dlb_kchar_data" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="jesd204_rx_dlb_kchar_data" altera:internal="jesd204_rx_dlb_kchar_data"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="jesd204_rx_frame_error" altera:internal="jesd204_0.jesd204_rx_frame_error" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="jesd204_rx_frame_error" altera:internal="jesd204_rx_frame_error"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="jesd204_rx_int" altera:internal="jesd204_0.jesd204_rx_int" altera:type="interrupt" altera:dir="end"> + <altera:port_mapping altera:name="jesd204_rx_int" altera:internal="jesd204_rx_int"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="jesd204_rx_link" altera:internal="jesd204_0.jesd204_rx_link" altera:type="avalon_streaming" altera:dir="start"> + <altera:port_mapping altera:name="jesd204_rx_link_data" altera:internal="jesd204_rx_link_data"></altera:port_mapping> + <altera:port_mapping altera:name="jesd204_rx_link_ready" altera:internal="jesd204_rx_link_ready"></altera:port_mapping> + <altera:port_mapping altera:name="jesd204_rx_link_valid" altera:internal="jesd204_rx_link_valid"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="jesd204_tx_avs" altera:internal="jesd204_0.jesd204_tx_avs"></altera:interface_mapping> + <altera:interface_mapping altera:name="jesd204_tx_avs_clk" altera:internal="jesd204_0.jesd204_tx_avs_clk"></altera:interface_mapping> + <altera:interface_mapping altera:name="jesd204_tx_avs_rst_n" altera:internal="jesd204_0.jesd204_tx_avs_rst_n"></altera:interface_mapping> + <altera:interface_mapping altera:name="jesd204_tx_dlb_data" altera:internal="jesd204_0.jesd204_tx_dlb_data"></altera:interface_mapping> + <altera:interface_mapping altera:name="jesd204_tx_dlb_kchar_data" altera:internal="jesd204_0.jesd204_tx_dlb_kchar_data"></altera:interface_mapping> + <altera:interface_mapping altera:name="jesd204_tx_frame_error" altera:internal="jesd204_0.jesd204_tx_frame_error"></altera:interface_mapping> + <altera:interface_mapping altera:name="jesd204_tx_frame_ready" altera:internal="jesd204_0.jesd204_tx_frame_ready"></altera:interface_mapping> + <altera:interface_mapping altera:name="jesd204_tx_int" altera:internal="jesd204_0.jesd204_tx_int"></altera:interface_mapping> + <altera:interface_mapping altera:name="jesd204_tx_link" altera:internal="jesd204_0.jesd204_tx_link"></altera:interface_mapping> + <altera:interface_mapping altera:name="mdev_sync_n" altera:internal="jesd204_0.mdev_sync_n"></altera:interface_mapping> + <altera:interface_mapping altera:name="pll_locked" altera:internal="jesd204_0.pll_locked"></altera:interface_mapping> + <altera:interface_mapping altera:name="pll_ref_clk" altera:internal="jesd204_0.pll_ref_clk" altera:type="clock" altera:dir="end"> + <altera:port_mapping altera:name="pll_ref_clk" altera:internal="pll_ref_clk"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_analogreset" altera:internal="jesd204_0.rx_analogreset" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_analogreset" altera:internal="rx_analogreset"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_cal_busy" altera:internal="jesd204_0.rx_cal_busy" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_cal_busy" altera:internal="rx_cal_busy"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_csr_cf" altera:internal="jesd204_0.rx_csr_cf"></altera:interface_mapping> + <altera:interface_mapping altera:name="rx_csr_cs" altera:internal="jesd204_0.rx_csr_cs"></altera:interface_mapping> + <altera:interface_mapping altera:name="rx_csr_f" altera:internal="jesd204_0.rx_csr_f"></altera:interface_mapping> + <altera:interface_mapping altera:name="rx_csr_hd" altera:internal="jesd204_0.rx_csr_hd"></altera:interface_mapping> + <altera:interface_mapping altera:name="rx_csr_k" altera:internal="jesd204_0.rx_csr_k"></altera:interface_mapping> + <altera:interface_mapping altera:name="rx_csr_l" altera:internal="jesd204_0.rx_csr_l"></altera:interface_mapping> + <altera:interface_mapping altera:name="rx_csr_lane_powerdown" altera:internal="jesd204_0.rx_csr_lane_powerdown"></altera:interface_mapping> + <altera:interface_mapping altera:name="rx_csr_m" altera:internal="jesd204_0.rx_csr_m"></altera:interface_mapping> + <altera:interface_mapping altera:name="rx_csr_n" altera:internal="jesd204_0.rx_csr_n"></altera:interface_mapping> + <altera:interface_mapping altera:name="rx_csr_np" altera:internal="jesd204_0.rx_csr_np"></altera:interface_mapping> + <altera:interface_mapping altera:name="rx_csr_s" altera:internal="jesd204_0.rx_csr_s"></altera:interface_mapping> + <altera:interface_mapping altera:name="rx_dev_sync_n" altera:internal="jesd204_0.rx_dev_sync_n"></altera:interface_mapping> + <altera:interface_mapping altera:name="rx_digitalreset" altera:internal="jesd204_0.rx_digitalreset" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_digitalreset" altera:internal="rx_digitalreset"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_islockedtodata" altera:internal="jesd204_0.rx_islockedtodata" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_islockedtodata" altera:internal="rx_islockedtodata"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_pll_ref_clk" altera:internal="jesd204_0.rx_pll_ref_clk"></altera:interface_mapping> + <altera:interface_mapping altera:name="rx_serial_data" altera:internal="jesd204_0.rx_serial_data" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_serial_data" altera:internal="rx_serial_data"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_seriallpbken" altera:internal="jesd204_0.rx_seriallpbken"></altera:interface_mapping> + <altera:interface_mapping altera:name="rx_sof" altera:internal="jesd204_0.rx_sof"></altera:interface_mapping> + <altera:interface_mapping altera:name="rx_somf" altera:internal="jesd204_0.rx_somf"></altera:interface_mapping> + <altera:interface_mapping altera:name="rx_sysref" altera:internal="jesd204_0.rx_sysref"></altera:interface_mapping> + <altera:interface_mapping altera:name="rxlink_clk" altera:internal="jesd204_0.rxlink_clk" altera:type="clock" altera:dir="end"> + <altera:port_mapping altera:name="rxlink_clk" altera:internal="rxlink_clk"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rxlink_rst_n" altera:internal="jesd204_0.rxlink_rst_n" altera:type="reset" altera:dir="end"> + <altera:port_mapping altera:name="rxlink_rst_n_reset_n" altera:internal="rxlink_rst_n_reset_n"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rxphy_clk" altera:internal="jesd204_0.rxphy_clk" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rxphy_clk" altera:internal="rxphy_clk"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="sof" altera:internal="jesd204_0.sof" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="sof" altera:internal="sof"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="somf" altera:internal="jesd204_0.somf" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="somf" altera:internal="somf"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="sync_n" altera:internal="jesd204_0.sync_n"></altera:interface_mapping> + <altera:interface_mapping altera:name="sysref" altera:internal="jesd204_0.sysref" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="sysref" altera:internal="sysref"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_analogreset" altera:internal="jesd204_0.tx_analogreset"></altera:interface_mapping> + <altera:interface_mapping altera:name="tx_bonding_clocks_ch0" altera:internal="jesd204_0.tx_bonding_clocks_ch0"></altera:interface_mapping> + <altera:interface_mapping altera:name="tx_bonding_clocks_ch1" altera:internal="jesd204_0.tx_bonding_clocks_ch1"></altera:interface_mapping> + <altera:interface_mapping altera:name="tx_cal_busy" altera:internal="jesd204_0.tx_cal_busy"></altera:interface_mapping> + <altera:interface_mapping altera:name="tx_csr_cf" altera:internal="jesd204_0.tx_csr_cf"></altera:interface_mapping> + <altera:interface_mapping altera:name="tx_csr_cs" altera:internal="jesd204_0.tx_csr_cs"></altera:interface_mapping> + <altera:interface_mapping altera:name="tx_csr_f" altera:internal="jesd204_0.tx_csr_f"></altera:interface_mapping> + <altera:interface_mapping altera:name="tx_csr_hd" altera:internal="jesd204_0.tx_csr_hd"></altera:interface_mapping> + <altera:interface_mapping altera:name="tx_csr_k" altera:internal="jesd204_0.tx_csr_k"></altera:interface_mapping> + <altera:interface_mapping altera:name="tx_csr_l" altera:internal="jesd204_0.tx_csr_l"></altera:interface_mapping> + <altera:interface_mapping altera:name="tx_csr_lane_powerdown" altera:internal="jesd204_0.tx_csr_lane_powerdown"></altera:interface_mapping> + <altera:interface_mapping altera:name="tx_csr_m" altera:internal="jesd204_0.tx_csr_m"></altera:interface_mapping> + <altera:interface_mapping altera:name="tx_csr_n" altera:internal="jesd204_0.tx_csr_n"></altera:interface_mapping> + <altera:interface_mapping altera:name="tx_csr_np" altera:internal="jesd204_0.tx_csr_np"></altera:interface_mapping> + <altera:interface_mapping altera:name="tx_csr_s" altera:internal="jesd204_0.tx_csr_s"></altera:interface_mapping> + <altera:interface_mapping altera:name="tx_dev_sync_n" altera:internal="jesd204_0.tx_dev_sync_n"></altera:interface_mapping> + <altera:interface_mapping altera:name="tx_digitalreset" altera:internal="jesd204_0.tx_digitalreset"></altera:interface_mapping> + <altera:interface_mapping altera:name="tx_serial_data" altera:internal="jesd204_0.tx_serial_data"></altera:interface_mapping> + <altera:interface_mapping altera:name="tx_somf" altera:internal="jesd204_0.tx_somf"></altera:interface_mapping> + <altera:interface_mapping altera:name="tx_sysref" altera:internal="jesd204_0.tx_sysref"></altera:interface_mapping> + <altera:interface_mapping altera:name="txlink_clk" altera:internal="jesd204_0.txlink_clk"></altera:interface_mapping> + <altera:interface_mapping altera:name="txlink_rst_n" altera:internal="jesd204_0.txlink_rst_n"></altera:interface_mapping> + <altera:interface_mapping altera:name="txphy_clk" altera:internal="jesd204_0.txphy_clk"></altera:interface_mapping> + </altera:altera_interface_boundary> + <altera:altera_has_warnings>false</altera:altera_has_warnings> + <altera:altera_has_errors>false</altera:altera_has_errors> + </ipxact:vendorExtensions> +</ipxact:component> \ No newline at end of file diff --git a/libraries/technology/ip_arria10_e2sg/jesd204b/ip_arria10_e2sg_jesd204b_rx_core_pll.qsys b/libraries/technology/ip_arria10_e2sg/jesd204b/ip_arria10_e2sg_jesd204b_rx_core_pll.qsys deleted file mode 100644 index 10c7ed2bac..0000000000 --- a/libraries/technology/ip_arria10_e2sg/jesd204b/ip_arria10_e2sg_jesd204b_rx_core_pll.qsys +++ /dev/null @@ -1,572 +0,0 @@ -<?xml version="1.0" encoding="UTF-8"?> -<system name="ip_arria10_e2sg_jesd204b_rx_core_pll"> - <component - name="$${FILENAME}" - displayName="$${FILENAME}" - version="1.0" - description="" - tags="" - categories="System" - tool="QsysPro" /> - <parameter name="bonusData"><![CDATA[bonusData -{ - element $system - { - } - element iopll_0 - { - datum _sortIndex - { - value = "0"; - type = "int"; - } - } -} -]]></parameter> - <parameter name="device" value="10AX115U3F45E2SG" /> - <parameter name="deviceFamily" value="Arria 10" /> - <parameter name="deviceSpeedGrade" value="2" /> - <parameter name="fabricMode" value="QSYS" /> - <parameter name="generateLegacySim" value="false" /> - <parameter name="generationId" value="0" /> - <parameter name="globalResetBus" value="false" /> - <parameter name="hdlLanguage" value="VERILOG" /> - <parameter name="hideFromIPCatalog" value="false" /> - <parameter name="lockedInterfaceDefinition" value="" /> - <parameter name="sopcBorderPoints" value="false" /> - <parameter name="systemHash" value="0" /> - <parameter name="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos> - <entry> - <key>outclk0</key> - <value> - <connectionPointName>outclk0</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>outclk1</key> - <value> - <connectionPointName>outclk1</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>200000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> -</systemInfosDefinition>]]></parameter> - <parameter name="systemScripts" value="" /> - <parameter name="testBenchDutName" value="" /> - <parameter name="timeStamp" value="0" /> - <parameter name="useTestBenchNamingPattern" value="false" /> - <instanceScript></instanceScript> - <interface name="locked" internal="iopll_0.locked" type="conduit" dir="end" /> - <interface name="outclk0" internal="iopll_0.outclk0" type="clock" dir="start" /> - <interface name="outclk1" internal="iopll_0.outclk1" type="clock" dir="start" /> - <interface name="refclk" internal="iopll_0.refclk" type="clock" dir="end" /> - <interface name="reset" internal="iopll_0.reset" type="reset" dir="end" /> - <module - name="iopll_0" - kind="altera_generic_component" - version="1.0" - enabled="1"> - <parameter name="componentDefinition"><![CDATA[<componentDefinition> - <boundary> - <interfaces> - <interface> - <name>locked</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>locked</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>ui.blockdiagram.direction</key> - <value>output</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>outclk0</name> - <type>clock</type> - <isStart>true</isStart> - <ports> - <port> - <name>outclk_0</name> - <role>clk</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>ui.blockdiagram.direction</key> - <value>output</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedDirectClock</key> - </entry> - <entry> - <key>clockRate</key> - <value>100000000</value> - </entry> - <entry> - <key>clockRateKnown</key> - <value>true</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>outclk1</name> - <type>clock</type> - <isStart>true</isStart> - <ports> - <port> - <name>outclk_1</name> - <role>clk</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>ui.blockdiagram.direction</key> - <value>output</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedDirectClock</key> - </entry> - <entry> - <key>clockRate</key> - <value>200000000</value> - </entry> - <entry> - <key>clockRateKnown</key> - <value>true</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>refclk</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>refclk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>ui.blockdiagram.direction</key> - <value>input</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>200000000</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>rst</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>ui.blockdiagram.direction</key> - <value>input</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>synchronousEdges</key> - <value>NONE</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> - </boundary> - <originalModuleInfo> - <className>altera_iopll</className> - <version>19.3.0</version> - <displayName>IOPLL Intel FPGA IP</displayName> - </originalModuleInfo> - <systemInfoParameterDescriptors> - <descriptors/> - </systemInfoParameterDescriptors> - <systemInfos> - <connPtSystemInfos> - <entry> - <key>outclk0</key> - <value> - <connectionPointName>outclk0</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - <entry> - <key>outclk1</key> - <value> - <connectionPointName>outclk1</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>200000000</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - </connPtSystemInfos> - </systemInfos> -</componentDefinition>]]></parameter> - <parameter name="defaultBoundary"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>rst</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>ui.blockdiagram.direction</key> - <value>input</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>synchronousEdges</key> - <value>NONE</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>refclk</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>refclk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>ui.blockdiagram.direction</key> - <value>input</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>200000000</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>locked</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>locked</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>ui.blockdiagram.direction</key> - <value>output</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>outclk0</name> - <type>clock</type> - <isStart>true</isStart> - <ports> - <port> - <name>outclk_0</name> - <role>clk</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>ui.blockdiagram.direction</key> - <value>output</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedDirectClock</key> - </entry> - <entry> - <key>clockRate</key> - <value>100000000</value> - </entry> - <entry> - <key>clockRateKnown</key> - <value>true</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>outclk1</name> - <type>clock</type> - <isStart>true</isStart> - <ports> - <port> - <name>outclk_1</name> - <role>clk</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>ui.blockdiagram.direction</key> - <value>output</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedDirectClock</key> - </entry> - <entry> - <key>clockRate</key> - <value>200000000</value> - </entry> - <entry> - <key>clockRateKnown</key> - <value>true</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></parameter> - <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>ip_arria10_e2sg_jesd204b_rx_core_pll</hdlLibraryName> - <fileSets> - <fileSet> - <fileSetName>ip_arria10_e2sg_jesd204b_rx_core_pll</fileSetName> - <fileSetFixedName>ip_arria10_e2sg_jesd204b_rx_core_pll</fileSetFixedName> - <fileSetKind>QUARTUS_SYNTH</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>ip_arria10_e2sg_jesd204b_rx_core_pll</fileSetName> - <fileSetFixedName>ip_arria10_e2sg_jesd204b_rx_core_pll</fileSetFixedName> - <fileSetKind>SIM_VERILOG</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>ip_arria10_e2sg_jesd204b_rx_core_pll</fileSetName> - <fileSetFixedName>ip_arria10_e2sg_jesd204b_rx_core_pll</fileSetFixedName> - <fileSetKind>SIM_VHDL</fileSetKind> - <fileSetFiles/> - </fileSet> - </fileSets> -</generationInfoDefinition>]]></parameter> - <parameter name="hlsFile" value="" /> - <parameter name="logicalView">/home/hargreaves/git/hdl/libraries/technology/ip_arria10_e2sg/jesd204b/ip_arria10_e2sg_jesd204b_rx_core_pll.ip</parameter> - <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> - <assignmentValueMap> - <entry> - <key>embeddedsw.dts.compatible</key> - <value>altr,pll</value> - </entry> - <entry> - <key>embeddedsw.dts.group</key> - <value>clock</value> - </entry> - <entry> - <key>embeddedsw.dts.vendor</key> - <value>altr</value> - </entry> - </assignmentValueMap> -</assignmentDefinition>]]></parameter> - <parameter name="svInterfaceDefinition" value="" /> - </module> -</system> diff --git a/libraries/technology/ip_arria10_e2sg/jesd204b/ip_arria10_e2sg_jesd204b_rx_core_pll.ip b/libraries/technology/ip_arria10_e2sg/jesd204b/ip_arria10_e2sg_jesd204b_rx_core_pll_200MHz.ip similarity index 95% rename from libraries/technology/ip_arria10_e2sg/jesd204b/ip_arria10_e2sg_jesd204b_rx_core_pll.ip rename to libraries/technology/ip_arria10_e2sg/jesd204b/ip_arria10_e2sg_jesd204b_rx_core_pll_200MHz.ip index a14071ffab..c5c62b0ec2 100644 --- a/libraries/technology/ip_arria10_e2sg/jesd204b/ip_arria10_e2sg_jesd204b_rx_core_pll.ip +++ b/libraries/technology/ip_arria10_e2sg/jesd204b/ip_arria10_e2sg_jesd204b_rx_core_pll_200MHz.ip @@ -1,8 +1,8 @@ <?xml version="1.0" ?> <ipxact:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact2014/extensions" xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"> <ipxact:vendor>Intel Corporation</ipxact:vendor> - <ipxact:library>ip_arria10_e2sg_jesd204b_rx_core_pll</ipxact:library> - <ipxact:name>core_pll</ipxact:name> + <ipxact:library>ip_arria10_e2sg_jesd204b_rx_core_pll_200MHz</ipxact:library> + <ipxact:name>iopll_0</ipxact:name> <ipxact:version>19.3.0</ipxact:version> <ipxact:busInterfaces> <ipxact:busInterface> @@ -339,7 +339,7 @@ <ipxact:vendorExtensions> <altera:entity_info> <ipxact:vendor>Intel Corporation</ipxact:vendor> - <ipxact:library>ip_arria10_e2sg_jesd204b_rx_core_pll</ipxact:library> + <ipxact:library>ip_arria10_e2sg_jesd204b_rx_core_pll_200MHz</ipxact:library> <ipxact:name>altera_iopll</ipxact:name> <ipxact:version>19.3.0</ipxact:version> </altera:entity_info> @@ -543,7 +543,7 @@ <ipxact:parameter parameterId="gui_reference_clock_frequency_ps" type="real"> <ipxact:name>gui_reference_clock_frequency_ps</ipxact:name> <ipxact:displayName>Reference Clock Frequency</ipxact:displayName> - <ipxact:value>10000.0</ipxact:value> + <ipxact:value>5000.0</ipxact:value> </ipxact:parameter> <ipxact:parameter parameterId="gui_use_coreclk" type="bit"> <ipxact:name>gui_use_coreclk</ipxact:name> @@ -1158,7 +1158,7 @@ <ipxact:parameter parameterId="gui_output_clock_frequency_ps1" type="real"> <ipxact:name>gui_output_clock_frequency_ps1</ipxact:name> <ipxact:displayName>Desired Frequency</ipxact:displayName> - <ipxact:value>10000.0</ipxact:value> + <ipxact:value>5000.0</ipxact:value> </ipxact:parameter> <ipxact:parameter parameterId="gui_output_clock_frequency_ps2" type="real"> <ipxact:name>gui_output_clock_frequency_ps2</ipxact:name> @@ -3718,7 +3718,7 @@ <ipxact:parameter parameterId="hp_previous_num_clocks" type="int"> <ipxact:name>hp_previous_num_clocks</ipxact:name> <ipxact:displayName>hp_previous_num_clocks</ipxact:displayName> - <ipxact:value>1</ipxact:value> + <ipxact:value>2</ipxact:value> </ipxact:parameter> <ipxact:parameter parameterId="hp_actual_vco_frequency_fp" type="real"> <ipxact:name>hp_actual_vco_frequency_fp</ipxact:name> @@ -4050,7 +4050,7 @@ <ipxact:displayName>bonusData</ipxact:displayName> <ipxact:value>bonusData { - element core_pll + element iopll_0 { datum _sortIndex { @@ -4064,214 +4064,12 @@ <ipxact:parameter parameterId="hideFromIPCatalog" type="bit"> <ipxact:name>hideFromIPCatalog</ipxact:name> <ipxact:displayName>Hide from IP Catalog</ipxact:displayName> - <ipxact:value>false</ipxact:value> + <ipxact:value>true</ipxact:value> </ipxact:parameter> <ipxact:parameter parameterId="lockedInterfaceDefinition" type="string"> <ipxact:name>lockedInterfaceDefinition</ipxact:name> <ipxact:displayName>lockedInterfaceDefinition</ipxact:displayName> - <ipxact:value><boundaryDefinition> - <interfaces> - <interface> - <name>locked</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>locked</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>ui.blockdiagram.direction</key> - <value>output</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>outclk0</name> - <type>clock</type> - <isStart>true</isStart> - <ports> - <port> - <name>outclk_0</name> - <role>clk</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>ui.blockdiagram.direction</key> - <value>output</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedDirectClock</key> - </entry> - <entry> - <key>clockRate</key> - <value>100000000</value> - </entry> - <entry> - <key>clockRateKnown</key> - <value>true</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>outclk1</name> - <type>clock</type> - <isStart>true</isStart> - <ports> - <port> - <name>outclk_1</name> - <role>clk</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>ui.blockdiagram.direction</key> - <value>output</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedDirectClock</key> - </entry> - <entry> - <key>clockRate</key> - <value>100000000</value> - </entry> - <entry> - <key>clockRateKnown</key> - <value>true</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>refclk</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>refclk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>ui.blockdiagram.direction</key> - <value>input</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>200000000</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>rst</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>ui.blockdiagram.direction</key> - <value>input</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>synchronousEdges</key> - <value>NONE</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition></ipxact:value> + <ipxact:value></ipxact:value> </ipxact:parameter> <ipxact:parameter parameterId="systemInfos" type="string"> <ipxact:name>systemInfos</ipxact:name> @@ -4310,19 +4108,19 @@ </ipxact:parameters> </altera:altera_system_parameters> <altera:altera_interface_boundary> - <altera:interface_mapping altera:name="locked" altera:internal="core_pll.locked" altera:type="conduit" altera:dir="end"> + <altera:interface_mapping altera:name="locked" altera:internal="iopll_0.locked" altera:type="conduit" altera:dir="end"> <altera:port_mapping altera:name="locked" altera:internal="locked"></altera:port_mapping> </altera:interface_mapping> - <altera:interface_mapping altera:name="outclk0" altera:internal="core_pll.outclk0" altera:type="clock" altera:dir="start"> + <altera:interface_mapping altera:name="outclk0" altera:internal="iopll_0.outclk0" altera:type="clock" altera:dir="start"> <altera:port_mapping altera:name="outclk_0" altera:internal="outclk_0"></altera:port_mapping> </altera:interface_mapping> - <altera:interface_mapping altera:name="outclk1" altera:internal="core_pll.outclk1" altera:type="clock" altera:dir="start"> + <altera:interface_mapping altera:name="outclk1" altera:internal="iopll_0.outclk1" altera:type="clock" altera:dir="start"> <altera:port_mapping altera:name="outclk_1" altera:internal="outclk_1"></altera:port_mapping> </altera:interface_mapping> - <altera:interface_mapping altera:name="refclk" altera:internal="core_pll.refclk" altera:type="clock" altera:dir="end"> + <altera:interface_mapping altera:name="refclk" altera:internal="iopll_0.refclk" altera:type="clock" altera:dir="end"> <altera:port_mapping altera:name="refclk" altera:internal="refclk"></altera:port_mapping> </altera:interface_mapping> - <altera:interface_mapping altera:name="reset" altera:internal="core_pll.reset" altera:type="reset" altera:dir="end"> + <altera:interface_mapping altera:name="reset" altera:internal="iopll_0.reset" altera:type="reset" altera:dir="end"> <altera:port_mapping altera:name="rst" altera:internal="rst"></altera:port_mapping> </altera:interface_mapping> </altera:altera_interface_boundary> diff --git a/libraries/technology/ip_arria10_e2sg/jesd204b/ip_arria10_e2sg_jesd204b_rx_reset_seq.ip b/libraries/technology/ip_arria10_e2sg/jesd204b/ip_arria10_e2sg_jesd204b_rx_reset_seq.ip index d0d2f5fa65..5d0decbb7b 100644 --- a/libraries/technology/ip_arria10_e2sg/jesd204b/ip_arria10_e2sg_jesd204b_rx_reset_seq.ip +++ b/libraries/technology/ip_arria10_e2sg/jesd204b/ip_arria10_e2sg_jesd204b_rx_reset_seq.ip @@ -1,1445 +1,1537 @@ <?xml version="1.0" ?> -<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"> - <spirit:vendor>Intel Corporation</spirit:vendor> - <spirit:library>ip_arria10_e2sg_jesd204b_rx_reset_seq</spirit:library> - <spirit:name>reset_seq</spirit:name> - <spirit:version>18.0</spirit:version> - <spirit:busInterfaces> - <spirit:busInterface> - <spirit:name>av_csr</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>address</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>av_address</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>readdata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>av_readdata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>read</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>av_read</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>writedata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>av_writedata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>write</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>av_write</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>addressAlignment</spirit:name> - <spirit:displayName>Slave addressing</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressAlignment">DYNAMIC</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressGroup</spirit:name> - <spirit:displayName>Address group</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressSpan</spirit:name> - <spirit:displayName>Address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressSpan">256</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressUnits</spirit:name> - <spirit:displayName>Address units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressUnits">SYMBOLS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>alwaysBurstMaxBurst</spirit:name> - <spirit:displayName>Always burst maximum burst</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">clk</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>Associated reset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset">csr_reset</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bitsPerSymbol</spirit:name> - <spirit:displayName>Bits per symbol</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgedAddressOffset</spirit:name> - <spirit:displayName>Bridged Address Offset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgesToMaster</spirit:name> - <spirit:displayName>Bridges to master</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstOnBurstBoundariesOnly</spirit:name> - <spirit:displayName>Burst on burst boundaries only</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstcountUnits</spirit:name> - <spirit:displayName>Burstcount units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="burstcountUnits">SYMBOLS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>constantBurstBehavior</spirit:name> - <spirit:displayName>Constant burst behavior</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>explicitAddressSpan</spirit:name> - <spirit:displayName>Explicit address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>holdTime</spirit:name> - <spirit:displayName>Hold</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>interleaveBursts</spirit:name> - <spirit:displayName>Interleave bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isBigEndian</spirit:name> - <spirit:displayName>Big endian</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isFlash</spirit:name> - <spirit:displayName>Flash memory</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isMemoryDevice</spirit:name> - <spirit:displayName>Memory device</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isNonVolatileStorage</spirit:name> - <spirit:displayName>Non-volatile storage</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>linewrapBursts</spirit:name> - <spirit:displayName>Linewrap bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingReadTransactions</spirit:name> - <spirit:displayName>Maximum pending read transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingWriteTransactions</spirit:name> - <spirit:displayName>Maximum pending write transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumReadLatency</spirit:name> - <spirit:displayName>minimumReadLatency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumResponseLatency</spirit:name> - <spirit:displayName>Minimum response latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumUninterruptedRunLength</spirit:name> - <spirit:displayName>Minimum uninterrupted run length</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>printableDevice</spirit:name> - <spirit:displayName>Can receive stdout/stderr</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="printableDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readLatency</spirit:name> - <spirit:displayName>Read latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readLatency">2</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitStates</spirit:name> - <spirit:displayName>Read wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitTime</spirit:name> - <spirit:displayName>Read wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerIncomingSignals</spirit:name> - <spirit:displayName>Register incoming signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerOutgoingSignals</spirit:name> - <spirit:displayName>Register outgoing signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setupTime</spirit:name> - <spirit:displayName>Setup</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>timingUnits</spirit:name> - <spirit:displayName>Timing units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>transparentBridge</spirit:name> - <spirit:displayName>Transparent bridge</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>waitrequestAllowance</spirit:name> - <spirit:displayName>Waitrequest allowance</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>wellBehavedWaitrequest</spirit:name> - <spirit:displayName>Well-behaved waitrequest</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeLatency</spirit:name> - <spirit:displayName>Write latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitStates</spirit:name> - <spirit:displayName>Write wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitTime</spirit:name> - <spirit:displayName>Write wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> +<ipxact:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact2014/extensions" xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"> + <ipxact:vendor>Intel Corporation</ipxact:vendor> + <ipxact:library>ip_arria10_e2sg_jesd204b_rx_reset_seq</ipxact:library> + <ipxact:name>reset_seq</ipxact:name> + <ipxact:version>19.1</ipxact:version> + <ipxact:busInterfaces> + <ipxact:busInterface> + <ipxact:name>clk</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="clock" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="clockRate" type="longint"> + <ipxact:name>clockRate</ipxact:name> + <ipxact:displayName>Clock rate</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="externallyDriven" type="bit"> + <ipxact:name>externallyDriven</ipxact:name> + <ipxact:displayName>Externally driven</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ptfSchematicName" type="string"> + <ipxact:name>ptfSchematicName</ipxact:name> + <ipxact:displayName>PTF schematic name</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>reset_in0</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="reset" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="reset" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>reset</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>reset_in0</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>Associated clock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="synchronousEdges" type="string"> + <ipxact:name>synchronousEdges</ipxact:name> + <ipxact:displayName>Synchronous edges</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>reset_out0</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="reset" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="reset" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>reset</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>reset_out0</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:master></ipxact:master> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>Associated clock</ipxact:displayName> + <ipxact:value>clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedDirectReset" type="string"> + <ipxact:name>associatedDirectReset</ipxact:name> + <ipxact:displayName>Associated direct reset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedResetSinks" type="string"> + <ipxact:name>associatedResetSinks</ipxact:name> + <ipxact:displayName>Associated reset sinks</ipxact:displayName> + <ipxact:value>reset_in0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="synchronousEdges" type="string"> + <ipxact:name>synchronousEdges</ipxact:name> + <ipxact:displayName>Synchronous edges</ipxact:displayName> + <ipxact:value>BOTH</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>reset_out1</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="reset" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="reset" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>reset</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>reset_out1</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:master></ipxact:master> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>Associated clock</ipxact:displayName> + <ipxact:value>clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedDirectReset" type="string"> + <ipxact:name>associatedDirectReset</ipxact:name> + <ipxact:displayName>Associated direct reset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedResetSinks" type="string"> + <ipxact:name>associatedResetSinks</ipxact:name> + <ipxact:displayName>Associated reset sinks</ipxact:displayName> + <ipxact:value>reset_in0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="synchronousEdges" type="string"> + <ipxact:name>synchronousEdges</ipxact:name> + <ipxact:displayName>Synchronous edges</ipxact:displayName> + <ipxact:value>BOTH</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>reset_out2</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="reset" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="reset" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>reset</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>reset_out2</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:master></ipxact:master> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>Associated clock</ipxact:displayName> + <ipxact:value>clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedDirectReset" type="string"> + <ipxact:name>associatedDirectReset</ipxact:name> + <ipxact:displayName>Associated direct reset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedResetSinks" type="string"> + <ipxact:name>associatedResetSinks</ipxact:name> + <ipxact:displayName>Associated reset sinks</ipxact:displayName> + <ipxact:value>reset_in0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="synchronousEdges" type="string"> + <ipxact:name>synchronousEdges</ipxact:name> + <ipxact:displayName>Synchronous edges</ipxact:displayName> + <ipxact:value>BOTH</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>reset_out3</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="reset" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="reset" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>reset</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>reset_out3</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:master></ipxact:master> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>Associated clock</ipxact:displayName> + <ipxact:value>clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedDirectReset" type="string"> + <ipxact:name>associatedDirectReset</ipxact:name> + <ipxact:displayName>Associated direct reset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedResetSinks" type="string"> + <ipxact:name>associatedResetSinks</ipxact:name> + <ipxact:displayName>Associated reset sinks</ipxact:displayName> + <ipxact:value>reset_in0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="synchronousEdges" type="string"> + <ipxact:name>synchronousEdges</ipxact:name> + <ipxact:displayName>Synchronous edges</ipxact:displayName> + <ipxact:value>BOTH</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>reset_out4</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="reset" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="reset" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>reset</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>reset_out4</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:master></ipxact:master> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>Associated clock</ipxact:displayName> + <ipxact:value>clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedDirectReset" type="string"> + <ipxact:name>associatedDirectReset</ipxact:name> + <ipxact:displayName>Associated direct reset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedResetSinks" type="string"> + <ipxact:name>associatedResetSinks</ipxact:name> + <ipxact:displayName>Associated reset sinks</ipxact:displayName> + <ipxact:value>reset_in0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="synchronousEdges" type="string"> + <ipxact:name>synchronousEdges</ipxact:name> + <ipxact:displayName>Synchronous edges</ipxact:displayName> + <ipxact:value>BOTH</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>reset_out5</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="reset" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="reset" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>reset</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>reset_out5</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:master></ipxact:master> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>Associated clock</ipxact:displayName> + <ipxact:value>clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedDirectReset" type="string"> + <ipxact:name>associatedDirectReset</ipxact:name> + <ipxact:displayName>Associated direct reset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedResetSinks" type="string"> + <ipxact:name>associatedResetSinks</ipxact:name> + <ipxact:displayName>Associated reset sinks</ipxact:displayName> + <ipxact:value>reset_in0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="synchronousEdges" type="string"> + <ipxact:name>synchronousEdges</ipxact:name> + <ipxact:displayName>Synchronous edges</ipxact:displayName> + <ipxact:value>BOTH</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>reset_out6</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="reset" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="reset" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>reset</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>reset_out6</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:master></ipxact:master> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>Associated clock</ipxact:displayName> + <ipxact:value>clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedDirectReset" type="string"> + <ipxact:name>associatedDirectReset</ipxact:name> + <ipxact:displayName>Associated direct reset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedResetSinks" type="string"> + <ipxact:name>associatedResetSinks</ipxact:name> + <ipxact:displayName>Associated reset sinks</ipxact:displayName> + <ipxact:value>reset_in0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="synchronousEdges" type="string"> + <ipxact:name>synchronousEdges</ipxact:name> + <ipxact:displayName>Synchronous edges</ipxact:displayName> + <ipxact:value>BOTH</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>reset_out7</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="reset" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="reset" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>reset</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>reset_out7</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:master></ipxact:master> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>Associated clock</ipxact:displayName> + <ipxact:value>clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedDirectReset" type="string"> + <ipxact:name>associatedDirectReset</ipxact:name> + <ipxact:displayName>Associated direct reset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedResetSinks" type="string"> + <ipxact:name>associatedResetSinks</ipxact:name> + <ipxact:displayName>Associated reset sinks</ipxact:displayName> + <ipxact:value>reset_in0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="synchronousEdges" type="string"> + <ipxact:name>synchronousEdges</ipxact:name> + <ipxact:displayName>Synchronous edges</ipxact:displayName> + <ipxact:value>BOTH</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>reset1_dsrt_qual</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>reset1_dsrt_qual</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>reset1_dsrt_qual</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>reset2_dsrt_qual</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>reset2_dsrt_qual</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>reset2_dsrt_qual</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>reset5_dsrt_qual</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>reset5_dsrt_qual</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>reset5_dsrt_qual</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>csr_reset</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="reset" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="reset" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>reset</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>csr_reset</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>Associated clock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="synchronousEdges" type="string"> + <ipxact:name>synchronousEdges</ipxact:name> + <ipxact:displayName>Synchronous edges</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>av_csr</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="avalon" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="avalon" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>address</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>av_address</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>readdata</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>av_readdata</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>read</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>av_read</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>writedata</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>av_writedata</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>write</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>av_write</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="addressAlignment" type="string"> + <ipxact:name>addressAlignment</ipxact:name> + <ipxact:displayName>Slave addressing</ipxact:displayName> + <ipxact:value>DYNAMIC</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="addressGroup" type="int"> + <ipxact:name>addressGroup</ipxact:name> + <ipxact:displayName>Address group</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="addressSpan" type="string"> + <ipxact:name>addressSpan</ipxact:name> + <ipxact:displayName>Address span</ipxact:displayName> + <ipxact:value>256</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="addressUnits" type="string"> + <ipxact:name>addressUnits</ipxact:name> + <ipxact:displayName>Address units</ipxact:displayName> + <ipxact:value>SYMBOLS</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="alwaysBurstMaxBurst" type="bit"> + <ipxact:name>alwaysBurstMaxBurst</ipxact:name> + <ipxact:displayName>Always burst maximum burst</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>Associated clock</ipxact:displayName> + <ipxact:value>clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>Associated reset</ipxact:displayName> + <ipxact:value>csr_reset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="bitsPerSymbol" type="int"> + <ipxact:name>bitsPerSymbol</ipxact:name> + <ipxact:displayName>Bits per symbol</ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="bridgedAddressOffset" type="string"> + <ipxact:name>bridgedAddressOffset</ipxact:name> + <ipxact:displayName>Bridged Address Offset</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="bridgesToMaster" type="string"> + <ipxact:name>bridgesToMaster</ipxact:name> + <ipxact:displayName>Bridges to master</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="burstOnBurstBoundariesOnly" type="bit"> + <ipxact:name>burstOnBurstBoundariesOnly</ipxact:name> + <ipxact:displayName>Burst on burst boundaries only</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="burstcountUnits" type="string"> + <ipxact:name>burstcountUnits</ipxact:name> + <ipxact:displayName>Burstcount units</ipxact:displayName> + <ipxact:value>SYMBOLS</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="constantBurstBehavior" type="bit"> + <ipxact:name>constantBurstBehavior</ipxact:name> + <ipxact:displayName>Constant burst behavior</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="explicitAddressSpan" type="string"> + <ipxact:name>explicitAddressSpan</ipxact:name> + <ipxact:displayName>Explicit address span</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="holdTime" type="int"> + <ipxact:name>holdTime</ipxact:name> + <ipxact:displayName>Hold</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="interleaveBursts" type="bit"> + <ipxact:name>interleaveBursts</ipxact:name> + <ipxact:displayName>Interleave bursts</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="isBigEndian" type="bit"> + <ipxact:name>isBigEndian</ipxact:name> + <ipxact:displayName>Big endian</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="isFlash" type="bit"> + <ipxact:name>isFlash</ipxact:name> + <ipxact:displayName>Flash memory</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="isMemoryDevice" type="bit"> + <ipxact:name>isMemoryDevice</ipxact:name> + <ipxact:displayName>Memory device</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="isNonVolatileStorage" type="bit"> + <ipxact:name>isNonVolatileStorage</ipxact:name> + <ipxact:displayName>Non-volatile storage</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="linewrapBursts" type="bit"> + <ipxact:name>linewrapBursts</ipxact:name> + <ipxact:displayName>Linewrap bursts</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="maximumPendingReadTransactions" type="int"> + <ipxact:name>maximumPendingReadTransactions</ipxact:name> + <ipxact:displayName>Maximum pending read transactions</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="maximumPendingWriteTransactions" type="int"> + <ipxact:name>maximumPendingWriteTransactions</ipxact:name> + <ipxact:displayName>Maximum pending write transactions</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="minimumReadLatency" type="int"> + <ipxact:name>minimumReadLatency</ipxact:name> + <ipxact:displayName>minimumReadLatency</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="minimumResponseLatency" type="int"> + <ipxact:name>minimumResponseLatency</ipxact:name> + <ipxact:displayName>Minimum response latency</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="minimumUninterruptedRunLength" type="int"> + <ipxact:name>minimumUninterruptedRunLength</ipxact:name> + <ipxact:displayName>Minimum uninterrupted run length</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="printableDevice" type="bit"> + <ipxact:name>printableDevice</ipxact:name> + <ipxact:displayName>Can receive stdout/stderr</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="readLatency" type="int"> + <ipxact:name>readLatency</ipxact:name> + <ipxact:displayName>Read latency</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="readWaitStates" type="int"> + <ipxact:name>readWaitStates</ipxact:name> + <ipxact:displayName>Read wait states</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="readWaitTime" type="int"> + <ipxact:name>readWaitTime</ipxact:name> + <ipxact:displayName>Read wait</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="registerIncomingSignals" type="bit"> + <ipxact:name>registerIncomingSignals</ipxact:name> + <ipxact:displayName>Register incoming signals</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="registerOutgoingSignals" type="bit"> + <ipxact:name>registerOutgoingSignals</ipxact:name> + <ipxact:displayName>Register outgoing signals</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="setupTime" type="int"> + <ipxact:name>setupTime</ipxact:name> + <ipxact:displayName>Setup</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="timingUnits" type="string"> + <ipxact:name>timingUnits</ipxact:name> + <ipxact:displayName>Timing units</ipxact:displayName> + <ipxact:value>Cycles</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="transparentBridge" type="bit"> + <ipxact:name>transparentBridge</ipxact:name> + <ipxact:displayName>Transparent bridge</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="waitrequestAllowance" type="int"> + <ipxact:name>waitrequestAllowance</ipxact:name> + <ipxact:displayName>Waitrequest allowance</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="wellBehavedWaitrequest" type="bit"> + <ipxact:name>wellBehavedWaitrequest</ipxact:name> + <ipxact:displayName>Well-behaved waitrequest</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="writeLatency" type="int"> + <ipxact:name>writeLatency</ipxact:name> + <ipxact:displayName>Write latency</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="writeWaitStates" type="int"> + <ipxact:name>writeWaitStates</ipxact:name> + <ipxact:displayName>Write wait states</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="writeWaitTime" type="int"> + <ipxact:name>writeWaitTime</ipxact:name> + <ipxact:displayName>Write wait</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isFlash</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">0</spirit:value> - </spirit:parameter> - </spirit:parameters> + <ipxact:parameters> + <ipxact:parameter parameterId="embeddedsw.configuration.isFlash" type="string"> + <ipxact:name>embeddedsw.configuration.isFlash</ipxact:name> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="embeddedsw.configuration.isMemoryDevice" type="string"> + <ipxact:name>embeddedsw.configuration.isMemoryDevice</ipxact:name> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="embeddedsw.configuration.isNonVolatileStorage" type="string"> + <ipxact:name>embeddedsw.configuration.isNonVolatileStorage</ipxact:name> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="embeddedsw.configuration.isPrintableDevice" type="string"> + <ipxact:name>embeddedsw.configuration.isPrintableDevice</ipxact:name> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>av_csr_irq</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="interrupt" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>irq</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>irq</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedAddressablePoint</spirit:name> - <spirit:displayName>Associated addressable interface</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedAddressablePoint">ip_arria10_e2sg_jesd204b_rx_reset_seq.av_csr</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">clk</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>Associated reset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset">csr_reset</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgedReceiverOffset</spirit:name> - <spirit:displayName>Bridged receiver offset</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="bridgedReceiverOffset">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgesToReceiver</spirit:name> - <spirit:displayName>Bridges to receiver</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgesToReceiver"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>irqScheme</spirit:name> - <spirit:displayName>Interrupt scheme</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="irqScheme">NONE</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>clk</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>clk</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>clk</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>clockRate</spirit:name> - <spirit:displayName>Clock rate</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>externallyDriven</spirit:name> - <spirit:displayName>Externally driven</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ptfSchematicName</spirit:name> - <spirit:displayName>PTF schematic name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>csr_reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>reset</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csr_reset</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>synchronousEdges</spirit:name> - <spirit:displayName>Synchronous edges</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="synchronousEdges">NONE</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>reset1_dsrt_qual</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>reset1_dsrt_qual</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>reset1_dsrt_qual</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>reset2_dsrt_qual</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>reset2_dsrt_qual</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>reset2_dsrt_qual</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>reset5_dsrt_qual</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>reset5_dsrt_qual</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>reset5_dsrt_qual</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>reset_in0</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>reset</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>reset_in0</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>synchronousEdges</spirit:name> - <spirit:displayName>Synchronous edges</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="synchronousEdges">NONE</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>reset_out0</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType> - <spirit:master></spirit:master> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>reset</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>reset_out0</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">clk</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedDirectReset</spirit:name> - <spirit:displayName>Associated direct reset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedDirectReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedResetSinks</spirit:name> - <spirit:displayName>Associated reset sinks</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedResetSinks">reset_in0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>synchronousEdges</spirit:name> - <spirit:displayName>Synchronous edges</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="synchronousEdges">BOTH</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>reset_out1</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType> - <spirit:master></spirit:master> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>reset</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>reset_out1</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">clk</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedDirectReset</spirit:name> - <spirit:displayName>Associated direct reset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedDirectReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedResetSinks</spirit:name> - <spirit:displayName>Associated reset sinks</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedResetSinks">reset_in0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>synchronousEdges</spirit:name> - <spirit:displayName>Synchronous edges</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="synchronousEdges">BOTH</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>reset_out2</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType> - <spirit:master></spirit:master> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>reset</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>reset_out2</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">clk</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedDirectReset</spirit:name> - <spirit:displayName>Associated direct reset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedDirectReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedResetSinks</spirit:name> - <spirit:displayName>Associated reset sinks</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedResetSinks">reset_in0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>synchronousEdges</spirit:name> - <spirit:displayName>Synchronous edges</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="synchronousEdges">BOTH</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>reset_out3</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType> - <spirit:master></spirit:master> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>reset</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>reset_out3</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">clk</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedDirectReset</spirit:name> - <spirit:displayName>Associated direct reset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedDirectReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedResetSinks</spirit:name> - <spirit:displayName>Associated reset sinks</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedResetSinks">reset_in0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>synchronousEdges</spirit:name> - <spirit:displayName>Synchronous edges</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="synchronousEdges">BOTH</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>reset_out4</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType> - <spirit:master></spirit:master> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>reset</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>reset_out4</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">clk</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedDirectReset</spirit:name> - <spirit:displayName>Associated direct reset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedDirectReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedResetSinks</spirit:name> - <spirit:displayName>Associated reset sinks</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedResetSinks">reset_in0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>synchronousEdges</spirit:name> - <spirit:displayName>Synchronous edges</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="synchronousEdges">BOTH</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>reset_out5</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType> - <spirit:master></spirit:master> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>reset</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>reset_out5</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">clk</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedDirectReset</spirit:name> - <spirit:displayName>Associated direct reset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedDirectReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedResetSinks</spirit:name> - <spirit:displayName>Associated reset sinks</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedResetSinks">reset_in0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>synchronousEdges</spirit:name> - <spirit:displayName>Synchronous edges</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="synchronousEdges">BOTH</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>reset_out6</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType> - <spirit:master></spirit:master> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>reset</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>reset_out6</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">clk</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedDirectReset</spirit:name> - <spirit:displayName>Associated direct reset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedDirectReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedResetSinks</spirit:name> - <spirit:displayName>Associated reset sinks</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedResetSinks">reset_in0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>synchronousEdges</spirit:name> - <spirit:displayName>Synchronous edges</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="synchronousEdges">BOTH</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>reset_out7</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType> - <spirit:master></spirit:master> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>reset</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>reset_out7</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">clk</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedDirectReset</spirit:name> - <spirit:displayName>Associated direct reset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedDirectReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedResetSinks</spirit:name> - <spirit:displayName>Associated reset sinks</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedResetSinks">reset_in0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>synchronousEdges</spirit:name> - <spirit:displayName>Synchronous edges</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="synchronousEdges">BOTH</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - </spirit:busInterfaces> - <spirit:model> - <spirit:views> - <spirit:view> - <spirit:name>QUARTUS_SYNTH</spirit:name> - <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier> - <spirit:modelName>altera_reset_sequencer</spirit:modelName> - <spirit:fileSetRef> - <spirit:localName>QUARTUS_SYNTH</spirit:localName> - </spirit:fileSetRef> - </spirit:view> - </spirit:views> - <spirit:ports> - <spirit:port> - <spirit:name>clk</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>reset_in0</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>reset_out0</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>reset_out1</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>reset_out2</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>reset_out3</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>reset_out4</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>reset_out5</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>reset_out6</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>reset_out7</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>reset1_dsrt_qual</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>reset2_dsrt_qual</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>reset5_dsrt_qual</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>csr_reset</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>av_address</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>7</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>av_readdata</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>av_read</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>av_writedata</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>av_write</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>irq</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - </spirit:ports> - </spirit:model> - <spirit:vendorExtensions> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>av_csr_irq</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="interrupt" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="interrupt" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>irq</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>irq</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedAddressablePoint" type="string"> + <ipxact:name>associatedAddressablePoint</ipxact:name> + <ipxact:displayName>Associated addressable interface</ipxact:displayName> + <ipxact:value>ip_arria10_e2sg_jesd204b_rx_reset_seq.av_csr</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>Associated clock</ipxact:displayName> + <ipxact:value>clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>Associated reset</ipxact:displayName> + <ipxact:value>csr_reset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="bridgedReceiverOffset" type="longint"> + <ipxact:name>bridgedReceiverOffset</ipxact:name> + <ipxact:displayName>Bridged receiver offset</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="bridgesToReceiver" type="string"> + <ipxact:name>bridgesToReceiver</ipxact:name> + <ipxact:displayName>Bridges to receiver</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="irqScheme" type="string"> + <ipxact:name>irqScheme</ipxact:name> + <ipxact:displayName>Interrupt scheme</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </ipxact:busInterface> + </ipxact:busInterfaces> + <ipxact:model> + <ipxact:views> + <ipxact:view> + <ipxact:name>QUARTUS_SYNTH</ipxact:name> + <ipxact:envIdentifier>:quartus.altera.com:</ipxact:envIdentifier> + <ipxact:componentInstantiationRef>QUARTUS_SYNTH</ipxact:componentInstantiationRef> + </ipxact:view> + </ipxact:views> + <ipxact:instantiations> + <ipxact:componentInstantiation> + <ipxact:name>QUARTUS_SYNTH</ipxact:name> + <ipxact:moduleName>altera_reset_sequencer</ipxact:moduleName> + <ipxact:fileSetRef> + <ipxact:localName>QUARTUS_SYNTH</ipxact:localName> + </ipxact:fileSetRef> + </ipxact:componentInstantiation> + </ipxact:instantiations> + <ipxact:ports> + <ipxact:port> + <ipxact:name>clk</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>reset_in0</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>reset_out0</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>reset_out1</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>reset_out2</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>reset_out3</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>reset_out4</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>reset_out5</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>reset_out6</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>reset_out7</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>reset1_dsrt_qual</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>reset2_dsrt_qual</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>reset5_dsrt_qual</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>csr_reset</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>av_address</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>7</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>av_readdata</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>31</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>av_read</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>av_writedata</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>31</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>av_write</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>irq</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + </ipxact:ports> + </ipxact:model> + <ipxact:vendorExtensions> <altera:entity_info> - <spirit:vendor>Intel Corporation</spirit:vendor> - <spirit:library>ip_arria10_e2sg_jesd204b_rx_reset_seq</spirit:library> - <spirit:name>altera_reset_sequencer</spirit:name> - <spirit:version>18.0</spirit:version> + <ipxact:vendor>Intel Corporation</ipxact:vendor> + <ipxact:library>ip_arria10_e2sg_jesd204b_rx_reset_seq</ipxact:library> + <ipxact:name>altera_reset_sequencer</ipxact:name> + <ipxact:version>19.1</ipxact:version> </altera:entity_info> <altera:altera_module_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>NUM_OUTPUTS</spirit:name> - <spirit:displayName>Number of reset outputs</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="NUM_OUTPUTS">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>NUM_INPUTS</spirit:name> - <spirit:displayName>Number of reset inputs</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="NUM_INPUTS">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ENABLE_RESET_REQUEST_INPUT</spirit:name> - <spirit:displayName>Enable reset request as input to sequencer</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="ENABLE_RESET_REQUEST_INPUT">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ENABLE_DEASSERTION_INPUT_QUAL</spirit:name> - <spirit:displayName>Bit-wise enable for input signal qualification</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="ENABLE_DEASSERTION_INPUT_QUAL">38</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ENABLE_ASSERTION_SEQUENCE</spirit:name> - <spirit:displayName>Enable reset assertion sequence</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="ENABLE_ASSERTION_SEQUENCE">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ENABLE_DEASSERTION_SEQUENCE</spirit:name> - <spirit:displayName>Enable reset de-assertion sequence</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="ENABLE_DEASSERTION_SEQUENCE">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>MIN_ASRT_TIME</spirit:name> - <spirit:displayName>Minimum reset assertion time</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="MIN_ASRT_TIME">20</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ASRT_DELAY0</spirit:name> - <spirit:displayName>Assertion Delay between reset_in to reset0</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="ASRT_DELAY0">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>DSRT_DELAY0</spirit:name> - <spirit:displayName>De-assertion Delay between reset_in to reset0</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="DSRT_DELAY0">2</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ASRT_REMAP0</spirit:name> - <spirit:displayName>reset_out0 assert sequence #</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="ASRT_REMAP0">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>DSRT_REMAP0</spirit:name> - <spirit:displayName>reset_out0 de-assert sequence #</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="DSRT_REMAP0">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>DSRT_QUALCNT_0</spirit:name> - <spirit:displayName>Deglitch count for de-assertion of reset0_drst_qual</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="DSRT_QUALCNT_0">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ASRT_DELAY1</spirit:name> - <spirit:displayName>Assertion Delay between reset0 to reset1</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="ASRT_DELAY1">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>DSRT_DELAY1</spirit:name> - <spirit:displayName>De-assertion Delay between reset0 to reset1</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="DSRT_DELAY1">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ASRT_REMAP1</spirit:name> - <spirit:displayName>reset_out1 assert sequence #</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="ASRT_REMAP1">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>DSRT_REMAP1</spirit:name> - <spirit:displayName>reset_out1 de-assert sequence #</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="DSRT_REMAP1">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>DSRT_QUALCNT_1</spirit:name> - <spirit:displayName>Deglitch count for de-assertion of reset1_drst_qual</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="DSRT_QUALCNT_1">2</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ASRT_DELAY2</spirit:name> - <spirit:displayName>Assertion Delay between reset1 to reset2</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="ASRT_DELAY2">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>DSRT_DELAY2</spirit:name> - <spirit:displayName>De-assertion Delay between reset1 to reset2</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="DSRT_DELAY2">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ASRT_REMAP2</spirit:name> - <spirit:displayName>reset_out2 assert sequence #</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="ASRT_REMAP2">2</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>DSRT_REMAP2</spirit:name> - <spirit:displayName>reset_out2 de-assert sequence #</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="DSRT_REMAP2">2</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>DSRT_QUALCNT_2</spirit:name> - <spirit:displayName>Deglitch count for de-assertion of reset2_drst_qual</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="DSRT_QUALCNT_2">2</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ASRT_DELAY3</spirit:name> - <spirit:displayName>Assertion Delay between reset2 to reset3</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="ASRT_DELAY3">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>DSRT_DELAY3</spirit:name> - <spirit:displayName>De-assertion Delay between reset2 to reset3</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="DSRT_DELAY3">20</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ASRT_REMAP3</spirit:name> - <spirit:displayName>reset_out3 assert sequence #</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="ASRT_REMAP3">3</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>DSRT_REMAP3</spirit:name> - <spirit:displayName>reset_out3 de-assert sequence #</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="DSRT_REMAP3">3</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>DSRT_QUALCNT_3</spirit:name> - <spirit:displayName>Deglitch count for de-assertion of reset3_drst_qual</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="DSRT_QUALCNT_3">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ASRT_DELAY4</spirit:name> - <spirit:displayName>Assertion Delay between reset3 to reset4</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="ASRT_DELAY4">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>DSRT_DELAY4</spirit:name> - <spirit:displayName>De-assertion Delay between reset3 to reset4</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="DSRT_DELAY4">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ASRT_REMAP4</spirit:name> - <spirit:displayName>reset_out4 assert sequence #</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="ASRT_REMAP4">4</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>DSRT_REMAP4</spirit:name> - <spirit:displayName>reset_out4 de-assert sequence #</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="DSRT_REMAP4">4</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>DSRT_QUALCNT_4</spirit:name> - <spirit:displayName>Deglitch count for de-assertion of reset4_drst_qual</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="DSRT_QUALCNT_4">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ASRT_DELAY5</spirit:name> - <spirit:displayName>Assertion Delay between reset4 to reset5</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="ASRT_DELAY5">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>DSRT_DELAY5</spirit:name> - <spirit:displayName>De-assertion Delay between reset4 to reset5</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="DSRT_DELAY5">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ASRT_REMAP5</spirit:name> - <spirit:displayName>reset_out5 assert sequence #</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="ASRT_REMAP5">5</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>DSRT_REMAP5</spirit:name> - <spirit:displayName>reset_out5 de-assert sequence #</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="DSRT_REMAP5">5</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>DSRT_QUALCNT_5</spirit:name> - <spirit:displayName>Deglitch count for de-assertion of reset5_drst_qual</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="DSRT_QUALCNT_5">2</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ASRT_DELAY6</spirit:name> - <spirit:displayName>Assertion Delay between reset5 to reset6</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="ASRT_DELAY6">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>DSRT_DELAY6</spirit:name> - <spirit:displayName>De-assertion Delay between reset5 to reset6</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="DSRT_DELAY6">20</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ASRT_REMAP6</spirit:name> - <spirit:displayName>reset_out6 assert sequence #</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="ASRT_REMAP6">6</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>DSRT_REMAP6</spirit:name> - <spirit:displayName>reset_out6 de-assert sequence #</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="DSRT_REMAP6">6</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>DSRT_QUALCNT_6</spirit:name> - <spirit:displayName>Deglitch count for de-assertion of reset6_drst_qual</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="DSRT_QUALCNT_6">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ASRT_DELAY7</spirit:name> - <spirit:displayName>Assertion Delay between reset6 to reset7</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="ASRT_DELAY7">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>DSRT_DELAY7</spirit:name> - <spirit:displayName>De-assertion Delay between reset6 to reset7</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="DSRT_DELAY7">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ASRT_REMAP7</spirit:name> - <spirit:displayName>reset_out7 assert sequence #</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="ASRT_REMAP7">7</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>DSRT_REMAP7</spirit:name> - <spirit:displayName>reset_out7 de-assert sequence #</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="DSRT_REMAP7">7</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>DSRT_QUALCNT_7</spirit:name> - <spirit:displayName>Deglitch count for de-assertion of reset7_drst_qual</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="DSRT_QUALCNT_7">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ASRT_DELAY8</spirit:name> - <spirit:displayName>Assertion Delay between reset7 to reset8</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="ASRT_DELAY8">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>DSRT_DELAY8</spirit:name> - <spirit:displayName>De-assertion Delay between reset7 to reset8</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="DSRT_DELAY8">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ASRT_REMAP8</spirit:name> - <spirit:displayName>reset_out8 assert sequence #</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="ASRT_REMAP8">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>DSRT_REMAP8</spirit:name> - <spirit:displayName>reset_out8 de-assert sequence #</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="DSRT_REMAP8">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>DSRT_QUALCNT_8</spirit:name> - <spirit:displayName>Deglitch count for de-assertion of reset8_drst_qual</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="DSRT_QUALCNT_8">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ASRT_DELAY9</spirit:name> - <spirit:displayName>Assertion Delay between reset8 to reset9</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="ASRT_DELAY9">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>DSRT_DELAY9</spirit:name> - <spirit:displayName>De-assertion Delay between reset8 to reset9</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="DSRT_DELAY9">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ASRT_REMAP9</spirit:name> - <spirit:displayName>reset_out9 assert sequence #</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="ASRT_REMAP9">9</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>DSRT_REMAP9</spirit:name> - <spirit:displayName>reset_out9 de-assert sequence #</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="DSRT_REMAP9">9</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>DSRT_QUALCNT_9</spirit:name> - <spirit:displayName>Deglitch count for de-assertion of reset9_drst_qual</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="DSRT_QUALCNT_9">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ENABLE_CSR</spirit:name> - <spirit:displayName>Enable Reset Sequencer CSR</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="ENABLE_CSR">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>RESET_OUT_NAME</spirit:name> - <spirit:displayName>reset_out#</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="RESET_OUT_NAME">reset_out0,reset_out1,reset_out2,reset_out3,reset_out4,reset_out5,reset_out6,reset_out7</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>LIST_ASRT_SEQ</spirit:name> - <spirit:displayName>ASRT Seq#</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="LIST_ASRT_SEQ">0,1,2,3,4,5,6,7,8,9</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>LIST_DSRT_SEQ</spirit:name> - <spirit:displayName>DSRT Seq #</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="LIST_DSRT_SEQ">0,1,2,3,4,5,6,7,8,9</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>LIST_ASRT_DELAY</spirit:name> - <spirit:displayName>ASRT Delay Cycle#</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="LIST_ASRT_DELAY">0,0,0,0,0,0,0,0,0,0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>LIST_DSRT_DELAY</spirit:name> - <spirit:displayName>DSRT Delay Cycle# / Deglitch#</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="LIST_DSRT_DELAY">2,2,2,20,0,2,20,0,0,0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>USE_DSRT_QUAL</spirit:name> - <spirit:displayName>USE_DSRT_QUAL</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="USE_DSRT_QUAL">0,1,1,0,0,1,0,0,0,0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ASRT_SEQ_MSG</spirit:name> - <spirit:displayName>Assertion Sequence</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="ASRT_SEQ_MSG">SEQUENCE DISABLED (All Delays are 0)</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>DSRT_SEQ_MSG</spirit:name> - <spirit:displayName>De-assertion Sequence</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="DSRT_SEQ_MSG"><![CDATA[reset_in_deasserted-> #2-> reset_out0 ->wait_dqual1-> reset_out1 ->wait_dqual2-> reset_out2 -> #20-> reset_out3 + reset_out4 ->wait_dqual5-> reset_out5 -> #20-> reset_out6 + reset_out7]]></spirit:value> - </spirit:parameter> - </spirit:parameters> + <ipxact:parameters> + <ipxact:parameter parameterId="NUM_OUTPUTS" type="int"> + <ipxact:name>NUM_OUTPUTS</ipxact:name> + <ipxact:displayName>Number of reset outputs</ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="NUM_INPUTS" type="int"> + <ipxact:name>NUM_INPUTS</ipxact:name> + <ipxact:displayName>Number of reset inputs</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ENABLE_RESET_REQUEST_INPUT" type="int"> + <ipxact:name>ENABLE_RESET_REQUEST_INPUT</ipxact:name> + <ipxact:displayName>Enable reset request as input to sequencer</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ENABLE_DEASSERTION_INPUT_QUAL" type="int"> + <ipxact:name>ENABLE_DEASSERTION_INPUT_QUAL</ipxact:name> + <ipxact:displayName>Bit-wise enable for input signal qualification</ipxact:displayName> + <ipxact:value>38</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ENABLE_ASSERTION_SEQUENCE" type="int"> + <ipxact:name>ENABLE_ASSERTION_SEQUENCE</ipxact:name> + <ipxact:displayName>Enable reset assertion sequence</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ENABLE_DEASSERTION_SEQUENCE" type="int"> + <ipxact:name>ENABLE_DEASSERTION_SEQUENCE</ipxact:name> + <ipxact:displayName>Enable reset de-assertion sequence</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MIN_ASRT_TIME" type="int"> + <ipxact:name>MIN_ASRT_TIME</ipxact:name> + <ipxact:displayName>Minimum reset assertion time</ipxact:displayName> + <ipxact:value>20</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ASRT_DELAY0" type="int"> + <ipxact:name>ASRT_DELAY0</ipxact:name> + <ipxact:displayName>Assertion Delay between reset_in to reset0</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DSRT_DELAY0" type="int"> + <ipxact:name>DSRT_DELAY0</ipxact:name> + <ipxact:displayName>De-assertion Delay between reset_in to reset0</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ASRT_REMAP0" type="int"> + <ipxact:name>ASRT_REMAP0</ipxact:name> + <ipxact:displayName>reset_out0 assert sequence #</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DSRT_REMAP0" type="int"> + <ipxact:name>DSRT_REMAP0</ipxact:name> + <ipxact:displayName>reset_out0 de-assert sequence #</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DSRT_QUALCNT_0" type="int"> + <ipxact:name>DSRT_QUALCNT_0</ipxact:name> + <ipxact:displayName>Deglitch count for de-assertion of reset0_drst_qual</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ASRT_DELAY1" type="int"> + <ipxact:name>ASRT_DELAY1</ipxact:name> + <ipxact:displayName>Assertion Delay between reset0 to reset1</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DSRT_DELAY1" type="int"> + <ipxact:name>DSRT_DELAY1</ipxact:name> + <ipxact:displayName>De-assertion Delay between reset0 to reset1</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ASRT_REMAP1" type="int"> + <ipxact:name>ASRT_REMAP1</ipxact:name> + <ipxact:displayName>reset_out1 assert sequence #</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DSRT_REMAP1" type="int"> + <ipxact:name>DSRT_REMAP1</ipxact:name> + <ipxact:displayName>reset_out1 de-assert sequence #</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DSRT_QUALCNT_1" type="int"> + <ipxact:name>DSRT_QUALCNT_1</ipxact:name> + <ipxact:displayName>Deglitch count for de-assertion of reset1_drst_qual</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ASRT_DELAY2" type="int"> + <ipxact:name>ASRT_DELAY2</ipxact:name> + <ipxact:displayName>Assertion Delay between reset1 to reset2</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DSRT_DELAY2" type="int"> + <ipxact:name>DSRT_DELAY2</ipxact:name> + <ipxact:displayName>De-assertion Delay between reset1 to reset2</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ASRT_REMAP2" type="int"> + <ipxact:name>ASRT_REMAP2</ipxact:name> + <ipxact:displayName>reset_out2 assert sequence #</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DSRT_REMAP2" type="int"> + <ipxact:name>DSRT_REMAP2</ipxact:name> + <ipxact:displayName>reset_out2 de-assert sequence #</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DSRT_QUALCNT_2" type="int"> + <ipxact:name>DSRT_QUALCNT_2</ipxact:name> + <ipxact:displayName>Deglitch count for de-assertion of reset2_drst_qual</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ASRT_DELAY3" type="int"> + <ipxact:name>ASRT_DELAY3</ipxact:name> + <ipxact:displayName>Assertion Delay between reset2 to reset3</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DSRT_DELAY3" type="int"> + <ipxact:name>DSRT_DELAY3</ipxact:name> + <ipxact:displayName>De-assertion Delay between reset2 to reset3</ipxact:displayName> + <ipxact:value>20</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ASRT_REMAP3" type="int"> + <ipxact:name>ASRT_REMAP3</ipxact:name> + <ipxact:displayName>reset_out3 assert sequence #</ipxact:displayName> + <ipxact:value>3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DSRT_REMAP3" type="int"> + <ipxact:name>DSRT_REMAP3</ipxact:name> + <ipxact:displayName>reset_out3 de-assert sequence #</ipxact:displayName> + <ipxact:value>3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DSRT_QUALCNT_3" type="int"> + <ipxact:name>DSRT_QUALCNT_3</ipxact:name> + <ipxact:displayName>Deglitch count for de-assertion of reset3_drst_qual</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ASRT_DELAY4" type="int"> + <ipxact:name>ASRT_DELAY4</ipxact:name> + <ipxact:displayName>Assertion Delay between reset3 to reset4</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DSRT_DELAY4" type="int"> + <ipxact:name>DSRT_DELAY4</ipxact:name> + <ipxact:displayName>De-assertion Delay between reset3 to reset4</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ASRT_REMAP4" type="int"> + <ipxact:name>ASRT_REMAP4</ipxact:name> + <ipxact:displayName>reset_out4 assert sequence #</ipxact:displayName> + <ipxact:value>4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DSRT_REMAP4" type="int"> + <ipxact:name>DSRT_REMAP4</ipxact:name> + <ipxact:displayName>reset_out4 de-assert sequence #</ipxact:displayName> + <ipxact:value>4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DSRT_QUALCNT_4" type="int"> + <ipxact:name>DSRT_QUALCNT_4</ipxact:name> + <ipxact:displayName>Deglitch count for de-assertion of reset4_drst_qual</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ASRT_DELAY5" type="int"> + <ipxact:name>ASRT_DELAY5</ipxact:name> + <ipxact:displayName>Assertion Delay between reset4 to reset5</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DSRT_DELAY5" type="int"> + <ipxact:name>DSRT_DELAY5</ipxact:name> + <ipxact:displayName>De-assertion Delay between reset4 to reset5</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ASRT_REMAP5" type="int"> + <ipxact:name>ASRT_REMAP5</ipxact:name> + <ipxact:displayName>reset_out5 assert sequence #</ipxact:displayName> + <ipxact:value>5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DSRT_REMAP5" type="int"> + <ipxact:name>DSRT_REMAP5</ipxact:name> + <ipxact:displayName>reset_out5 de-assert sequence #</ipxact:displayName> + <ipxact:value>5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DSRT_QUALCNT_5" type="int"> + <ipxact:name>DSRT_QUALCNT_5</ipxact:name> + <ipxact:displayName>Deglitch count for de-assertion of reset5_drst_qual</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ASRT_DELAY6" type="int"> + <ipxact:name>ASRT_DELAY6</ipxact:name> + <ipxact:displayName>Assertion Delay between reset5 to reset6</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DSRT_DELAY6" type="int"> + <ipxact:name>DSRT_DELAY6</ipxact:name> + <ipxact:displayName>De-assertion Delay between reset5 to reset6</ipxact:displayName> + <ipxact:value>20</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ASRT_REMAP6" type="int"> + <ipxact:name>ASRT_REMAP6</ipxact:name> + <ipxact:displayName>reset_out6 assert sequence #</ipxact:displayName> + <ipxact:value>6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DSRT_REMAP6" type="int"> + <ipxact:name>DSRT_REMAP6</ipxact:name> + <ipxact:displayName>reset_out6 de-assert sequence #</ipxact:displayName> + <ipxact:value>6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DSRT_QUALCNT_6" type="int"> + <ipxact:name>DSRT_QUALCNT_6</ipxact:name> + <ipxact:displayName>Deglitch count for de-assertion of reset6_drst_qual</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ASRT_DELAY7" type="int"> + <ipxact:name>ASRT_DELAY7</ipxact:name> + <ipxact:displayName>Assertion Delay between reset6 to reset7</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DSRT_DELAY7" type="int"> + <ipxact:name>DSRT_DELAY7</ipxact:name> + <ipxact:displayName>De-assertion Delay between reset6 to reset7</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ASRT_REMAP7" type="int"> + <ipxact:name>ASRT_REMAP7</ipxact:name> + <ipxact:displayName>reset_out7 assert sequence #</ipxact:displayName> + <ipxact:value>7</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DSRT_REMAP7" type="int"> + <ipxact:name>DSRT_REMAP7</ipxact:name> + <ipxact:displayName>reset_out7 de-assert sequence #</ipxact:displayName> + <ipxact:value>7</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DSRT_QUALCNT_7" type="int"> + <ipxact:name>DSRT_QUALCNT_7</ipxact:name> + <ipxact:displayName>Deglitch count for de-assertion of reset7_drst_qual</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ASRT_DELAY8" type="int"> + <ipxact:name>ASRT_DELAY8</ipxact:name> + <ipxact:displayName>Assertion Delay between reset7 to reset8</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DSRT_DELAY8" type="int"> + <ipxact:name>DSRT_DELAY8</ipxact:name> + <ipxact:displayName>De-assertion Delay between reset7 to reset8</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ASRT_REMAP8" type="int"> + <ipxact:name>ASRT_REMAP8</ipxact:name> + <ipxact:displayName>reset_out8 assert sequence #</ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DSRT_REMAP8" type="int"> + <ipxact:name>DSRT_REMAP8</ipxact:name> + <ipxact:displayName>reset_out8 de-assert sequence #</ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DSRT_QUALCNT_8" type="int"> + <ipxact:name>DSRT_QUALCNT_8</ipxact:name> + <ipxact:displayName>Deglitch count for de-assertion of reset8_drst_qual</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ASRT_DELAY9" type="int"> + <ipxact:name>ASRT_DELAY9</ipxact:name> + <ipxact:displayName>Assertion Delay between reset8 to reset9</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DSRT_DELAY9" type="int"> + <ipxact:name>DSRT_DELAY9</ipxact:name> + <ipxact:displayName>De-assertion Delay between reset8 to reset9</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ASRT_REMAP9" type="int"> + <ipxact:name>ASRT_REMAP9</ipxact:name> + <ipxact:displayName>reset_out9 assert sequence #</ipxact:displayName> + <ipxact:value>9</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DSRT_REMAP9" type="int"> + <ipxact:name>DSRT_REMAP9</ipxact:name> + <ipxact:displayName>reset_out9 de-assert sequence #</ipxact:displayName> + <ipxact:value>9</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DSRT_QUALCNT_9" type="int"> + <ipxact:name>DSRT_QUALCNT_9</ipxact:name> + <ipxact:displayName>Deglitch count for de-assertion of reset9_drst_qual</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ENABLE_CSR" type="int"> + <ipxact:name>ENABLE_CSR</ipxact:name> + <ipxact:displayName>Enable Reset Sequencer CSR</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="RESET_OUT_NAME" type="string"> + <ipxact:name>RESET_OUT_NAME</ipxact:name> + <ipxact:displayName>reset_out#</ipxact:displayName> + <ipxact:value>reset_out0,reset_out1,reset_out2,reset_out3,reset_out4,reset_out5,reset_out6,reset_out7</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="LIST_ASRT_SEQ" type="string"> + <ipxact:name>LIST_ASRT_SEQ</ipxact:name> + <ipxact:displayName>ASRT Seq#</ipxact:displayName> + <ipxact:value>0,1,2,3,4,5,6,7,8,9</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="LIST_DSRT_SEQ" type="string"> + <ipxact:name>LIST_DSRT_SEQ</ipxact:name> + <ipxact:displayName>DSRT Seq #</ipxact:displayName> + <ipxact:value>0,1,2,3,4,5,6,7,8,9</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="LIST_ASRT_DELAY" type="string"> + <ipxact:name>LIST_ASRT_DELAY</ipxact:name> + <ipxact:displayName>ASRT Delay Cycle#</ipxact:displayName> + <ipxact:value>0,0,0,0,0,0,0,0,0,0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="LIST_DSRT_DELAY" type="string"> + <ipxact:name>LIST_DSRT_DELAY</ipxact:name> + <ipxact:displayName>DSRT Delay Cycle# / Deglitch#</ipxact:displayName> + <ipxact:value>2,2,2,20,0,2,20,0,0,0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="USE_DSRT_QUAL" type="string"> + <ipxact:name>USE_DSRT_QUAL</ipxact:name> + <ipxact:displayName>USE_DSRT_QUAL</ipxact:displayName> + <ipxact:value>0,1,1,0,0,1,0,0,0,0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ASRT_SEQ_MSG" type="string"> + <ipxact:name>ASRT_SEQ_MSG</ipxact:name> + <ipxact:displayName>Assertion Sequence</ipxact:displayName> + <ipxact:value>SEQUENCE DISABLED (All Delays are 0)</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DSRT_SEQ_MSG" type="string"> + <ipxact:name>DSRT_SEQ_MSG</ipxact:name> + <ipxact:displayName>De-assertion Sequence</ipxact:displayName> + <ipxact:value>reset_in_deasserted-> #2-> reset_out0 ->wait_dqual1-> reset_out1 ->wait_dqual2-> reset_out2 -> #20-> reset_out3 + reset_out4 ->wait_dqual5-> reset_out5 -> #20-> reset_out6 + reset_out7</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> </altera:altera_module_parameters> <altera:altera_system_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>device</spirit:name> - <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U3F45E2SG</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceFamily</spirit:name> - <spirit:displayName>Device family</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceSpeedGrade</spirit:name> - <spirit:displayName>Device Speed Grade</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>generationId</spirit:name> - <spirit:displayName>Generation Id</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bonusData</spirit:name> - <spirit:displayName>bonusData</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bonusData">bonusData + <ipxact:parameters> + <ipxact:parameter parameterId="device" type="string"> + <ipxact:name>device</ipxact:name> + <ipxact:displayName>Device</ipxact:displayName> + <ipxact:value>10AX115U3F45E2SG</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="deviceFamily" type="string"> + <ipxact:name>deviceFamily</ipxact:name> + <ipxact:displayName>Device family</ipxact:displayName> + <ipxact:value>Arria 10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="deviceSpeedGrade" type="string"> + <ipxact:name>deviceSpeedGrade</ipxact:name> + <ipxact:displayName>Device Speed Grade</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="generationId" type="int"> + <ipxact:name>generationId</ipxact:name> + <ipxact:displayName>Generation Id</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="bonusData" type="string"> + <ipxact:name>bonusData</ipxact:name> + <ipxact:displayName>bonusData</ipxact:displayName> + <ipxact:value>bonusData { element reset_seq { @@ -1450,813 +1542,813 @@ } } } -</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hideFromIPCatalog</spirit:name> - <spirit:displayName>Hide from IP Catalog</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>lockedInterfaceDefinition</spirit:name> - <spirit:displayName>lockedInterfaceDefinition</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>av_csr</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>av_address</name> - <role>address</role> - <direction>Input</direction> - <width>8</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>av_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>av_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>av_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>av_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>256</value> - </entry> - <entry> - <key>addressUnits</key> - <value>SYMBOLS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>clk</value> - </entry> - <entry> - <key>associatedReset</key> - <value>csr_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>SYMBOLS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>2</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>av_csr_irq</name> - <type>interrupt</type> - <isStart>false</isStart> - <ports> - <port> - <name>irq</name> - <role>irq</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedAddressablePoint</key> - <value>altjesd_ss_RX_reset_seq.av_csr</value> - </entry> - <entry> - <key>associatedClock</key> - <value>clk</value> - </entry> - <entry> - <key>associatedReset</key> - <value>csr_reset</value> - </entry> - <entry> - <key>bridgedReceiverOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToReceiver</key> - </entry> - <entry> - <key>irqScheme</key> - <value>NONE</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>csr_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csr_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>synchronousEdges</key> - <value>NONE</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset1_dsrt_qual</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>reset1_dsrt_qual</name> - <role>reset1_dsrt_qual</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset2_dsrt_qual</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>reset2_dsrt_qual</name> - <role>reset2_dsrt_qual</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset5_dsrt_qual</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>reset5_dsrt_qual</name> - <role>reset5_dsrt_qual</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset_in0</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>reset_in0</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>synchronousEdges</key> - <value>NONE</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset_out0</name> - <type>reset</type> - <isStart>true</isStart> - <ports> - <port> - <name>reset_out0</name> - <role>reset</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>clk</value> - </entry> - <entry> - <key>associatedDirectReset</key> - </entry> - <entry> - <key>associatedResetSinks</key> - <value>reset_in0</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>BOTH</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset_out1</name> - <type>reset</type> - <isStart>true</isStart> - <ports> - <port> - <name>reset_out1</name> - <role>reset</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>clk</value> - </entry> - <entry> - <key>associatedDirectReset</key> - </entry> - <entry> - <key>associatedResetSinks</key> - <value>reset_in0</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>BOTH</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset_out2</name> - <type>reset</type> - <isStart>true</isStart> - <ports> - <port> - <name>reset_out2</name> - <role>reset</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>clk</value> - </entry> - <entry> - <key>associatedDirectReset</key> - </entry> - <entry> - <key>associatedResetSinks</key> - <value>reset_in0</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>BOTH</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset_out3</name> - <type>reset</type> - <isStart>true</isStart> - <ports> - <port> - <name>reset_out3</name> - <role>reset</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>clk</value> - </entry> - <entry> - <key>associatedDirectReset</key> - </entry> - <entry> - <key>associatedResetSinks</key> - <value>reset_in0</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>BOTH</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset_out4</name> - <type>reset</type> - <isStart>true</isStart> - <ports> - <port> - <name>reset_out4</name> - <role>reset</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>clk</value> - </entry> - <entry> - <key>associatedDirectReset</key> - </entry> - <entry> - <key>associatedResetSinks</key> - <value>reset_in0</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>BOTH</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset_out5</name> - <type>reset</type> - <isStart>true</isStart> - <ports> - <port> - <name>reset_out5</name> - <role>reset</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>clk</value> - </entry> - <entry> - <key>associatedDirectReset</key> - </entry> - <entry> - <key>associatedResetSinks</key> - <value>reset_in0</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>BOTH</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset_out6</name> - <type>reset</type> - <isStart>true</isStart> - <ports> - <port> - <name>reset_out6</name> - <role>reset</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>clk</value> - </entry> - <entry> - <key>associatedDirectReset</key> - </entry> - <entry> - <key>associatedResetSinks</key> - <value>reset_in0</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>BOTH</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset_out7</name> - <type>reset</type> - <isStart>true</isStart> - <ports> - <port> - <name>reset_out7</name> - <role>reset</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>clk</value> - </entry> - <entry> - <key>associatedDirectReset</key> - </entry> - <entry> - <key>associatedResetSinks</key> - <value>reset_in0</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>BOTH</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>systemInfos</spirit:name> - <spirit:displayName>systemInfos</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos> - <entry> - <key>av_csr</key> - <value> - <connectionPointName>av_csr</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='av_csr' start='0x0' end='0x100' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>8</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> -</systemInfosDefinition>]]></spirit:value> - </spirit:parameter> - </spirit:parameters> +</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hideFromIPCatalog" type="bit"> + <ipxact:name>hideFromIPCatalog</ipxact:name> + <ipxact:displayName>Hide from IP Catalog</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="lockedInterfaceDefinition" type="string"> + <ipxact:name>lockedInterfaceDefinition</ipxact:name> + <ipxact:displayName>lockedInterfaceDefinition</ipxact:displayName> + <ipxact:value><boundaryDefinition> + <interfaces> + <interface> + <name>av_csr</name> + <type>avalon</type> + <isStart>false</isStart> + <ports> + <port> + <name>av_address</name> + <role>address</role> + <direction>Input</direction> + <width>8</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC_VECTOR</vhdlType> + </port> + <port> + <name>av_readdata</name> + <role>readdata</role> + <direction>Output</direction> + <width>32</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC_VECTOR</vhdlType> + </port> + <port> + <name>av_read</name> + <role>read</role> + <direction>Input</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + </port> + <port> + <name>av_writedata</name> + <role>writedata</role> + <direction>Input</direction> + <width>32</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC_VECTOR</vhdlType> + </port> + <port> + <name>av_write</name> + <role>write</role> + <direction>Input</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + </port> + </ports> + <assignments> + <assignmentValueMap> + <entry> + <key>embeddedsw.configuration.isFlash</key> + <value>0</value> + </entry> + <entry> + <key>embeddedsw.configuration.isMemoryDevice</key> + <value>0</value> + </entry> + <entry> + <key>embeddedsw.configuration.isNonVolatileStorage</key> + <value>0</value> + </entry> + <entry> + <key>embeddedsw.configuration.isPrintableDevice</key> + <value>0</value> + </entry> + </assignmentValueMap> + </assignments> + <parameters> + <parameterValueMap> + <entry> + <key>addressAlignment</key> + <value>DYNAMIC</value> + </entry> + <entry> + <key>addressGroup</key> + <value>0</value> + </entry> + <entry> + <key>addressSpan</key> + <value>256</value> + </entry> + <entry> + <key>addressUnits</key> + <value>SYMBOLS</value> + </entry> + <entry> + <key>alwaysBurstMaxBurst</key> + <value>false</value> + </entry> + <entry> + <key>associatedClock</key> + <value>clk</value> + </entry> + <entry> + <key>associatedReset</key> + <value>csr_reset</value> + </entry> + <entry> + <key>bitsPerSymbol</key> + <value>8</value> + </entry> + <entry> + <key>bridgedAddressOffset</key> + <value>0</value> + </entry> + <entry> + <key>bridgesToMaster</key> + </entry> + <entry> + <key>burstOnBurstBoundariesOnly</key> + <value>false</value> + </entry> + <entry> + <key>burstcountUnits</key> + <value>SYMBOLS</value> + </entry> + <entry> + <key>constantBurstBehavior</key> + <value>false</value> + </entry> + <entry> + <key>explicitAddressSpan</key> + <value>0</value> + </entry> + <entry> + <key>holdTime</key> + <value>0</value> + </entry> + <entry> + <key>interleaveBursts</key> + <value>false</value> + </entry> + <entry> + <key>isBigEndian</key> + <value>false</value> + </entry> + <entry> + <key>isFlash</key> + <value>false</value> + </entry> + <entry> + <key>isMemoryDevice</key> + <value>false</value> + </entry> + <entry> + <key>isNonVolatileStorage</key> + <value>false</value> + </entry> + <entry> + <key>linewrapBursts</key> + <value>false</value> + </entry> + <entry> + <key>maximumPendingReadTransactions</key> + <value>0</value> + </entry> + <entry> + <key>maximumPendingWriteTransactions</key> + <value>0</value> + </entry> + <entry> + <key>minimumReadLatency</key> + <value>1</value> + </entry> + <entry> + <key>minimumResponseLatency</key> + <value>1</value> + </entry> + <entry> + <key>minimumUninterruptedRunLength</key> + <value>1</value> + </entry> + <entry> + <key>prSafe</key> + <value>false</value> + </entry> + <entry> + <key>printableDevice</key> + <value>false</value> + </entry> + <entry> + <key>readLatency</key> + <value>2</value> + </entry> + <entry> + <key>readWaitStates</key> + <value>0</value> + </entry> + <entry> + <key>readWaitTime</key> + <value>0</value> + </entry> + <entry> + <key>registerIncomingSignals</key> + <value>false</value> + </entry> + <entry> + <key>registerOutgoingSignals</key> + <value>false</value> + </entry> + <entry> + <key>setupTime</key> + <value>0</value> + </entry> + <entry> + <key>timingUnits</key> + <value>Cycles</value> + </entry> + <entry> + <key>transparentBridge</key> + <value>false</value> + </entry> + <entry> + <key>waitrequestAllowance</key> + <value>0</value> + </entry> + <entry> + <key>wellBehavedWaitrequest</key> + <value>false</value> + </entry> + <entry> + <key>writeLatency</key> + <value>0</value> + </entry> + <entry> + <key>writeWaitStates</key> + <value>0</value> + </entry> + <entry> + <key>writeWaitTime</key> + <value>0</value> + </entry> + </parameterValueMap> + </parameters> + </interface> + <interface> + <name>av_csr_irq</name> + <type>interrupt</type> + <isStart>false</isStart> + <ports> + <port> + <name>irq</name> + <role>irq</role> + <direction>Output</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + </port> + </ports> + <assignments> + <assignmentValueMap/> + </assignments> + <parameters> + <parameterValueMap> + <entry> + <key>associatedAddressablePoint</key> + <value>altjesd_ss_RX_reset_seq.av_csr</value> + </entry> + <entry> + <key>associatedClock</key> + <value>clk</value> + </entry> + <entry> + <key>associatedReset</key> + <value>csr_reset</value> + </entry> + <entry> + <key>bridgedReceiverOffset</key> + <value>0</value> + </entry> + <entry> + <key>bridgesToReceiver</key> + </entry> + <entry> + <key>irqScheme</key> + <value>NONE</value> + </entry> + </parameterValueMap> + </parameters> + </interface> + <interface> + <name>clk</name> + <type>clock</type> + <isStart>false</isStart> + <ports> + <port> + <name>clk</name> + <role>clk</role> + <direction>Input</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + </port> + </ports> + <assignments> + <assignmentValueMap/> + </assignments> + <parameters> + <parameterValueMap> + <entry> + <key>clockRate</key> + <value>0</value> + </entry> + <entry> + <key>externallyDriven</key> + <value>false</value> + </entry> + <entry> + <key>ptfSchematicName</key> + </entry> + </parameterValueMap> + </parameters> + </interface> + <interface> + <name>csr_reset</name> + <type>reset</type> + <isStart>false</isStart> + <ports> + <port> + <name>csr_reset</name> + <role>reset</role> + <direction>Input</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + </port> + </ports> + <assignments> + <assignmentValueMap/> + </assignments> + <parameters> + <parameterValueMap> + <entry> + <key>associatedClock</key> + </entry> + <entry> + <key>synchronousEdges</key> + <value>NONE</value> + </entry> + </parameterValueMap> + </parameters> + </interface> + <interface> + <name>reset1_dsrt_qual</name> + <type>conduit</type> + <isStart>false</isStart> + <ports> + <port> + <name>reset1_dsrt_qual</name> + <role>reset1_dsrt_qual</role> + <direction>Input</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + </port> + </ports> + <assignments> + <assignmentValueMap/> + </assignments> + <parameters> + <parameterValueMap> + <entry> + <key>associatedClock</key> + </entry> + <entry> + <key>associatedReset</key> + </entry> + <entry> + <key>prSafe</key> + <value>false</value> + </entry> + </parameterValueMap> + </parameters> + </interface> + <interface> + <name>reset2_dsrt_qual</name> + <type>conduit</type> + <isStart>false</isStart> + <ports> + <port> + <name>reset2_dsrt_qual</name> + <role>reset2_dsrt_qual</role> + <direction>Input</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + </port> + </ports> + <assignments> + <assignmentValueMap/> + </assignments> + <parameters> + <parameterValueMap> + <entry> + <key>associatedClock</key> + </entry> + <entry> + <key>associatedReset</key> + </entry> + <entry> + <key>prSafe</key> + <value>false</value> + </entry> + </parameterValueMap> + </parameters> + </interface> + <interface> + <name>reset5_dsrt_qual</name> + <type>conduit</type> + <isStart>false</isStart> + <ports> + <port> + <name>reset5_dsrt_qual</name> + <role>reset5_dsrt_qual</role> + <direction>Input</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + </port> + </ports> + <assignments> + <assignmentValueMap/> + </assignments> + <parameters> + <parameterValueMap> + <entry> + <key>associatedClock</key> + </entry> + <entry> + <key>associatedReset</key> + </entry> + <entry> + <key>prSafe</key> + <value>false</value> + </entry> + </parameterValueMap> + </parameters> + </interface> + <interface> + <name>reset_in0</name> + <type>reset</type> + <isStart>false</isStart> + <ports> + <port> + <name>reset_in0</name> + <role>reset</role> + <direction>Input</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + </port> + </ports> + <assignments> + <assignmentValueMap/> + </assignments> + <parameters> + <parameterValueMap> + <entry> + <key>associatedClock</key> + </entry> + <entry> + <key>synchronousEdges</key> + <value>NONE</value> + </entry> + </parameterValueMap> + </parameters> + </interface> + <interface> + <name>reset_out0</name> + <type>reset</type> + <isStart>true</isStart> + <ports> + <port> + <name>reset_out0</name> + <role>reset</role> + <direction>Output</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + </port> + </ports> + <assignments> + <assignmentValueMap/> + </assignments> + <parameters> + <parameterValueMap> + <entry> + <key>associatedClock</key> + <value>clk</value> + </entry> + <entry> + <key>associatedDirectReset</key> + </entry> + <entry> + <key>associatedResetSinks</key> + <value>reset_in0</value> + </entry> + <entry> + <key>synchronousEdges</key> + <value>BOTH</value> + </entry> + </parameterValueMap> + </parameters> + </interface> + <interface> + <name>reset_out1</name> + <type>reset</type> + <isStart>true</isStart> + <ports> + <port> + <name>reset_out1</name> + <role>reset</role> + <direction>Output</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + </port> + </ports> + <assignments> + <assignmentValueMap/> + </assignments> + <parameters> + <parameterValueMap> + <entry> + <key>associatedClock</key> + <value>clk</value> + </entry> + <entry> + <key>associatedDirectReset</key> + </entry> + <entry> + <key>associatedResetSinks</key> + <value>reset_in0</value> + </entry> + <entry> + <key>synchronousEdges</key> + <value>BOTH</value> + </entry> + </parameterValueMap> + </parameters> + </interface> + <interface> + <name>reset_out2</name> + <type>reset</type> + <isStart>true</isStart> + <ports> + <port> + <name>reset_out2</name> + <role>reset</role> + <direction>Output</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + </port> + </ports> + <assignments> + <assignmentValueMap/> + </assignments> + <parameters> + <parameterValueMap> + <entry> + <key>associatedClock</key> + <value>clk</value> + </entry> + <entry> + <key>associatedDirectReset</key> + </entry> + <entry> + <key>associatedResetSinks</key> + <value>reset_in0</value> + </entry> + <entry> + <key>synchronousEdges</key> + <value>BOTH</value> + </entry> + </parameterValueMap> + </parameters> + </interface> + <interface> + <name>reset_out3</name> + <type>reset</type> + <isStart>true</isStart> + <ports> + <port> + <name>reset_out3</name> + <role>reset</role> + <direction>Output</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + </port> + </ports> + <assignments> + <assignmentValueMap/> + </assignments> + <parameters> + <parameterValueMap> + <entry> + <key>associatedClock</key> + <value>clk</value> + </entry> + <entry> + <key>associatedDirectReset</key> + </entry> + <entry> + <key>associatedResetSinks</key> + <value>reset_in0</value> + </entry> + <entry> + <key>synchronousEdges</key> + <value>BOTH</value> + </entry> + </parameterValueMap> + </parameters> + </interface> + <interface> + <name>reset_out4</name> + <type>reset</type> + <isStart>true</isStart> + <ports> + <port> + <name>reset_out4</name> + <role>reset</role> + <direction>Output</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + </port> + </ports> + <assignments> + <assignmentValueMap/> + </assignments> + <parameters> + <parameterValueMap> + <entry> + <key>associatedClock</key> + <value>clk</value> + </entry> + <entry> + <key>associatedDirectReset</key> + </entry> + <entry> + <key>associatedResetSinks</key> + <value>reset_in0</value> + </entry> + <entry> + <key>synchronousEdges</key> + <value>BOTH</value> + </entry> + </parameterValueMap> + </parameters> + </interface> + <interface> + <name>reset_out5</name> + <type>reset</type> + <isStart>true</isStart> + <ports> + <port> + <name>reset_out5</name> + <role>reset</role> + <direction>Output</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + </port> + </ports> + <assignments> + <assignmentValueMap/> + </assignments> + <parameters> + <parameterValueMap> + <entry> + <key>associatedClock</key> + <value>clk</value> + </entry> + <entry> + <key>associatedDirectReset</key> + </entry> + <entry> + <key>associatedResetSinks</key> + <value>reset_in0</value> + </entry> + <entry> + <key>synchronousEdges</key> + <value>BOTH</value> + </entry> + </parameterValueMap> + </parameters> + </interface> + <interface> + <name>reset_out6</name> + <type>reset</type> + <isStart>true</isStart> + <ports> + <port> + <name>reset_out6</name> + <role>reset</role> + <direction>Output</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + </port> + </ports> + <assignments> + <assignmentValueMap/> + </assignments> + <parameters> + <parameterValueMap> + <entry> + <key>associatedClock</key> + <value>clk</value> + </entry> + <entry> + <key>associatedDirectReset</key> + </entry> + <entry> + <key>associatedResetSinks</key> + <value>reset_in0</value> + </entry> + <entry> + <key>synchronousEdges</key> + <value>BOTH</value> + </entry> + </parameterValueMap> + </parameters> + </interface> + <interface> + <name>reset_out7</name> + <type>reset</type> + <isStart>true</isStart> + <ports> + <port> + <name>reset_out7</name> + <role>reset</role> + <direction>Output</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + </port> + </ports> + <assignments> + <assignmentValueMap/> + </assignments> + <parameters> + <parameterValueMap> + <entry> + <key>associatedClock</key> + <value>clk</value> + </entry> + <entry> + <key>associatedDirectReset</key> + </entry> + <entry> + <key>associatedResetSinks</key> + <value>reset_in0</value> + </entry> + <entry> + <key>synchronousEdges</key> + <value>BOTH</value> + </entry> + </parameterValueMap> + </parameters> + </interface> + </interfaces> +</boundaryDefinition></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="systemInfos" type="string"> + <ipxact:name>systemInfos</ipxact:name> + <ipxact:displayName>systemInfos</ipxact:displayName> + <ipxact:value><systemInfosDefinition> + <connPtSystemInfos> + <entry> + <key>av_csr</key> + <value> + <connectionPointName>av_csr</connectionPointName> + <suppliedSystemInfos/> + <consumedSystemInfos> + <entry> + <key>ADDRESS_MAP</key> + <value>&lt;address-map&gt;&lt;slave name='av_csr' start='0x0' end='0x100' datawidth='32' /&gt;&lt;/address-map&gt;</value> + </entry> + <entry> + <key>ADDRESS_WIDTH</key> + <value>8</value> + </entry> + <entry> + <key>MAX_SLAVE_DATA_WIDTH</key> + <value>32</value> + </entry> + </consumedSystemInfos> + </value> + </entry> + </connPtSystemInfos> +</systemInfosDefinition></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> </altera:altera_system_parameters> <altera:altera_interface_boundary> <altera:interface_mapping altera:name="av_csr" altera:internal="reset_seq.av_csr" altera:type="avalon" altera:dir="end"> @@ -2314,5 +2406,5 @@ </altera:altera_interface_boundary> <altera:altera_has_warnings>false</altera:altera_has_warnings> <altera:altera_has_errors>false</altera:altera_has_errors> - </spirit:vendorExtensions> -</spirit:component> \ No newline at end of file + </ipxact:vendorExtensions> +</ipxact:component> \ No newline at end of file diff --git a/libraries/technology/ip_arria10_e2sg/jesd204b/ip_arria10_e2sg_jesd204b_rx_reset_seq.qsys b/libraries/technology/ip_arria10_e2sg/jesd204b/ip_arria10_e2sg_jesd204b_rx_reset_seq.qsys deleted file mode 100644 index 8e3e83dad5..0000000000 --- a/libraries/technology/ip_arria10_e2sg/jesd204b/ip_arria10_e2sg_jesd204b_rx_reset_seq.qsys +++ /dev/null @@ -1,1740 +0,0 @@ -<?xml version="1.0" encoding="UTF-8"?> -<system name="ip_arria10_e2sg_jesd204b_rx_reset_seq"> - <component - name="$${FILENAME}" - displayName="$${FILENAME}" - version="1.0" - description="" - tags="" - categories="System" - tool="QsysPro" /> - <parameter name="bonusData"><![CDATA[bonusData -{ - element $system - { - } - element reset_sequencer_0 - { - datum _sortIndex - { - value = "0"; - type = "int"; - } - } -} -]]></parameter> - <parameter name="device" value="10AX115U3F45E2SG" /> - <parameter name="deviceFamily" value="Arria 10" /> - <parameter name="deviceSpeedGrade" value="2" /> - <parameter name="fabricMode" value="QSYS" /> - <parameter name="generateLegacySim" value="false" /> - <parameter name="generationId" value="0" /> - <parameter name="globalResetBus" value="false" /> - <parameter name="hdlLanguage" value="VERILOG" /> - <parameter name="hideFromIPCatalog" value="false" /> - <parameter name="lockedInterfaceDefinition" value="" /> - <parameter name="sopcBorderPoints" value="false" /> - <parameter name="systemHash" value="0" /> - <parameter name="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos> - <entry> - <key>av_csr</key> - <value> - <connectionPointName>av_csr</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='reset_sequencer_0.av_csr' start='0x0' end='0x100' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>8</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> -</systemInfosDefinition>]]></parameter> - <parameter name="systemScripts" value="" /> - <parameter name="testBenchDutName" value="" /> - <parameter name="timeStamp" value="0" /> - <parameter name="useTestBenchNamingPattern" value="false" /> - <instanceScript></instanceScript> - <interface - name="av_csr" - internal="reset_sequencer_0.av_csr" - type="avalon" - dir="end" /> - <interface name="clk" internal="reset_sequencer_0.clk" type="clock" dir="end" /> - <interface - name="csr_reset" - internal="reset_sequencer_0.csr_reset" - type="reset" - dir="end" /> - <interface - name="irq" - internal="reset_sequencer_0.av_csr_irq" - type="interrupt" - dir="end" /> - <interface - name="reset1_dsrt_qual" - internal="reset_sequencer_0.reset1_dsrt_qual" - type="conduit" - dir="end" /> - <interface - name="reset2_dsrt_qual" - internal="reset_sequencer_0.reset2_dsrt_qual" - type="conduit" - dir="end" /> - <interface - name="reset5_dsrt_qual" - internal="reset_sequencer_0.reset5_dsrt_qual" - type="conduit" - dir="end" /> - <interface - name="reset_in0" - internal="reset_sequencer_0.reset_in0" - type="reset" - dir="end" /> - <interface - name="reset_out0" - internal="reset_sequencer_0.reset_out0" - type="reset" - dir="start" /> - <interface - name="reset_out1" - internal="reset_sequencer_0.reset_out1" - type="reset" - dir="start" /> - <interface - name="reset_out2" - internal="reset_sequencer_0.reset_out2" - type="reset" - dir="start" /> - <interface - name="reset_out3" - internal="reset_sequencer_0.reset_out3" - type="reset" - dir="start" /> - <interface - name="reset_out4" - internal="reset_sequencer_0.reset_out4" - type="reset" - dir="start" /> - <interface - name="reset_out5" - internal="reset_sequencer_0.reset_out5" - type="reset" - dir="start" /> - <interface - name="reset_out6" - internal="reset_sequencer_0.reset_out6" - type="reset" - dir="start" /> - <interface - name="reset_out7" - internal="reset_sequencer_0.reset_out7" - type="reset" - dir="start" /> - <module - name="reset_sequencer_0" - kind="altera_generic_component" - version="1.0" - enabled="1"> - <parameter name="componentDefinition"><![CDATA[<componentDefinition> - <boundary> - <interfaces> - <interface> - <name>av_csr</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>av_address</name> - <role>address</role> - <direction>Input</direction> - <width>8</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>av_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>av_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>av_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>av_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>256</value> - </entry> - <entry> - <key>addressUnits</key> - <value>SYMBOLS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>clk</value> - </entry> - <entry> - <key>associatedReset</key> - <value>csr_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>SYMBOLS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>2</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>av_csr_irq</name> - <type>interrupt</type> - <isStart>false</isStart> - <ports> - <port> - <name>irq</name> - <role>irq</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedAddressablePoint</key> - <value>reset_sequencer_0.av_csr</value> - </entry> - <entry> - <key>associatedClock</key> - <value>clk</value> - </entry> - <entry> - <key>associatedReset</key> - <value>csr_reset</value> - </entry> - <entry> - <key>bridgedReceiverOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToReceiver</key> - </entry> - <entry> - <key>irqScheme</key> - <value>NONE</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>csr_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csr_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>synchronousEdges</key> - <value>NONE</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset1_dsrt_qual</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>reset1_dsrt_qual</name> - <role>reset1_dsrt_qual</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset2_dsrt_qual</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>reset2_dsrt_qual</name> - <role>reset2_dsrt_qual</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset5_dsrt_qual</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>reset5_dsrt_qual</name> - <role>reset5_dsrt_qual</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset_in0</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>reset_in0</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>synchronousEdges</key> - <value>NONE</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset_out0</name> - <type>reset</type> - <isStart>true</isStart> - <ports> - <port> - <name>reset_out0</name> - <role>reset</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>clk</value> - </entry> - <entry> - <key>associatedDirectReset</key> - </entry> - <entry> - <key>associatedResetSinks</key> - <value>reset_in0</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>BOTH</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset_out1</name> - <type>reset</type> - <isStart>true</isStart> - <ports> - <port> - <name>reset_out1</name> - <role>reset</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>clk</value> - </entry> - <entry> - <key>associatedDirectReset</key> - </entry> - <entry> - <key>associatedResetSinks</key> - <value>reset_in0</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>BOTH</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset_out2</name> - <type>reset</type> - <isStart>true</isStart> - <ports> - <port> - <name>reset_out2</name> - <role>reset</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>clk</value> - </entry> - <entry> - <key>associatedDirectReset</key> - </entry> - <entry> - <key>associatedResetSinks</key> - <value>reset_in0</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>BOTH</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset_out3</name> - <type>reset</type> - <isStart>true</isStart> - <ports> - <port> - <name>reset_out3</name> - <role>reset</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>clk</value> - </entry> - <entry> - <key>associatedDirectReset</key> - </entry> - <entry> - <key>associatedResetSinks</key> - <value>reset_in0</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>BOTH</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset_out4</name> - <type>reset</type> - <isStart>true</isStart> - <ports> - <port> - <name>reset_out4</name> - <role>reset</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>clk</value> - </entry> - <entry> - <key>associatedDirectReset</key> - </entry> - <entry> - <key>associatedResetSinks</key> - <value>reset_in0</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>BOTH</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset_out5</name> - <type>reset</type> - <isStart>true</isStart> - <ports> - <port> - <name>reset_out5</name> - <role>reset</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>clk</value> - </entry> - <entry> - <key>associatedDirectReset</key> - </entry> - <entry> - <key>associatedResetSinks</key> - <value>reset_in0</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>BOTH</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset_out6</name> - <type>reset</type> - <isStart>true</isStart> - <ports> - <port> - <name>reset_out6</name> - <role>reset</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>clk</value> - </entry> - <entry> - <key>associatedDirectReset</key> - </entry> - <entry> - <key>associatedResetSinks</key> - <value>reset_in0</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>BOTH</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset_out7</name> - <type>reset</type> - <isStart>true</isStart> - <ports> - <port> - <name>reset_out7</name> - <role>reset</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>clk</value> - </entry> - <entry> - <key>associatedDirectReset</key> - </entry> - <entry> - <key>associatedResetSinks</key> - <value>reset_in0</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>BOTH</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> - </boundary> - <originalModuleInfo> - <className>altera_reset_sequencer</className> - <version>19.1</version> - <displayName>Reset Sequencer Intel FPGA IP</displayName> - </originalModuleInfo> - <systemInfoParameterDescriptors> - <descriptors/> - </systemInfoParameterDescriptors> - <systemInfos> - <connPtSystemInfos> - <entry> - <key>av_csr</key> - <value> - <connectionPointName>av_csr</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='av_csr' start='0x0' end='0x100' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>8</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - </connPtSystemInfos> - </systemInfos> -</componentDefinition>]]></parameter> - <parameter name="defaultBoundary"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>clk</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset_in0</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>reset_in0</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>synchronousEdges</key> - <value>NONE</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset_out0</name> - <type>reset</type> - <isStart>true</isStart> - <ports> - <port> - <name>reset_out0</name> - <role>reset</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>clk</value> - </entry> - <entry> - <key>associatedDirectReset</key> - </entry> - <entry> - <key>associatedResetSinks</key> - <value>reset_in0</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>BOTH</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset_out1</name> - <type>reset</type> - <isStart>true</isStart> - <ports> - <port> - <name>reset_out1</name> - <role>reset</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>clk</value> - </entry> - <entry> - <key>associatedDirectReset</key> - </entry> - <entry> - <key>associatedResetSinks</key> - <value>reset_in0</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>BOTH</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset_out2</name> - <type>reset</type> - <isStart>true</isStart> - <ports> - <port> - <name>reset_out2</name> - <role>reset</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>clk</value> - </entry> - <entry> - <key>associatedDirectReset</key> - </entry> - <entry> - <key>associatedResetSinks</key> - <value>reset_in0</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>BOTH</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset_out3</name> - <type>reset</type> - <isStart>true</isStart> - <ports> - <port> - <name>reset_out3</name> - <role>reset</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>clk</value> - </entry> - <entry> - <key>associatedDirectReset</key> - </entry> - <entry> - <key>associatedResetSinks</key> - <value>reset_in0</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>BOTH</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset_out4</name> - <type>reset</type> - <isStart>true</isStart> - <ports> - <port> - <name>reset_out4</name> - <role>reset</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>clk</value> - </entry> - <entry> - <key>associatedDirectReset</key> - </entry> - <entry> - <key>associatedResetSinks</key> - <value>reset_in0</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>BOTH</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset_out5</name> - <type>reset</type> - <isStart>true</isStart> - <ports> - <port> - <name>reset_out5</name> - <role>reset</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>clk</value> - </entry> - <entry> - <key>associatedDirectReset</key> - </entry> - <entry> - <key>associatedResetSinks</key> - <value>reset_in0</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>BOTH</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset_out6</name> - <type>reset</type> - <isStart>true</isStart> - <ports> - <port> - <name>reset_out6</name> - <role>reset</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>clk</value> - </entry> - <entry> - <key>associatedDirectReset</key> - </entry> - <entry> - <key>associatedResetSinks</key> - <value>reset_in0</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>BOTH</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset_out7</name> - <type>reset</type> - <isStart>true</isStart> - <ports> - <port> - <name>reset_out7</name> - <role>reset</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>clk</value> - </entry> - <entry> - <key>associatedDirectReset</key> - </entry> - <entry> - <key>associatedResetSinks</key> - <value>reset_in0</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>BOTH</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset1_dsrt_qual</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>reset1_dsrt_qual</name> - <role>reset1_dsrt_qual</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset2_dsrt_qual</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>reset2_dsrt_qual</name> - <role>reset2_dsrt_qual</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset5_dsrt_qual</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>reset5_dsrt_qual</name> - <role>reset5_dsrt_qual</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>csr_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csr_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>synchronousEdges</key> - <value>NONE</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>av_csr</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>av_address</name> - <role>address</role> - <direction>Input</direction> - <width>8</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>av_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>av_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>av_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>av_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>false</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>256</value> - </entry> - <entry> - <key>addressUnits</key> - <value>SYMBOLS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>clk</value> - </entry> - <entry> - <key>associatedReset</key> - <value>csr_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>SYMBOLS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>2</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>av_csr_irq</name> - <type>interrupt</type> - <isStart>false</isStart> - <ports> - <port> - <name>irq</name> - <role>irq</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedAddressablePoint</key> - <value>reset_seq.av_csr</value> - </entry> - <entry> - <key>associatedClock</key> - <value>clk</value> - </entry> - <entry> - <key>associatedReset</key> - <value>csr_reset</value> - </entry> - <entry> - <key>bridgedReceiverOffset</key> - </entry> - <entry> - <key>bridgesToReceiver</key> - </entry> - <entry> - <key>irqScheme</key> - <value>NONE</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></parameter> - <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>ip_arria10_e2sg_jesd204b_rx_reset_seq</hdlLibraryName> - <fileSets> - <fileSet> - <fileSetName>ip_arria10_e2sg_jesd204b_rx_reset_seq</fileSetName> - <fileSetFixedName>ip_arria10_e2sg_jesd204b_rx_reset_seq</fileSetFixedName> - <fileSetKind>QUARTUS_SYNTH</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>ip_arria10_e2sg_jesd204b_rx_reset_seq</fileSetName> - <fileSetFixedName>ip_arria10_e2sg_jesd204b_rx_reset_seq</fileSetFixedName> - <fileSetKind>SIM_VERILOG</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>ip_arria10_e2sg_jesd204b_rx_reset_seq</fileSetName> - <fileSetFixedName>ip_arria10_e2sg_jesd204b_rx_reset_seq</fileSetFixedName> - <fileSetKind>SIM_VHDL</fileSetKind> - <fileSetFiles/> - </fileSet> - </fileSets> -</generationInfoDefinition>]]></parameter> - <parameter name="hlsFile" value="" /> - <parameter name="logicalView">/home/hargreaves/git/hdl/libraries/technology/ip_arria10_e2sg/jesd204b/ip_arria10_e2sg_jesd204b_rx_reset_seq.ip</parameter> - <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> - <assignmentValueMap/> -</assignmentDefinition>]]></parameter> - <parameter name="svInterfaceDefinition" value="" /> - </module> -</system> diff --git a/libraries/technology/ip_arria10_e2sg/jesd204b/ip_arria10_e2sg_jesd204b_rx_xcvr_reset_control_12.ip b/libraries/technology/ip_arria10_e2sg/jesd204b/ip_arria10_e2sg_jesd204b_rx_xcvr_reset_control_12.ip index 918b5bc3bf..a433881dd9 100644 --- a/libraries/technology/ip_arria10_e2sg/jesd204b/ip_arria10_e2sg_jesd204b_rx_xcvr_reset_control_12.ip +++ b/libraries/technology/ip_arria10_e2sg/jesd204b/ip_arria10_e2sg_jesd204b_rx_xcvr_reset_control_12.ip @@ -1,600 +1,651 @@ <?xml version="1.0" ?> -<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"> - <spirit:vendor>Intel Corporation</spirit:vendor> - <spirit:library>ip_arria10_e2sg_jesd204b_rx_xcvr_reset_control_12</spirit:library> - <spirit:name>xcvr_reset_control_0</spirit:name> - <spirit:version>18.0</spirit:version> - <spirit:busInterfaces> - <spirit:busInterface> - <spirit:name>clock</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>clk</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>clock</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>clockRate</spirit:name> - <spirit:displayName>Clock rate</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>externallyDriven</spirit:name> - <spirit:displayName>Externally driven</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ptfSchematicName</spirit:name> - <spirit:displayName>PTF schematic name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>reset</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>reset</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>synchronousEdges</spirit:name> - <spirit:displayName>Synchronous edges</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="synchronousEdges">NONE</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>rx_analogreset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>rx_analogreset</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>rx_analogreset</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> +<ipxact:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact2014/extensions" xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"> + <ipxact:vendor>Intel Corporation</ipxact:vendor> + <ipxact:library>ip_arria10_e2sg_jesd204b_rx_xcvr_reset_control_12</ipxact:library> + <ipxact:name>xcvr_reset_control_0</ipxact:name> + <ipxact:version>19.1</ipxact:version> + <ipxact:busInterfaces> + <ipxact:busInterface> + <ipxact:name>clock</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="clock" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>clock</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="clockRate" type="longint"> + <ipxact:name>clockRate</ipxact:name> + <ipxact:displayName>Clock rate</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="externallyDriven" type="bit"> + <ipxact:name>externallyDriven</ipxact:name> + <ipxact:displayName>Externally driven</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ptfSchematicName" type="string"> + <ipxact:name>ptfSchematicName</ipxact:name> + <ipxact:displayName>PTF schematic name</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>reset</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="reset" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="reset" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>reset</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>reset</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>Associated clock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="synchronousEdges" type="string"> + <ipxact:name>synchronousEdges</ipxact:name> + <ipxact:displayName>Synchronous edges</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_analogreset</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_analogreset</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_analogreset</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>ui.blockdiagram.direction</spirit:name> - <spirit:value spirit:format="string" spirit:id="ui.blockdiagram.direction">output</spirit:value> - </spirit:parameter> - </spirit:parameters> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>rx_cal_busy</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>rx_cal_busy</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>rx_cal_busy</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_digitalreset</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_digitalreset</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_digitalreset</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>ui.blockdiagram.direction</spirit:name> - <spirit:value spirit:format="string" spirit:id="ui.blockdiagram.direction">input</spirit:value> - </spirit:parameter> - </spirit:parameters> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>rx_digitalreset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>rx_digitalreset</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>rx_digitalreset</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_ready</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_ready</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_ready</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>ui.blockdiagram.direction</spirit:name> - <spirit:value spirit:format="string" spirit:id="ui.blockdiagram.direction">output</spirit:value> - </spirit:parameter> - </spirit:parameters> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>rx_is_lockedtodata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>rx_is_lockedtodata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>rx_is_lockedtodata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_is_lockedtodata</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_is_lockedtodata</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_is_lockedtodata</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>ui.blockdiagram.direction</spirit:name> - <spirit:value spirit:format="string" spirit:id="ui.blockdiagram.direction">input</spirit:value> - </spirit:parameter> - </spirit:parameters> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>rx_ready</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>rx_ready</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>rx_ready</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_cal_busy</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_cal_busy</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_cal_busy</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>ui.blockdiagram.direction</spirit:name> - <spirit:value spirit:format="string" spirit:id="ui.blockdiagram.direction">output</spirit:value> - </spirit:parameter> - </spirit:parameters> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - </spirit:busInterfaces> - <spirit:model> - <spirit:views> - <spirit:view> - <spirit:name>QUARTUS_SYNTH</spirit:name> - <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier> - <spirit:modelName>altera_xcvr_reset_control</spirit:modelName> - <spirit:fileSetRef> - <spirit:localName>QUARTUS_SYNTH</spirit:localName> - </spirit:fileSetRef> - </spirit:view> - </spirit:views> - <spirit:ports> - <spirit:port> - <spirit:name>clock</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>reset</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>rx_analogreset</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>11</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>rx_digitalreset</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>11</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>rx_ready</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>11</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>rx_is_lockedtodata</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>11</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>rx_cal_busy</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>11</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - </spirit:ports> - </spirit:model> - <spirit:vendorExtensions> + </ipxact:vendorExtensions> + </ipxact:busInterface> + </ipxact:busInterfaces> + <ipxact:model> + <ipxact:views> + <ipxact:view> + <ipxact:name>QUARTUS_SYNTH</ipxact:name> + <ipxact:envIdentifier>:quartus.altera.com:</ipxact:envIdentifier> + <ipxact:componentInstantiationRef>QUARTUS_SYNTH</ipxact:componentInstantiationRef> + </ipxact:view> + </ipxact:views> + <ipxact:instantiations> + <ipxact:componentInstantiation> + <ipxact:name>QUARTUS_SYNTH</ipxact:name> + <ipxact:moduleName>altera_xcvr_reset_control</ipxact:moduleName> + <ipxact:fileSetRef> + <ipxact:localName>QUARTUS_SYNTH</ipxact:localName> + </ipxact:fileSetRef> + </ipxact:componentInstantiation> + </ipxact:instantiations> + <ipxact:ports> + <ipxact:port> + <ipxact:name>clock</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>reset</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_analogreset</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>11</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_digitalreset</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>11</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_ready</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>11</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_is_lockedtodata</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>11</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_cal_busy</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>11</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + </ipxact:ports> + </ipxact:model> + <ipxact:vendorExtensions> <altera:entity_info> - <spirit:vendor>Intel Corporation</spirit:vendor> - <spirit:library>ip_arria10_e2sg_jesd204b_rx_xcvr_reset_control_12</spirit:library> - <spirit:name>altera_xcvr_reset_control</spirit:name> - <spirit:version>18.0</spirit:version> + <ipxact:vendor>Intel Corporation</ipxact:vendor> + <ipxact:library>ip_arria10_e2sg_jesd204b_rx_xcvr_reset_control_12</ipxact:library> + <ipxact:name>altera_xcvr_reset_control</ipxact:name> + <ipxact:version>19.1</ipxact:version> </altera:entity_info> <altera:altera_module_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>device_family</spirit:name> - <spirit:displayName>device_family</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device_family">Arria 10</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>CHANNELS</spirit:name> - <spirit:displayName>Number of transceiver channels</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="CHANNELS">12</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>PLLS</spirit:name> - <spirit:displayName>Number of TX PLLs</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="PLLS">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>SYS_CLK_IN_MHZ</spirit:name> - <spirit:displayName>Input clock frequency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="SYS_CLK_IN_MHZ">100</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>SYNCHRONIZE_RESET</spirit:name> - <spirit:displayName>Synchronize reset input</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="SYNCHRONIZE_RESET">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>REDUCED_SIM_TIME</spirit:name> - <spirit:displayName>Use fast reset for simulation</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="REDUCED_SIM_TIME">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_split_interfaces</spirit:name> - <spirit:displayName>Separate interface per channel/PLL</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="gui_split_interfaces">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>TX_PLL_ENABLE</spirit:name> - <spirit:displayName>Enable TX PLL reset control</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="TX_PLL_ENABLE">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>T_PLL_POWERDOWN</spirit:name> - <spirit:displayName>pll_powerdown duration</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="T_PLL_POWERDOWN">1000</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>SYNCHRONIZE_PLL_RESET</spirit:name> - <spirit:displayName>Synchronize reset input for PLL powerdown</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="SYNCHRONIZE_PLL_RESET">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>TX_ENABLE</spirit:name> - <spirit:displayName>Enable TX channel reset control</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="TX_ENABLE">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>TX_PER_CHANNEL</spirit:name> - <spirit:displayName>Use separate TX reset per channel</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="TX_PER_CHANNEL">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_tx_auto_reset</spirit:name> - <spirit:displayName>TX digital reset mode</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="gui_tx_auto_reset">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>T_TX_ANALOGRESET</spirit:name> - <spirit:displayName>tx_analogreset duration</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="T_TX_ANALOGRESET">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>T_TX_DIGITALRESET</spirit:name> - <spirit:displayName>tx_digitalreset duration</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="T_TX_DIGITALRESET">20</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>T_PLL_LOCK_HYST</spirit:name> - <spirit:displayName>pll_locked input hysteresis</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="T_PLL_LOCK_HYST">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_pll_cal_busy</spirit:name> - <spirit:displayName>Enable pll_cal_busy input port</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="gui_pll_cal_busy">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>EN_PLL_CAL_BUSY</spirit:name> - <spirit:displayName>EN_PLL_CAL_BUSY</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="EN_PLL_CAL_BUSY">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>RX_ENABLE</spirit:name> - <spirit:displayName>Enable RX channel reset control</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="RX_ENABLE">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>RX_PER_CHANNEL</spirit:name> - <spirit:displayName>Use separate RX reset per channel</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="RX_PER_CHANNEL">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_rx_auto_reset</spirit:name> - <spirit:displayName>RX digital reset mode</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="gui_rx_auto_reset">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>T_RX_ANALOGRESET</spirit:name> - <spirit:displayName>rx_analogreset duration</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="T_RX_ANALOGRESET">70000</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>T_RX_DIGITALRESET</spirit:name> - <spirit:displayName>rx_digitalreset duration</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="T_RX_DIGITALRESET">4000</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>l_terminate_pll</spirit:name> - <spirit:displayName>l_terminate_pll</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="l_terminate_pll">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>l_terminate_tx</spirit:name> - <spirit:displayName>l_terminate_tx</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="l_terminate_tx">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>l_terminate_rx</spirit:name> - <spirit:displayName>l_terminate_rx</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="l_terminate_rx">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>l_terminate_tx_manual</spirit:name> - <spirit:displayName>l_terminate_tx_manual</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="l_terminate_tx_manual">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>l_terminate_rx_manual</spirit:name> - <spirit:displayName>l_terminate_rx_manual</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="l_terminate_rx_manual">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>l_tx_manual_term</spirit:name> - <spirit:displayName>l_tx_manual_term</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="l_tx_manual_term">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>l_rx_manual_term</spirit:name> - <spirit:displayName>l_rx_manual_term</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="l_rx_manual_term">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>l_pll_select_split</spirit:name> - <spirit:displayName>l_pll_select_split</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="l_pll_select_split">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>l_pll_select_width</spirit:name> - <spirit:displayName>l_pll_select_width</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="l_pll_select_width">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>l_pll_select_base</spirit:name> - <spirit:displayName>l_pll_select_base</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="l_pll_select_base">1</spirit:value> - </spirit:parameter> - </spirit:parameters> + <ipxact:parameters> + <ipxact:parameter parameterId="device_family" type="string"> + <ipxact:name>device_family</ipxact:name> + <ipxact:displayName>device_family</ipxact:displayName> + <ipxact:value>Arria 10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CHANNELS" type="int"> + <ipxact:name>CHANNELS</ipxact:name> + <ipxact:displayName>Number of transceiver channels</ipxact:displayName> + <ipxact:value>12</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLLS" type="int"> + <ipxact:name>PLLS</ipxact:name> + <ipxact:displayName>Number of TX PLLs</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="SYS_CLK_IN_MHZ" type="int"> + <ipxact:name>SYS_CLK_IN_MHZ</ipxact:name> + <ipxact:displayName>Input clock frequency</ipxact:displayName> + <ipxact:value>100</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="SYNCHRONIZE_RESET" type="int"> + <ipxact:name>SYNCHRONIZE_RESET</ipxact:name> + <ipxact:displayName>Synchronize reset input</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="REDUCED_SIM_TIME" type="int"> + <ipxact:name>REDUCED_SIM_TIME</ipxact:name> + <ipxact:displayName>Use fast reset for simulation</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_split_interfaces" type="int"> + <ipxact:name>gui_split_interfaces</ipxact:name> + <ipxact:displayName>Separate interface per channel/PLL</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="TX_PLL_ENABLE" type="int"> + <ipxact:name>TX_PLL_ENABLE</ipxact:name> + <ipxact:displayName>Enable TX PLL reset control</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="T_PLL_POWERDOWN" type="int"> + <ipxact:name>T_PLL_POWERDOWN</ipxact:name> + <ipxact:displayName>pll_powerdown duration</ipxact:displayName> + <ipxact:value>1000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="SYNCHRONIZE_PLL_RESET" type="int"> + <ipxact:name>SYNCHRONIZE_PLL_RESET</ipxact:name> + <ipxact:displayName>Synchronize reset input for PLL powerdown</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="TX_ENABLE" type="int"> + <ipxact:name>TX_ENABLE</ipxact:name> + <ipxact:displayName>Enable TX channel reset control</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="TX_PER_CHANNEL" type="int"> + <ipxact:name>TX_PER_CHANNEL</ipxact:name> + <ipxact:displayName>Use separate TX reset per channel</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_tx_auto_reset" type="int"> + <ipxact:name>gui_tx_auto_reset</ipxact:name> + <ipxact:displayName>TX digital reset mode</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="T_TX_ANALOGRESET" type="int"> + <ipxact:name>T_TX_ANALOGRESET</ipxact:name> + <ipxact:displayName>tx_analogreset duration</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="T_TX_DIGITALRESET" type="int"> + <ipxact:name>T_TX_DIGITALRESET</ipxact:name> + <ipxact:displayName>tx_digitalreset duration</ipxact:displayName> + <ipxact:value>20</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="T_PLL_LOCK_HYST" type="int"> + <ipxact:name>T_PLL_LOCK_HYST</ipxact:name> + <ipxact:displayName>pll_locked input hysteresis</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_pll_cal_busy" type="int"> + <ipxact:name>gui_pll_cal_busy</ipxact:name> + <ipxact:displayName>Enable pll_cal_busy input port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="EN_PLL_CAL_BUSY" type="int"> + <ipxact:name>EN_PLL_CAL_BUSY</ipxact:name> + <ipxact:displayName>EN_PLL_CAL_BUSY</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="RX_ENABLE" type="int"> + <ipxact:name>RX_ENABLE</ipxact:name> + <ipxact:displayName>Enable RX channel reset control</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="RX_PER_CHANNEL" type="int"> + <ipxact:name>RX_PER_CHANNEL</ipxact:name> + <ipxact:displayName>Use separate RX reset per channel</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_rx_auto_reset" type="int"> + <ipxact:name>gui_rx_auto_reset</ipxact:name> + <ipxact:displayName>RX digital reset mode</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="T_RX_ANALOGRESET" type="int"> + <ipxact:name>T_RX_ANALOGRESET</ipxact:name> + <ipxact:displayName>rx_analogreset duration</ipxact:displayName> + <ipxact:value>70000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="T_RX_DIGITALRESET" type="int"> + <ipxact:name>T_RX_DIGITALRESET</ipxact:name> + <ipxact:displayName>rx_digitalreset duration</ipxact:displayName> + <ipxact:value>4000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_terminate_pll" type="int"> + <ipxact:name>l_terminate_pll</ipxact:name> + <ipxact:displayName>l_terminate_pll</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_terminate_tx" type="int"> + <ipxact:name>l_terminate_tx</ipxact:name> + <ipxact:displayName>l_terminate_tx</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_terminate_rx" type="int"> + <ipxact:name>l_terminate_rx</ipxact:name> + <ipxact:displayName>l_terminate_rx</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_terminate_tx_manual" type="int"> + <ipxact:name>l_terminate_tx_manual</ipxact:name> + <ipxact:displayName>l_terminate_tx_manual</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_terminate_rx_manual" type="int"> + <ipxact:name>l_terminate_rx_manual</ipxact:name> + <ipxact:displayName>l_terminate_rx_manual</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_tx_manual_term" type="int"> + <ipxact:name>l_tx_manual_term</ipxact:name> + <ipxact:displayName>l_tx_manual_term</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_rx_manual_term" type="int"> + <ipxact:name>l_rx_manual_term</ipxact:name> + <ipxact:displayName>l_rx_manual_term</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_pll_select_split" type="int"> + <ipxact:name>l_pll_select_split</ipxact:name> + <ipxact:displayName>l_pll_select_split</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_pll_select_width" type="int"> + <ipxact:name>l_pll_select_width</ipxact:name> + <ipxact:displayName>l_pll_select_width</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_pll_select_base" type="int"> + <ipxact:name>l_pll_select_base</ipxact:name> + <ipxact:displayName>l_pll_select_base</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> </altera:altera_module_parameters> <altera:altera_system_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>device</spirit:name> - <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U3F45E2SG</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceFamily</spirit:name> - <spirit:displayName>Device family</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceSpeedGrade</spirit:name> - <spirit:displayName>Device Speed Grade</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>generationId</spirit:name> - <spirit:displayName>Generation Id</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bonusData</spirit:name> - <spirit:displayName>bonusData</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bonusData">bonusData + <ipxact:parameters> + <ipxact:parameter parameterId="device" type="string"> + <ipxact:name>device</ipxact:name> + <ipxact:displayName>Device</ipxact:displayName> + <ipxact:value>10AX115U3F45E2SG</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="deviceFamily" type="string"> + <ipxact:name>deviceFamily</ipxact:name> + <ipxact:displayName>Device family</ipxact:displayName> + <ipxact:value>Arria 10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="deviceSpeedGrade" type="string"> + <ipxact:name>deviceSpeedGrade</ipxact:name> + <ipxact:displayName>Device Speed Grade</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="generationId" type="int"> + <ipxact:name>generationId</ipxact:name> + <ipxact:displayName>Generation Id</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="bonusData" type="string"> + <ipxact:name>bonusData</ipxact:name> + <ipxact:displayName>bonusData</ipxact:displayName> + <ipxact:value>bonusData { element xcvr_reset_control_0 { @@ -605,276 +656,26 @@ } } } -</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hideFromIPCatalog</spirit:name> - <spirit:displayName>Hide from IP Catalog</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">true</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>lockedInterfaceDefinition</spirit:name> - <spirit:displayName>lockedInterfaceDefinition</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>clock</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>clock</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>synchronousEdges</key> - <value>NONE</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>rx_analogreset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>rx_analogreset</name> - <role>rx_analogreset</role> - <direction>Output</direction> - <width>12</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>ui.blockdiagram.direction</key> - <value>output</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>rx_cal_busy</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>rx_cal_busy</name> - <role>rx_cal_busy</role> - <direction>Input</direction> - <width>12</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>ui.blockdiagram.direction</key> - <value>input</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>rx_digitalreset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>rx_digitalreset</name> - <role>rx_digitalreset</role> - <direction>Output</direction> - <width>12</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>ui.blockdiagram.direction</key> - <value>output</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>rx_is_lockedtodata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>rx_is_lockedtodata</name> - <role>rx_is_lockedtodata</role> - <direction>Input</direction> - <width>12</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>ui.blockdiagram.direction</key> - <value>input</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>rx_ready</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>rx_ready</name> - <role>rx_ready</role> - <direction>Output</direction> - <width>12</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>ui.blockdiagram.direction</key> - <value>output</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>systemInfos</spirit:name> - <spirit:displayName>systemInfos</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos/> -</systemInfosDefinition>]]></spirit:value> - </spirit:parameter> - </spirit:parameters> +</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hideFromIPCatalog" type="bit"> + <ipxact:name>hideFromIPCatalog</ipxact:name> + <ipxact:displayName>Hide from IP Catalog</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="lockedInterfaceDefinition" type="string"> + <ipxact:name>lockedInterfaceDefinition</ipxact:name> + <ipxact:displayName>lockedInterfaceDefinition</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="systemInfos" type="string"> + <ipxact:name>systemInfos</ipxact:name> + <ipxact:displayName>systemInfos</ipxact:displayName> + <ipxact:value><systemInfosDefinition> + <connPtSystemInfos/> +</systemInfosDefinition></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> </altera:altera_system_parameters> <altera:altera_interface_boundary> <altera:interface_mapping altera:name="clock" altera:internal="xcvr_reset_control_0.clock" altera:type="clock" altera:dir="end"> @@ -908,5 +709,5 @@ </altera:altera_interface_boundary> <altera:altera_has_warnings>false</altera:altera_has_warnings> <altera:altera_has_errors>false</altera:altera_has_errors> - </spirit:vendorExtensions> -</spirit:component> \ No newline at end of file + </ipxact:vendorExtensions> +</ipxact:component> \ No newline at end of file diff --git a/libraries/technology/ip_arria10_e2sg/jesd204b/ip_arria10_e2sg_jesd204b_rx_xcvr_reset_control_12.qsys b/libraries/technology/ip_arria10_e2sg/jesd204b/ip_arria10_e2sg_jesd204b_rx_xcvr_reset_control_12.qsys deleted file mode 100644 index 82f1f86bd8..0000000000 --- a/libraries/technology/ip_arria10_e2sg/jesd204b/ip_arria10_e2sg_jesd204b_rx_xcvr_reset_control_12.qsys +++ /dev/null @@ -1,639 +0,0 @@ -<?xml version="1.0" encoding="UTF-8"?> -<system name="ip_arria10_e2sg_jesd204b_rx_xcvr_reset_control_12"> - <component - name="$${FILENAME}" - displayName="$${FILENAME}" - version="1.0" - description="" - tags="" - categories="System" - tool="QsysPro" /> - <parameter name="bonusData"><![CDATA[bonusData -{ - element $system - { - } - element xcvr_reset_control_0 - { - datum _sortIndex - { - value = "0"; - type = "int"; - } - } -} -]]></parameter> - <parameter name="device" value="10AX115U3F45E2SG" /> - <parameter name="deviceFamily" value="Arria 10" /> - <parameter name="deviceSpeedGrade" value="2" /> - <parameter name="fabricMode" value="QSYS" /> - <parameter name="generateLegacySim" value="false" /> - <parameter name="generationId" value="0" /> - <parameter name="globalResetBus" value="false" /> - <parameter name="hdlLanguage" value="VERILOG" /> - <parameter name="hideFromIPCatalog" value="false" /> - <parameter name="lockedInterfaceDefinition" value="" /> - <parameter name="sopcBorderPoints" value="false" /> - <parameter name="systemHash" value="0" /> - <parameter name="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos/> -</systemInfosDefinition>]]></parameter> - <parameter name="systemScripts" value="" /> - <parameter name="testBenchDutName" value="" /> - <parameter name="timeStamp" value="0" /> - <parameter name="useTestBenchNamingPattern" value="false" /> - <instanceScript></instanceScript> - <interface - name="clock" - internal="xcvr_reset_control_0.clock" - type="clock" - dir="end" /> - <interface name="pll_powerdown" internal="xcvr_reset_control_0.pll_powerdown" /> - <interface - name="reset" - internal="xcvr_reset_control_0.reset" - type="reset" - dir="end" /> - <interface - name="rx_analogreset" - internal="xcvr_reset_control_0.rx_analogreset" - type="conduit" - dir="end" /> - <interface - name="rx_cal_busy" - internal="xcvr_reset_control_0.rx_cal_busy" - type="conduit" - dir="end" /> - <interface - name="rx_digitalreset" - internal="xcvr_reset_control_0.rx_digitalreset" - type="conduit" - dir="end" /> - <interface - name="rx_is_lockedtodata" - internal="xcvr_reset_control_0.rx_is_lockedtodata" - type="conduit" - dir="end" /> - <interface - name="rx_ready" - internal="xcvr_reset_control_0.rx_ready" - type="conduit" - dir="end" /> - <module - name="xcvr_reset_control_0" - kind="altera_generic_component" - version="1.0" - enabled="1"> - <parameter name="componentDefinition"><![CDATA[<componentDefinition> - <boundary> - <interfaces> - <interface> - <name>clock</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>clock</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>synchronousEdges</key> - <value>NONE</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>rx_analogreset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>rx_analogreset</name> - <role>rx_analogreset</role> - <direction>Output</direction> - <width>12</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>ui.blockdiagram.direction</key> - <value>output</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>rx_cal_busy</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>rx_cal_busy</name> - <role>rx_cal_busy</role> - <direction>Input</direction> - <width>12</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>ui.blockdiagram.direction</key> - <value>input</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>rx_digitalreset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>rx_digitalreset</name> - <role>rx_digitalreset</role> - <direction>Output</direction> - <width>12</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>ui.blockdiagram.direction</key> - <value>output</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>rx_is_lockedtodata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>rx_is_lockedtodata</name> - <role>rx_is_lockedtodata</role> - <direction>Input</direction> - <width>12</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>ui.blockdiagram.direction</key> - <value>input</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>rx_ready</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>rx_ready</name> - <role>rx_ready</role> - <direction>Output</direction> - <width>12</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>ui.blockdiagram.direction</key> - <value>output</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> - </boundary> - <originalModuleInfo> - <className>altera_xcvr_reset_control</className> - <version>19.1</version> - <displayName>Transceiver PHY Reset Controller Intel FPGA IP</displayName> - </originalModuleInfo> - <systemInfoParameterDescriptors> - <descriptors> - <descriptor> - <parameterDefaultValue>Stratix V</parameterDefaultValue> - <parameterName>device_family</parameterName> - <parameterType>java.lang.String</parameterType> - <systemInfotype>DEVICE_FAMILY</systemInfotype> - </descriptor> - </descriptors> - </systemInfoParameterDescriptors> - <systemInfos> - <connPtSystemInfos/> - </systemInfos> -</componentDefinition>]]></parameter> - <parameter name="defaultBoundary"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>clock</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>clock</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>synchronousEdges</key> - <value>NONE</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>rx_analogreset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>rx_analogreset</name> - <role>rx_analogreset</role> - <direction>Output</direction> - <width>12</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>ui.blockdiagram.direction</key> - <value>output</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>rx_digitalreset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>rx_digitalreset</name> - <role>rx_digitalreset</role> - <direction>Output</direction> - <width>12</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>ui.blockdiagram.direction</key> - <value>output</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>rx_ready</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>rx_ready</name> - <role>rx_ready</role> - <direction>Output</direction> - <width>12</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>ui.blockdiagram.direction</key> - <value>output</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>rx_is_lockedtodata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>rx_is_lockedtodata</name> - <role>rx_is_lockedtodata</role> - <direction>Input</direction> - <width>12</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>ui.blockdiagram.direction</key> - <value>input</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>rx_cal_busy</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>rx_cal_busy</name> - <role>rx_cal_busy</role> - <direction>Input</direction> - <width>12</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>ui.blockdiagram.direction</key> - <value>input</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></parameter> - <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>ip_arria10_e2sg_jesd204b_rx_xcvr_reset_control_12</hdlLibraryName> - <fileSets> - <fileSet> - <fileSetName>ip_arria10_e2sg_jesd204b_rx_xcvr_reset_control_12</fileSetName> - <fileSetFixedName>ip_arria10_e2sg_jesd204b_rx_xcvr_reset_control_12</fileSetFixedName> - <fileSetKind>QUARTUS_SYNTH</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>ip_arria10_e2sg_jesd204b_rx_xcvr_reset_control_12</fileSetName> - <fileSetFixedName>ip_arria10_e2sg_jesd204b_rx_xcvr_reset_control_12</fileSetFixedName> - <fileSetKind>SIM_VERILOG</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>ip_arria10_e2sg_jesd204b_rx_xcvr_reset_control_12</fileSetName> - <fileSetFixedName>ip_arria10_e2sg_jesd204b_rx_xcvr_reset_control_12</fileSetFixedName> - <fileSetKind>SIM_VHDL</fileSetKind> - <fileSetFiles/> - </fileSet> - </fileSets> -</generationInfoDefinition>]]></parameter> - <parameter name="hlsFile" value="" /> - <parameter name="logicalView">/home/hargreaves/git/hdl/libraries/technology/ip_arria10_e2sg/jesd204b/ip_arria10_e2sg_jesd204b_rx_xcvr_reset_control_12.ip</parameter> - <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> - <assignmentValueMap/> -</assignmentDefinition>]]></parameter> - <parameter name="svInterfaceDefinition" value="" /> - </module> -</system> diff --git a/libraries/technology/ip_arria10_e2sg/jesd204b/ip_arria10_e2sg_jesd204b_tx.ip b/libraries/technology/ip_arria10_e2sg/jesd204b/ip_arria10_e2sg_jesd204b_tx.ip new file mode 100644 index 0000000000..a41fa5e47f --- /dev/null +++ b/libraries/technology/ip_arria10_e2sg/jesd204b/ip_arria10_e2sg_jesd204b_tx.ip @@ -0,0 +1,4125 @@ +<?xml version="1.0" ?> +<ipxact:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact2014/extensions" xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"> + <ipxact:vendor>Intel Corporation</ipxact:vendor> + <ipxact:library>ip_arria10_e2sg_jesd204b_tx</ipxact:library> + <ipxact:name>jesd204_0</ipxact:name> + <ipxact:version>19.2.0</ipxact:version> + <ipxact:busInterfaces> + <ipxact:busInterface> + <ipxact:name>txlink_clk</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="clock" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>txlink_clk</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="clockRate" type="longint"> + <ipxact:name>clockRate</ipxact:name> + <ipxact:displayName>Clock rate</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="externallyDriven" type="bit"> + <ipxact:name>externallyDriven</ipxact:name> + <ipxact:displayName>Externally driven</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ptfSchematicName" type="string"> + <ipxact:name>ptfSchematicName</ipxact:name> + <ipxact:displayName>PTF schematic name</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>txlink_rst_n</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="reset" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="reset" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>reset_n</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>txlink_rst_n_reset_n</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>Associated clock</ipxact:displayName> + <ipxact:value>txlink_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="synchronousEdges" type="string"> + <ipxact:name>synchronousEdges</ipxact:name> + <ipxact:displayName>Synchronous edges</ipxact:displayName> + <ipxact:value>DEASSERT</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>jesd204_tx_avs_clk</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="clock" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>jesd204_tx_avs_clk</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="clockRate" type="longint"> + <ipxact:name>clockRate</ipxact:name> + <ipxact:displayName>Clock rate</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="externallyDriven" type="bit"> + <ipxact:name>externallyDriven</ipxact:name> + <ipxact:displayName>Externally driven</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ptfSchematicName" type="string"> + <ipxact:name>ptfSchematicName</ipxact:name> + <ipxact:displayName>PTF schematic name</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>jesd204_tx_avs_rst_n</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="reset" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="reset" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>reset_n</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>jesd204_tx_avs_rst_n</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>Associated clock</ipxact:displayName> + <ipxact:value>jesd204_tx_avs_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="synchronousEdges" type="string"> + <ipxact:name>synchronousEdges</ipxact:name> + <ipxact:displayName>Synchronous edges</ipxact:displayName> + <ipxact:value>DEASSERT</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>jesd204_tx_avs</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="avalon" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="avalon" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>chipselect</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>jesd204_tx_avs_chipselect</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>address</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>jesd204_tx_avs_address</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>read</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>jesd204_tx_avs_read</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>readdata</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>jesd204_tx_avs_readdata</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>waitrequest</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>jesd204_tx_avs_waitrequest</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>write</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>jesd204_tx_avs_write</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>writedata</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>jesd204_tx_avs_writedata</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="addressAlignment" type="string"> + <ipxact:name>addressAlignment</ipxact:name> + <ipxact:displayName>Slave addressing</ipxact:displayName> + <ipxact:value>DYNAMIC</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="addressGroup" type="int"> + <ipxact:name>addressGroup</ipxact:name> + <ipxact:displayName>Address group</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="addressSpan" type="string"> + <ipxact:name>addressSpan</ipxact:name> + <ipxact:displayName>Address span</ipxact:displayName> + <ipxact:value>1024</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="addressUnits" type="string"> + <ipxact:name>addressUnits</ipxact:name> + <ipxact:displayName>Address units</ipxact:displayName> + <ipxact:value>WORDS</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="alwaysBurstMaxBurst" type="bit"> + <ipxact:name>alwaysBurstMaxBurst</ipxact:name> + <ipxact:displayName>Always burst maximum burst</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>Associated clock</ipxact:displayName> + <ipxact:value>jesd204_tx_avs_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>Associated reset</ipxact:displayName> + <ipxact:value>jesd204_tx_avs_rst_n</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="bitsPerSymbol" type="int"> + <ipxact:name>bitsPerSymbol</ipxact:name> + <ipxact:displayName>Bits per symbol</ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="bridgedAddressOffset" type="string"> + <ipxact:name>bridgedAddressOffset</ipxact:name> + <ipxact:displayName>Bridged Address Offset</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="bridgesToMaster" type="string"> + <ipxact:name>bridgesToMaster</ipxact:name> + <ipxact:displayName>Bridges to master</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="burstOnBurstBoundariesOnly" type="bit"> + <ipxact:name>burstOnBurstBoundariesOnly</ipxact:name> + <ipxact:displayName>Burst on burst boundaries only</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="burstcountUnits" type="string"> + <ipxact:name>burstcountUnits</ipxact:name> + <ipxact:displayName>Burstcount units</ipxact:displayName> + <ipxact:value>WORDS</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="constantBurstBehavior" type="bit"> + <ipxact:name>constantBurstBehavior</ipxact:name> + <ipxact:displayName>Constant burst behavior</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="explicitAddressSpan" type="string"> + <ipxact:name>explicitAddressSpan</ipxact:name> + <ipxact:displayName>Explicit address span</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="holdTime" type="int"> + <ipxact:name>holdTime</ipxact:name> + <ipxact:displayName>Hold</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="interleaveBursts" type="bit"> + <ipxact:name>interleaveBursts</ipxact:name> + <ipxact:displayName>Interleave bursts</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="isBigEndian" type="bit"> + <ipxact:name>isBigEndian</ipxact:name> + <ipxact:displayName>Big endian</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="isFlash" type="bit"> + <ipxact:name>isFlash</ipxact:name> + <ipxact:displayName>Flash memory</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="isMemoryDevice" type="bit"> + <ipxact:name>isMemoryDevice</ipxact:name> + <ipxact:displayName>Memory device</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="isNonVolatileStorage" type="bit"> + <ipxact:name>isNonVolatileStorage</ipxact:name> + <ipxact:displayName>Non-volatile storage</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="linewrapBursts" type="bit"> + <ipxact:name>linewrapBursts</ipxact:name> + <ipxact:displayName>Linewrap bursts</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="maximumPendingReadTransactions" type="int"> + <ipxact:name>maximumPendingReadTransactions</ipxact:name> + <ipxact:displayName>Maximum pending read transactions</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="maximumPendingWriteTransactions" type="int"> + <ipxact:name>maximumPendingWriteTransactions</ipxact:name> + <ipxact:displayName>Maximum pending write transactions</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="minimumReadLatency" type="int"> + <ipxact:name>minimumReadLatency</ipxact:name> + <ipxact:displayName>minimumReadLatency</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="minimumResponseLatency" type="int"> + <ipxact:name>minimumResponseLatency</ipxact:name> + <ipxact:displayName>Minimum response latency</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="minimumUninterruptedRunLength" type="int"> + <ipxact:name>minimumUninterruptedRunLength</ipxact:name> + <ipxact:displayName>Minimum uninterrupted run length</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="printableDevice" type="bit"> + <ipxact:name>printableDevice</ipxact:name> + <ipxact:displayName>Can receive stdout/stderr</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="readLatency" type="int"> + <ipxact:name>readLatency</ipxact:name> + <ipxact:displayName>Read latency</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="readWaitStates" type="int"> + <ipxact:name>readWaitStates</ipxact:name> + <ipxact:displayName>Read wait states</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="readWaitTime" type="int"> + <ipxact:name>readWaitTime</ipxact:name> + <ipxact:displayName>Read wait</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="registerIncomingSignals" type="bit"> + <ipxact:name>registerIncomingSignals</ipxact:name> + <ipxact:displayName>Register incoming signals</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="registerOutgoingSignals" type="bit"> + <ipxact:name>registerOutgoingSignals</ipxact:name> + <ipxact:displayName>Register outgoing signals</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="setupTime" type="int"> + <ipxact:name>setupTime</ipxact:name> + <ipxact:displayName>Setup</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="timingUnits" type="string"> + <ipxact:name>timingUnits</ipxact:name> + <ipxact:displayName>Timing units</ipxact:displayName> + <ipxact:value>Cycles</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="transparentBridge" type="bit"> + <ipxact:name>transparentBridge</ipxact:name> + <ipxact:displayName>Transparent bridge</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="waitrequestAllowance" type="int"> + <ipxact:name>waitrequestAllowance</ipxact:name> + <ipxact:displayName>Waitrequest allowance</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="wellBehavedWaitrequest" type="bit"> + <ipxact:name>wellBehavedWaitrequest</ipxact:name> + <ipxact:displayName>Well-behaved waitrequest</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="writeLatency" type="int"> + <ipxact:name>writeLatency</ipxact:name> + <ipxact:displayName>Write latency</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="writeWaitStates" type="int"> + <ipxact:name>writeWaitStates</ipxact:name> + <ipxact:displayName>Write wait states</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="writeWaitTime" type="int"> + <ipxact:name>writeWaitTime</ipxact:name> + <ipxact:displayName>Write wait</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="embeddedsw.configuration.isFlash" type="string"> + <ipxact:name>embeddedsw.configuration.isFlash</ipxact:name> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="embeddedsw.configuration.isMemoryDevice" type="string"> + <ipxact:name>embeddedsw.configuration.isMemoryDevice</ipxact:name> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="embeddedsw.configuration.isNonVolatileStorage" type="string"> + <ipxact:name>embeddedsw.configuration.isNonVolatileStorage</ipxact:name> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="embeddedsw.configuration.isPrintableDevice" type="string"> + <ipxact:name>embeddedsw.configuration.isPrintableDevice</ipxact:name> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>jesd204_tx_link</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="avalon_streaming" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="avalon_streaming" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>data</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>jesd204_tx_link_data</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>valid</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>jesd204_tx_link_valid</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>ready</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>jesd204_tx_link_ready</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value>txlink_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value>txlink_rst_n</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="beatsPerCycle" type="int"> + <ipxact:name>beatsPerCycle</ipxact:name> + <ipxact:displayName>Beats Per Cycle</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="dataBitsPerSymbol" type="int"> + <ipxact:name>dataBitsPerSymbol</ipxact:name> + <ipxact:displayName>Data bits per symbol</ipxact:displayName> + <ipxact:value>32</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="emptyWithinPacket" type="bit"> + <ipxact:name>emptyWithinPacket</ipxact:name> + <ipxact:displayName>emptyWithinPacket</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="errorDescriptor" type="string"> + <ipxact:name>errorDescriptor</ipxact:name> + <ipxact:displayName>Error descriptor</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="firstSymbolInHighOrderBits" type="bit"> + <ipxact:name>firstSymbolInHighOrderBits</ipxact:name> + <ipxact:displayName>First Symbol In High-Order Bits</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="highOrderSymbolAtMSB" type="bit"> + <ipxact:name>highOrderSymbolAtMSB</ipxact:name> + <ipxact:displayName>highOrderSymbolAtMSB</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="maxChannel" type="int"> + <ipxact:name>maxChannel</ipxact:name> + <ipxact:displayName>Maximum channel</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="packetDescription" type="string"> + <ipxact:name>packetDescription</ipxact:name> + <ipxact:displayName>Packet description </ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="readyAllowance" type="int"> + <ipxact:name>readyAllowance</ipxact:name> + <ipxact:displayName>Ready allowance</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="readyLatency" type="int"> + <ipxact:name>readyLatency</ipxact:name> + <ipxact:displayName>Ready latency</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="symbolsPerBeat" type="int"> + <ipxact:name>symbolsPerBeat</ipxact:name> + <ipxact:displayName>Symbols per beat </ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>somf</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>export</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>somf</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>dev_sync_n</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>export</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>dev_sync_n</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>mdev_sync_n</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>export</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>mdev_sync_n</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>sysref</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>export</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>sysref</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>sync_n</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>export</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>sync_n</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>jesd204_tx_int</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="interrupt" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="interrupt" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>irq</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>jesd204_tx_int</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedAddressablePoint" type="string"> + <ipxact:name>associatedAddressablePoint</ipxact:name> + <ipxact:displayName>Associated addressable interface</ipxact:displayName> + <ipxact:value>ip_arria10_e2sg_jesd204b_tx.jesd204_tx_avs</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>Associated clock</ipxact:displayName> + <ipxact:value>jesd204_tx_avs_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>Associated reset</ipxact:displayName> + <ipxact:value>jesd204_tx_avs_rst_n</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="bridgedReceiverOffset" type="longint"> + <ipxact:name>bridgedReceiverOffset</ipxact:name> + <ipxact:displayName>Bridged receiver offset</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="bridgesToReceiver" type="string"> + <ipxact:name>bridgesToReceiver</ipxact:name> + <ipxact:displayName>Bridges to receiver</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="irqScheme" type="string"> + <ipxact:name>irqScheme</ipxact:name> + <ipxact:displayName>Interrupt scheme</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>csr_hd</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>export</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>csr_hd</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>csr_cs</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>export</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>csr_cs</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>csr_l</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>export</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>csr_l</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>csr_k</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>export</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>csr_k</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>csr_n</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>export</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>csr_n</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>csr_np</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>export</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>csr_np</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>csr_s</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>export</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>csr_s</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>csr_cf</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>export</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>csr_cf</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>csr_f</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>export</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>csr_f</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>csr_m</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>export</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>csr_m</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>csr_lane_powerdown</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>export</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>csr_lane_powerdown</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>jesd204_tx_frame_error</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>export</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>jesd204_tx_frame_error</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>jesd204_tx_frame_ready</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>export</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>jesd204_tx_frame_ready</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>jesd204_tx_dlb_data</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>export</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>jesd204_tx_dlb_data</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>jesd204_tx_dlb_kchar_data</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>export</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>jesd204_tx_dlb_kchar_data</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>pll_locked</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>pll_locked</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>pll_locked</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>csr_tx_testmode</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>export</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>csr_tx_testmode</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>csr_tx_testpattern_a</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>export</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>csr_tx_testpattern_a</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>csr_tx_testpattern_b</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>export</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>csr_tx_testpattern_b</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>csr_tx_testpattern_c</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>export</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>csr_tx_testpattern_c</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>csr_tx_testpattern_d</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>export</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>csr_tx_testpattern_d</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_bonding_clocks</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="hssi_bonded_clock" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="hssi_bonded_clock" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_bonding_clocks</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="clockRate" type="longint"> + <ipxact:name>clockRate</ipxact:name> + <ipxact:displayName>Clock rate</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="serializationFactor" type="longint"> + <ipxact:name>serializationFactor</ipxact:name> + <ipxact:displayName>Serialization factor</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>txphy_clk</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>export</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>txphy_clk</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_cal_busy</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>tx_cal_busy</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_cal_busy</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_analogreset</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>tx_analogreset</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_analogreset</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_digitalreset</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>tx_digitalreset</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_digitalreset</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_serial_data</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>tx_serial_data</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_serial_data</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + </ipxact:busInterfaces> + <ipxact:model> + <ipxact:views> + <ipxact:view> + <ipxact:name>QUARTUS_SYNTH</ipxact:name> + <ipxact:envIdentifier>:quartus.altera.com:</ipxact:envIdentifier> + <ipxact:componentInstantiationRef>QUARTUS_SYNTH</ipxact:componentInstantiationRef> + </ipxact:view> + </ipxact:views> + <ipxact:instantiations> + <ipxact:componentInstantiation> + <ipxact:name>QUARTUS_SYNTH</ipxact:name> + <ipxact:moduleName>altera_jesd204</ipxact:moduleName> + <ipxact:fileSetRef> + <ipxact:localName>QUARTUS_SYNTH</ipxact:localName> + </ipxact:fileSetRef> + </ipxact:componentInstantiation> + </ipxact:instantiations> + <ipxact:ports> + <ipxact:port> + <ipxact:name>txlink_clk</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>txlink_rst_n_reset_n</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>jesd204_tx_avs_clk</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>jesd204_tx_avs_rst_n</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>jesd204_tx_avs_chipselect</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>jesd204_tx_avs_address</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>7</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>jesd204_tx_avs_read</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>jesd204_tx_avs_readdata</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>31</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>jesd204_tx_avs_waitrequest</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>jesd204_tx_avs_write</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>jesd204_tx_avs_writedata</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>31</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>jesd204_tx_link_data</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>31</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>jesd204_tx_link_valid</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>jesd204_tx_link_ready</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>somf</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>3</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>dev_sync_n</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>mdev_sync_n</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>sysref</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>sync_n</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>jesd204_tx_int</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>csr_hd</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>csr_cs</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>1</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>csr_l</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>4</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>csr_k</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>4</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>csr_n</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>4</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>csr_np</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>4</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>csr_s</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>4</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>csr_cf</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>4</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>csr_f</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>7</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>csr_m</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>7</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>csr_lane_powerdown</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>jesd204_tx_frame_error</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>jesd204_tx_frame_ready</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>jesd204_tx_dlb_data</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>31</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>jesd204_tx_dlb_kchar_data</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>3</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>pll_locked</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>csr_tx_testmode</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>3</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>csr_tx_testpattern_a</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>31</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>csr_tx_testpattern_b</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>31</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>csr_tx_testpattern_c</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>31</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>csr_tx_testpattern_d</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>31</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_bonding_clocks</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>5</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>txphy_clk</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_cal_busy</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_analogreset</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_digitalreset</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_serial_data</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + </ipxact:ports> + </ipxact:model> + <ipxact:vendorExtensions> + <altera:entity_info> + <ipxact:vendor>Intel Corporation</ipxact:vendor> + <ipxact:library>ip_arria10_e2sg_jesd204b_tx</ipxact:library> + <ipxact:name>altera_jesd204</ipxact:name> + <ipxact:version>19.2.0</ipxact:version> + </altera:entity_info> + <altera:altera_module_parameters> + <ipxact:parameters> + <ipxact:parameter parameterId="wrapper_opt" type="string"> + <ipxact:name>wrapper_opt</ipxact:name> + <ipxact:displayName>Jesd204b wrapper</ipxact:displayName> + <ipxact:value>base_phy</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="sdc_constraint" type="real"> + <ipxact:name>sdc_constraint</ipxact:name> + <ipxact:displayName>Set constraint for sdc</ipxact:displayName> + <ipxact:value>1.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DEVICE_FAMILY" type="string"> + <ipxact:name>DEVICE_FAMILY</ipxact:name> + <ipxact:displayName>Device family</ipxact:displayName> + <ipxact:value>Arria 10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="part_trait_dp" type="string"> + <ipxact:name>part_trait_dp</ipxact:name> + <ipxact:displayName>Device Part</ipxact:displayName> + <ipxact:value>10AX115U3F45E2SG</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DEVICE_SPEEDGRADE" type="string"> + <ipxact:name>DEVICE_SPEEDGRADE</ipxact:name> + <ipxact:displayName>Device Speedgrade</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DATA_PATH" type="string"> + <ipxact:name>DATA_PATH</ipxact:name> + <ipxact:displayName>Data path</ipxact:displayName> + <ipxact:value>TX</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="SUBCLASSV" type="int"> + <ipxact:name>SUBCLASSV</ipxact:name> + <ipxact:displayName>Jesd204b subclass</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="lane_rate" type="real"> + <ipxact:name>lane_rate</ipxact:name> + <ipxact:displayName>Data rate</ipxact:displayName> + <ipxact:value>4000.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PCS_CONFIG" type="string"> + <ipxact:name>PCS_CONFIG</ipxact:name> + <ipxact:displayName>PCS Option</ipxact:displayName> + <ipxact:value>JESD_PCS_CFG1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_type" type="string"> + <ipxact:name>pll_type</ipxact:name> + <ipxact:displayName>PLL Type</ipxact:displayName> + <ipxact:value>CMU</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="bonded_mode" type="string"> + <ipxact:name>bonded_mode</ipxact:name> + <ipxact:displayName>Bonding Mode </ipxact:displayName> + <ipxact:value>bonded</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="REFCLK_FREQ" type="real"> + <ipxact:name>REFCLK_FREQ</ipxact:name> + <ipxact:displayName>PLL/CDR Reference Clock Frequency</ipxact:displayName> + <ipxact:value>125.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_analog_voltage" type="string"> + <ipxact:name>gui_analog_voltage</ipxact:name> + <ipxact:displayName>VCCR_GXB and VCCT_GXB supply voltage for the Transceiver</ipxact:displayName> + <ipxact:value>1_0V</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="bitrev_en" type="bit"> + <ipxact:name>bitrev_en</ipxact:name> + <ipxact:displayName>Enable Bit reversal and Byte reversal</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_reconfig_enable" type="bit"> + <ipxact:name>pll_reconfig_enable</ipxact:name> + <ipxact:displayName>Enable Transceiver Dynamic Reconfiguration</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_jtag_enable" type="bit"> + <ipxact:name>rcfg_jtag_enable</ipxact:name> + <ipxact:displayName>Enable Native PHY Debug Master Endpoint</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_shared" type="bit"> + <ipxact:name>rcfg_shared</ipxact:name> + <ipxact:displayName>Share Reconfiguration Interface</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_enable_split_interface" type="bit"> + <ipxact:name>rcfg_enable_split_interface</ipxact:name> + <ipxact:displayName>Provide Separate Reconfiguration Interface for Each Channel</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_capability_reg_enable" type="bit"> + <ipxact:name>set_capability_reg_enable</ipxact:name> + <ipxact:displayName>Enable Capability Registers</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_user_identifier" type="int"> + <ipxact:name>set_user_identifier</ipxact:name> + <ipxact:displayName>Set user-defined IP identifier</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_csr_soft_logic_enable" type="bit"> + <ipxact:name>set_csr_soft_logic_enable</ipxact:name> + <ipxact:displayName>Enable Control and Status Registers</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_prbs_soft_logic_enable" type="bit"> + <ipxact:name>set_prbs_soft_logic_enable</ipxact:name> + <ipxact:displayName>Enable PRBS Soft Accumulators</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="L" type="int"> + <ipxact:name>L</ipxact:name> + <ipxact:displayName>Lanes per converter device (L)</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="M" type="int"> + <ipxact:name>M</ipxact:name> + <ipxact:displayName>Converters per device (M)</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_EN_CFG_F" type="bit"> + <ipxact:name>GUI_EN_CFG_F</ipxact:name> + <ipxact:displayName>Enable manual F configuration</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_CFG_F" type="int"> + <ipxact:name>GUI_CFG_F</ipxact:name> + <ipxact:displayName>Octets per frame (F)</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="F" type="int"> + <ipxact:name>F</ipxact:name> + <ipxact:displayName>Octets per frame (F)</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="N" type="int"> + <ipxact:name>N</ipxact:name> + <ipxact:displayName>Converter resolution (N)</ipxact:displayName> + <ipxact:value>14</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="N_PRIME" type="int"> + <ipxact:name>N_PRIME</ipxact:name> + <ipxact:displayName>Transmitted bits per sample (N')</ipxact:displayName> + <ipxact:value>16</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="S" type="int"> + <ipxact:name>S</ipxact:name> + <ipxact:displayName>Samples per converter per frame (S)</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="K" type="int"> + <ipxact:name>K</ipxact:name> + <ipxact:displayName>Frames per multiframe (K)</ipxact:displayName> + <ipxact:value>32</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="SCR" type="int"> + <ipxact:name>SCR</ipxact:name> + <ipxact:displayName>Enable scramble (SCR)</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CS" type="int"> + <ipxact:name>CS</ipxact:name> + <ipxact:displayName>Control Bits (CS)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CF" type="int"> + <ipxact:name>CF</ipxact:name> + <ipxact:displayName>Control Words (CF)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="HD" type="int"> + <ipxact:name>HD</ipxact:name> + <ipxact:displayName>High Density user data format (HD)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ECC_EN" type="bit"> + <ipxact:name>ECC_EN</ipxact:name> + <ipxact:displayName>Enable Error Code Correction (ECC_EN)</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DLB_TEST" type="bit"> + <ipxact:name>DLB_TEST</ipxact:name> + <ipxact:displayName>Enable Digital Loop Back Test (DLB_TEST)</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PHADJ" type="int"> + <ipxact:name>PHADJ</ipxact:name> + <ipxact:displayName>Phase adjustment request (PHADJ)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ADJCNT" type="int"> + <ipxact:name>ADJCNT</ipxact:name> + <ipxact:displayName>Adjustment resolution step count (ADJCNT)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ADJDIR" type="int"> + <ipxact:name>ADJDIR</ipxact:name> + <ipxact:displayName>Direction of adjustment (ADJDIR)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="OPTIMIZE" type="int"> + <ipxact:name>OPTIMIZE</ipxact:name> + <ipxact:displayName>CSR Programmability</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DID" type="int"> + <ipxact:name>DID</ipxact:name> + <ipxact:displayName>Device ID</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BID" type="int"> + <ipxact:name>BID</ipxact:name> + <ipxact:displayName>Bank ID</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="LID0" type="int"> + <ipxact:name>LID0</ipxact:name> + <ipxact:displayName>Lane0 ID</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="FCHK0" type="int"> + <ipxact:name>FCHK0</ipxact:name> + <ipxact:displayName>Lane0 checksum</ipxact:displayName> + <ipxact:value>63</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="LID1" type="int"> + <ipxact:name>LID1</ipxact:name> + <ipxact:displayName>Lane1 ID</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="FCHK1" type="int"> + <ipxact:name>FCHK1</ipxact:name> + <ipxact:displayName>Lane1 checksum</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="LID2" type="int"> + <ipxact:name>LID2</ipxact:name> + <ipxact:displayName>Lane2 ID</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="FCHK2" type="int"> + <ipxact:name>FCHK2</ipxact:name> + <ipxact:displayName>Lane2 checksum</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="LID3" type="int"> + <ipxact:name>LID3</ipxact:name> + <ipxact:displayName>Lane3 ID</ipxact:displayName> + <ipxact:value>3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="FCHK3" type="int"> + <ipxact:name>FCHK3</ipxact:name> + <ipxact:displayName>Lane3 checksum</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="LID4" type="int"> + <ipxact:name>LID4</ipxact:name> + <ipxact:displayName>Lane4 ID</ipxact:displayName> + <ipxact:value>4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="FCHK4" type="int"> + <ipxact:name>FCHK4</ipxact:name> + <ipxact:displayName>Lane4 checksum</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="LID5" type="int"> + <ipxact:name>LID5</ipxact:name> + <ipxact:displayName>Lane5 ID</ipxact:displayName> + <ipxact:value>5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="FCHK5" type="int"> + <ipxact:name>FCHK5</ipxact:name> + <ipxact:displayName>Lane5 checksum</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="LID6" type="int"> + <ipxact:name>LID6</ipxact:name> + <ipxact:displayName>Lane6 ID</ipxact:displayName> + <ipxact:value>6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="FCHK6" type="int"> + <ipxact:name>FCHK6</ipxact:name> + <ipxact:displayName>Lane6 checksum</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="LID7" type="int"> + <ipxact:name>LID7</ipxact:name> + <ipxact:displayName>Lane7 ID</ipxact:displayName> + <ipxact:value>7</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="FCHK7" type="int"> + <ipxact:name>FCHK7</ipxact:name> + <ipxact:displayName>Lane7 checksum</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="d_refclk_freq" type="real"> + <ipxact:name>d_refclk_freq</ipxact:name> + <ipxact:displayName>PLL/CDR Reference Clock Frequency</ipxact:displayName> + <ipxact:value>125.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="JESDV" type="int"> + <ipxact:name>JESDV</ipxact:name> + <ipxact:displayName>JESDV</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PMA_WIDTH" type="int"> + <ipxact:name>PMA_WIDTH</ipxact:name> + <ipxact:displayName>PMA_WIDTH</ipxact:displayName> + <ipxact:value>32</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="SER_SIZE" type="int"> + <ipxact:name>SER_SIZE</ipxact:name> + <ipxact:displayName>SER_SIZE</ipxact:displayName> + <ipxact:value>4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="FK" type="int"> + <ipxact:name>FK</ipxact:name> + <ipxact:displayName>FK</ipxact:displayName> + <ipxact:value>64</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="RES1" type="int"> + <ipxact:name>RES1</ipxact:name> + <ipxact:displayName>RES1</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="RES2" type="int"> + <ipxact:name>RES2</ipxact:name> + <ipxact:displayName>RES2</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BIT_REVERSAL" type="int"> + <ipxact:name>BIT_REVERSAL</ipxact:name> + <ipxact:displayName>BIT_REVERSAL</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="BYTE_REVERSAL" type="int"> + <ipxact:name>BYTE_REVERSAL</ipxact:name> + <ipxact:displayName>BYTE_REVERSAL</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ALIGNMENT_PATTERN" type="int"> + <ipxact:name>ALIGNMENT_PATTERN</ipxact:name> + <ipxact:displayName>ALIGNMENT_PATTERN</ipxact:displayName> + <ipxact:value>658812</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PULSE_WIDTH" type="int"> + <ipxact:name>PULSE_WIDTH</ipxact:name> + <ipxact:displayName>PULSE_WIDTH</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="LS_FIFO_DEPTH" type="int"> + <ipxact:name>LS_FIFO_DEPTH</ipxact:name> + <ipxact:displayName>LS_FIFO_DEPTH</ipxact:displayName> + <ipxact:value>32</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="LS_FIFO_WIDTHU" type="int"> + <ipxact:name>LS_FIFO_WIDTHU</ipxact:name> + <ipxact:displayName>LS_FIFO_WIDTHU</ipxact:displayName> + <ipxact:value>5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="UNUSED_TX_PARALLEL_WIDTH" type="int"> + <ipxact:name>UNUSED_TX_PARALLEL_WIDTH</ipxact:name> + <ipxact:displayName>UNUSED_TX_PARALLEL_WIDTH</ipxact:displayName> + <ipxact:value>92</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="UNUSED_RX_PARALLEL_WIDTH" type="int"> + <ipxact:name>UNUSED_RX_PARALLEL_WIDTH</ipxact:name> + <ipxact:displayName>UNUSED_RX_PARALLEL_WIDTH</ipxact:displayName> + <ipxact:value>72</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="XCVR_PLL_LOCKED_WIDTH" type="int"> + <ipxact:name>XCVR_PLL_LOCKED_WIDTH</ipxact:name> + <ipxact:displayName>XCVR_PLL_LOCKED_WIDTH</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="RECONFIG_ADDRESS_WIDTH" type="int"> + <ipxact:name>RECONFIG_ADDRESS_WIDTH</ipxact:name> + <ipxact:displayName>RECONFIG_ADDRESS_WIDTH</ipxact:displayName> + <ipxact:value>10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DEPTH_PIPE" type="int"> + <ipxact:name>DEPTH_PIPE</ipxact:name> + <ipxact:displayName>Pipeline stages for link_clk domain reset signal</ipxact:displayName> + <ipxact:value>3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="xcvr_ip" type="string"> + <ipxact:name>xcvr_ip</ipxact:name> + <ipxact:displayName>xcvr_ip</ipxact:displayName> + <ipxact:value>ltile</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="die_types" type="string"> + <ipxact:name>die_types</ipxact:name> + <ipxact:displayName>die_types</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="die_revisions" type="string"> + <ipxact:name>die_revisions</ipxact:name> + <ipxact:displayName>die_revisions</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="support_c1" type="bit"> + <ipxact:name>support_c1</ipxact:name> + <ipxact:displayName>support_c1</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="support_c2" type="bit"> + <ipxact:name>support_c2</ipxact:name> + <ipxact:displayName>support_c2</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="support_c3" type="bit"> + <ipxact:name>support_c3</ipxact:name> + <ipxact:displayName>support_c3</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="crete_tile_status" type="string"> + <ipxact:name>crete_tile_status</ipxact:name> + <ipxact:displayName>Transceiver Tile</ipxact:displayName> + <ipxact:value>ltile</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_user_crete_tile" type="string"> + <ipxact:name>gui_user_crete_tile</ipxact:name> + <ipxact:displayName>Transceiver Tile</ipxact:displayName> + <ipxact:value>htile</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="TEST_COMPONENTS_EN" type="bit"> + <ipxact:name>TEST_COMPONENTS_EN</ipxact:name> + <ipxact:displayName>Add Test Components</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="TERMINATE_RECONFIG_EN" type="bit"> + <ipxact:name>TERMINATE_RECONFIG_EN</ipxact:name> + <ipxact:displayName>Terminate Reconfig Signals</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ED_TYPE" type="string"> + <ipxact:name>ED_TYPE</ipxact:name> + <ipxact:displayName>Select Design</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ED_FILESET_SIM" type="bit"> + <ipxact:name>ED_FILESET_SIM</ipxact:name> + <ipxact:displayName>Simulation</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ED_FILESET_SYNTH" type="bit"> + <ipxact:name>ED_FILESET_SYNTH</ipxact:name> + <ipxact:displayName>Synthesis</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ED_HDL_FORMAT_SIM" type="string"> + <ipxact:name>ED_HDL_FORMAT_SIM</ipxact:name> + <ipxact:displayName>HDL Format</ipxact:displayName> + <ipxact:value>VERILOG</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ED_SIM_PAT_TESTMODE" type="string"> + <ipxact:name>ED_SIM_PAT_TESTMODE</ipxact:name> + <ipxact:displayName>Test pattern</ipxact:displayName> + <ipxact:value>PRBS_7</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ED_HDL_FORMAT_SYNTH" type="string"> + <ipxact:name>ED_HDL_FORMAT_SYNTH</ipxact:name> + <ipxact:displayName>HDL Format</ipxact:displayName> + <ipxact:value>VERILOG</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ED_DEV_KIT" type="string"> + <ipxact:name>ED_DEV_KIT</ipxact:name> + <ipxact:displayName>Select Board</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_ED_DEV_KIT" type="string"> + <ipxact:name>GUI_ED_DEV_KIT</ipxact:name> + <ipxact:displayName>Select Board</ipxact:displayName> + <ipxact:value>None</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ED_SINGLE_REFCLK" type="bit"> + <ipxact:name>ED_SINGLE_REFCLK</ipxact:name> + <ipxact:displayName>Single reference clock (Advanced users only. Not recommended.)</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ED_3WIRE_SPI" type="bit"> + <ipxact:name>ED_3WIRE_SPI</ipxact:name> + <ipxact:displayName>Generate 3-wire SPI module</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="SELECT_CUSTOM_DEVICE" type="bit"> + <ipxact:name>SELECT_CUSTOM_DEVICE</ipxact:name> + <ipxact:displayName>Change Target Device</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DYN_CSR" type="string"> + <ipxact:name>DYN_CSR</ipxact:name> + <ipxact:displayName>Dynamic CSR Configuration for DV purpose</ipxact:displayName> + <ipxact:value>DISABLE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcp_load_enable" type="int"> + <ipxact:name>rcp_load_enable</ipxact:name> + <ipxact:displayName>Enable adaptation load soft IP</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_adpt_multi_recipe" type="int"> + <ipxact:name>enable_adpt_multi_recipe</ipxact:name> + <ipxact:displayName>enable_adpt_multi_recipe</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cal_recipe_sel" type="string"> + <ipxact:name>cal_recipe_sel</ipxact:name> + <ipxact:displayName>PMA adaptation Select </ipxact:displayName> + <ipxact:value>NRZ_28Gbps_VSR</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_pma_adpt_disp" type="int"> + <ipxact:name>enable_pma_adpt_disp</ipxact:name> + <ipxact:displayName>enable_pma_adpt_disp</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ctle_lf_val_a" type="int"> + <ipxact:name>ctle_lf_val_a</ipxact:name> + <ipxact:displayName>GAINLF</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ctle_lf_val_ada_a" type="string"> + <ipxact:name>ctle_lf_val_ada_a</ipxact:name> + <ipxact:displayName>GAINLF Fix/Adaptable</ipxact:displayName> + <ipxact:value>adaptable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ctle_lf_min_a" type="int"> + <ipxact:name>ctle_lf_min_a</ipxact:name> + <ipxact:displayName>CTLE LF Min</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ctle_lf_max_a" type="int"> + <ipxact:name>ctle_lf_max_a</ipxact:name> + <ipxact:displayName>CTLE LF Max</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ctle_hf_val_a" type="int"> + <ipxact:name>ctle_hf_val_a</ipxact:name> + <ipxact:displayName>GAINHF</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ctle_hf_val_ada_a" type="string"> + <ipxact:name>ctle_hf_val_ada_a</ipxact:name> + <ipxact:displayName>GAINHF Fix/Adaptable</ipxact:displayName> + <ipxact:value>adaptable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ctle_hf_min_a" type="int"> + <ipxact:name>ctle_hf_min_a</ipxact:name> + <ipxact:displayName>CTLE HF Min</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ctle_hf_max_a" type="int"> + <ipxact:name>ctle_hf_max_a</ipxact:name> + <ipxact:displayName>CTLE HF Max</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_p2_val_a" type="int"> + <ipxact:name>rf_p2_val_a</ipxact:name> + <ipxact:displayName>RF_P2</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_p2_val_ada_a" type="string"> + <ipxact:name>rf_p2_val_ada_a</ipxact:name> + <ipxact:displayName>RF_P2 Fix/Adaptable</ipxact:displayName> + <ipxact:value>adaptable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_p2_min_a" type="int"> + <ipxact:name>rf_p2_min_a</ipxact:name> + <ipxact:displayName>RF_P2_MIN</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_p2_max_a" type="int"> + <ipxact:name>rf_p2_max_a</ipxact:name> + <ipxact:displayName>RF_P2_MAX</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_p1_val_a" type="int"> + <ipxact:name>rf_p1_val_a</ipxact:name> + <ipxact:displayName>RF_P1</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_p1_val_ada_a" type="string"> + <ipxact:name>rf_p1_val_ada_a</ipxact:name> + <ipxact:displayName>RF_P1 Fix/Adaptable</ipxact:displayName> + <ipxact:value>adaptable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_p1_min_a" type="int"> + <ipxact:name>rf_p1_min_a</ipxact:name> + <ipxact:displayName>RF_P1_MIN</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_p1_max_a" type="int"> + <ipxact:name>rf_p1_max_a</ipxact:name> + <ipxact:displayName>RF_P1_MAX</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_reserved0_a" type="int"> + <ipxact:name>rf_reserved0_a</ipxact:name> + <ipxact:displayName>Reserved 0</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_p0_val_a" type="int"> + <ipxact:name>rf_p0_val_a</ipxact:name> + <ipxact:displayName>RF_P0</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_p0_val_ada_a" type="string"> + <ipxact:name>rf_p0_val_ada_a</ipxact:name> + <ipxact:displayName>RF_P0 Fix/Adaptable</ipxact:displayName> + <ipxact:value>adaptable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_reserved1_a" type="int"> + <ipxact:name>rf_reserved1_a</ipxact:name> + <ipxact:displayName>Reserved 1</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_b0t_a" type="int"> + <ipxact:name>rf_b0t_a</ipxact:name> + <ipxact:displayName>RF_B0T</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ctle_gs1_val_a" type="int"> + <ipxact:name>ctle_gs1_val_a</ipxact:name> + <ipxact:displayName>GS1</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ctle_gs2_val_a" type="int"> + <ipxact:name>ctle_gs2_val_a</ipxact:name> + <ipxact:displayName>GS2</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_b1_a" type="int"> + <ipxact:name>rf_b1_a</ipxact:name> + <ipxact:displayName>RF_B1</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_b1_ada_a" type="string"> + <ipxact:name>rf_b1_ada_a</ipxact:name> + <ipxact:displayName>RF_B1 Fix/Adaptable</ipxact:displayName> + <ipxact:value>adaptable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_b0_a" type="int"> + <ipxact:name>rf_b0_a</ipxact:name> + <ipxact:displayName>RF_B0</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_b0_ada_a" type="string"> + <ipxact:name>rf_b0_ada_a</ipxact:name> + <ipxact:displayName>RF_B0 Fix/Adaptable</ipxact:displayName> + <ipxact:value>adaptable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_a_a" type="int"> + <ipxact:name>rf_a_a</ipxact:name> + <ipxact:displayName>RF_A</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_ctle_frz_a" type="int"> + <ipxact:name>l_ctle_frz_a</ipxact:name> + <ipxact:displayName>l_ctle_frz_a</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_rf_frz_a" type="int"> + <ipxact:name>l_rf_frz_a</ipxact:name> + <ipxact:displayName>l_rf_frz_a</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ctle_lf_val_b" type="int"> + <ipxact:name>ctle_lf_val_b</ipxact:name> + <ipxact:displayName>GAINLF</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ctle_lf_val_ada_b" type="string"> + <ipxact:name>ctle_lf_val_ada_b</ipxact:name> + <ipxact:displayName>GAINLF Fix/Adaptable</ipxact:displayName> + <ipxact:value>adaptable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ctle_lf_min_b" type="int"> + <ipxact:name>ctle_lf_min_b</ipxact:name> + <ipxact:displayName>CTLE LF Min</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ctle_lf_max_b" type="int"> + <ipxact:name>ctle_lf_max_b</ipxact:name> + <ipxact:displayName>CTLE LF Max</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ctle_hf_val_b" type="int"> + <ipxact:name>ctle_hf_val_b</ipxact:name> + <ipxact:displayName>GAINHF</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ctle_hf_val_ada_b" type="string"> + <ipxact:name>ctle_hf_val_ada_b</ipxact:name> + <ipxact:displayName>GAINHF Fix/Adaptable</ipxact:displayName> + <ipxact:value>adaptable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ctle_hf_min_b" type="int"> + <ipxact:name>ctle_hf_min_b</ipxact:name> + <ipxact:displayName>CTLE HF Min</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ctle_hf_max_b" type="int"> + <ipxact:name>ctle_hf_max_b</ipxact:name> + <ipxact:displayName>CTLE HF Max</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_p2_val_b" type="int"> + <ipxact:name>rf_p2_val_b</ipxact:name> + <ipxact:displayName>RF_P2</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_p2_val_ada_b" type="string"> + <ipxact:name>rf_p2_val_ada_b</ipxact:name> + <ipxact:displayName>RF_P2 Fix/Adaptable</ipxact:displayName> + <ipxact:value>adaptable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_p2_min_b" type="int"> + <ipxact:name>rf_p2_min_b</ipxact:name> + <ipxact:displayName>RF_P2_MIN</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_p2_max_b" type="int"> + <ipxact:name>rf_p2_max_b</ipxact:name> + <ipxact:displayName>RF_P2_MAX</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_p1_val_b" type="int"> + <ipxact:name>rf_p1_val_b</ipxact:name> + <ipxact:displayName>RF_P1</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_p1_val_ada_b" type="string"> + <ipxact:name>rf_p1_val_ada_b</ipxact:name> + <ipxact:displayName>RF_P1 Fix/Adaptable</ipxact:displayName> + <ipxact:value>adaptable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_p1_min_b" type="int"> + <ipxact:name>rf_p1_min_b</ipxact:name> + <ipxact:displayName>RF_P1_MIN</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_p1_max_b" type="int"> + <ipxact:name>rf_p1_max_b</ipxact:name> + <ipxact:displayName>RF_P1_MAX</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_reserved0_b" type="int"> + <ipxact:name>rf_reserved0_b</ipxact:name> + <ipxact:displayName>Reserved 0</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_p0_val_b" type="int"> + <ipxact:name>rf_p0_val_b</ipxact:name> + <ipxact:displayName>RF_P0</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_p0_val_ada_b" type="string"> + <ipxact:name>rf_p0_val_ada_b</ipxact:name> + <ipxact:displayName>RF_P0 Fix/Adaptable</ipxact:displayName> + <ipxact:value>adaptable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_reserved1_b" type="int"> + <ipxact:name>rf_reserved1_b</ipxact:name> + <ipxact:displayName>Reserved 1</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_b0t_b" type="int"> + <ipxact:name>rf_b0t_b</ipxact:name> + <ipxact:displayName>RF_B0T</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ctle_gs1_val_b" type="int"> + <ipxact:name>ctle_gs1_val_b</ipxact:name> + <ipxact:displayName>GS1</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ctle_gs2_val_b" type="int"> + <ipxact:name>ctle_gs2_val_b</ipxact:name> + <ipxact:displayName>GS2</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_b1_b" type="int"> + <ipxact:name>rf_b1_b</ipxact:name> + <ipxact:displayName>RF_B1</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_b1_ada_b" type="string"> + <ipxact:name>rf_b1_ada_b</ipxact:name> + <ipxact:displayName>RF_B1 Fix/Adaptable</ipxact:displayName> + <ipxact:value>adaptable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_b0_b" type="int"> + <ipxact:name>rf_b0_b</ipxact:name> + <ipxact:displayName>RF_B0</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_b0_ada_b" type="string"> + <ipxact:name>rf_b0_ada_b</ipxact:name> + <ipxact:displayName>RF_B0 Fix/Adaptable</ipxact:displayName> + <ipxact:value>adaptable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_a_b" type="int"> + <ipxact:name>rf_a_b</ipxact:name> + <ipxact:displayName>RF_A</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_ctle_frz_b" type="int"> + <ipxact:name>l_ctle_frz_b</ipxact:name> + <ipxact:displayName>l_ctle_frz_b</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_rf_frz_b" type="int"> + <ipxact:name>l_rf_frz_b</ipxact:name> + <ipxact:displayName>l_rf_frz_b</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_multi_enable" type="int"> + <ipxact:name>adpt_multi_enable</ipxact:name> + <ipxact:displayName>Enable multiple PMA configuration</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_recipe_cnt" type="int"> + <ipxact:name>adpt_recipe_cnt</ipxact:name> + <ipxact:displayName>Number of PMA configuration</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_recipe_select" type="int"> + <ipxact:name>adpt_recipe_select</ipxact:name> + <ipxact:displayName>Select a PMA configuration to load or store</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_recipe_data0" type="string"> + <ipxact:name>adpt_recipe_data0</ipxact:name> + <ipxact:displayName>adpt_recipe_data0</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_recipe_data1" type="string"> + <ipxact:name>adpt_recipe_data1</ipxact:name> + <ipxact:displayName>adpt_recipe_data1</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_recipe_data2" type="string"> + <ipxact:name>adpt_recipe_data2</ipxact:name> + <ipxact:displayName>adpt_recipe_data2</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_recipe_data3" type="string"> + <ipxact:name>adpt_recipe_data3</ipxact:name> + <ipxact:displayName>adpt_recipe_data3</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_recipe_data4" type="string"> + <ipxact:name>adpt_recipe_data4</ipxact:name> + <ipxact:displayName>adpt_recipe_data4</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_recipe_data5" type="string"> + <ipxact:name>adpt_recipe_data5</ipxact:name> + <ipxact:displayName>adpt_recipe_data5</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_recipe_data6" type="string"> + <ipxact:name>adpt_recipe_data6</ipxact:name> + <ipxact:displayName>adpt_recipe_data6</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_recipe_data7" type="string"> + <ipxact:name>adpt_recipe_data7</ipxact:name> + <ipxact:displayName>adpt_recipe_data7</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_params" type="string"> + <ipxact:name>adpt_params</ipxact:name> + <ipxact:displayName>adpt_params</ipxact:displayName> + <ipxact:value>ctle_lf_val_a,ctle_lf_val_ada_a,ctle_lf_min_a,ctle_lf_max_a,ctle_hf_val_a,ctle_hf_val_ada_a,ctle_hf_min_a,ctle_hf_max_a,rf_p2_val_a,rf_p2_val_ada_a,rf_p2_min_a,rf_p2_max_a,rf_p1_val_a,rf_p1_val_ada_a,rf_p1_min_a,rf_p1_max_a,rf_reserved0_a,rf_p0_val_a,rf_p0_val_ada_a,rf_reserved1_a,rf_b0t_a,ctle_gs1_val_a,ctle_gs2_val_a,rf_b1_a,rf_b1_ada_a,rf_b0_a,rf_b0_ada_a,rf_a_a,ctle_lf_val_b,ctle_lf_val_ada_b,ctle_lf_min_b,ctle_lf_max_b,ctle_hf_val_b,ctle_hf_val_ada_b,ctle_hf_min_b,ctle_hf_max_b,rf_p2_val_b,rf_p2_val_ada_b,rf_p2_min_b,rf_p2_max_b,rf_p1_val_b,rf_p1_val_ada_b,rf_p1_min_b,rf_p1_max_b,rf_reserved0_b,rf_p0_val_b,rf_p0_val_ada_b,rf_reserved1_b,rf_b0t_b,ctle_gs1_val_b,ctle_gs2_val_b,rf_b1_b,rf_b1_ada_b,rf_b0_b,rf_b0_ada_b,rf_a_b</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_params_a" type="string"> + <ipxact:name>adpt_params_a</ipxact:name> + <ipxact:displayName>adpt_params_a</ipxact:displayName> + <ipxact:value>ctle_lf_val_a,ctle_lf_val_ada_a,ctle_lf_min_a,ctle_lf_max_a,ctle_hf_val_a,ctle_hf_val_ada_a,ctle_hf_min_a,ctle_hf_max_a,rf_p2_val_a,rf_p2_val_ada_a,rf_p2_min_a,rf_p2_max_a,rf_p1_val_a,rf_p1_val_ada_a,rf_p1_min_a,rf_p1_max_a,rf_reserved0_a,rf_p0_val_a,rf_p0_val_ada_a,rf_reserved1_a,rf_b0t_a,ctle_gs1_val_a,ctle_gs2_val_a,rf_b1_a,rf_b1_ada_a,rf_b0_a,rf_b0_ada_a,rf_a_a</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_params_b" type="string"> + <ipxact:name>adpt_params_b</ipxact:name> + <ipxact:displayName>adpt_params_b</ipxact:displayName> + <ipxact:value>ctle_lf_val_b,ctle_lf_val_ada_b,ctle_lf_min_b,ctle_lf_max_b,ctle_hf_val_b,ctle_hf_val_ada_b,ctle_hf_min_b,ctle_hf_max_b,rf_p2_val_b,rf_p2_val_ada_b,rf_p2_min_b,rf_p2_max_b,rf_p1_val_b,rf_p1_val_ada_b,rf_p1_min_b,rf_p1_max_b,rf_reserved0_b,rf_p0_val_b,rf_p0_val_ada_b,rf_reserved1_b,rf_b0t_b,ctle_gs1_val_b,ctle_gs2_val_b,rf_b1_b,rf_b1_ada_b,rf_b0_b,rf_b0_ada_b,rf_a_b</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_param_labels_a" type="string"> + <ipxact:name>adpt_param_labels_a</ipxact:name> + <ipxact:displayName>Init. Parameters</ipxact:displayName> + <ipxact:value>GAINLF,GAINLF Fix/Adaptable,CTLE LF Min,CTLE LF Max,GAINHF,GAINHF Fix/Adaptable,CTLE HF Min,CTLE HF Max,RF_P2,RF_P2 Fix/Adaptable,RF_P2_MIN,RF_P2_MAX,RF_P1,RF_P1 Fix/Adaptable,RF_P1_MIN,RF_P1_MAX,Reserved 0,RF_P0,RF_P0 Fix/Adaptable,Reserved 1,RF_B0T,GS1,GS2,RF_B1,RF_B1 Fix/Adaptable,RF_B0,RF_B0 Fix/Adaptable,RF_A</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_param_labels_b" type="string"> + <ipxact:name>adpt_param_labels_b</ipxact:name> + <ipxact:displayName>Cont. Parameters</ipxact:displayName> + <ipxact:value>GAINLF,GAINLF Fix/Adaptable,CTLE LF Min,CTLE LF Max,GAINHF,GAINHF Fix/Adaptable,CTLE HF Min,CTLE HF Max,RF_P2,RF_P2 Fix/Adaptable,RF_P2_MIN,RF_P2_MAX,RF_P1,RF_P1 Fix/Adaptable,RF_P1_MIN,RF_P1_MAX,Reserved 0,RF_P0,RF_P0 Fix/Adaptable,Reserved 1,RF_B0T,GS1,GS2,RF_B1,RF_B1 Fix/Adaptable,RF_B0,RF_B0 Fix/Adaptable,RF_A</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_param_vals0" type="string"> + <ipxact:name>adpt_param_vals0</ipxact:name> + <ipxact:displayName>adpt_param_vals0</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_param_vals1" type="string"> + <ipxact:name>adpt_param_vals1</ipxact:name> + <ipxact:displayName>adpt_param_vals1</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_param_vals2" type="string"> + <ipxact:name>adpt_param_vals2</ipxact:name> + <ipxact:displayName>adpt_param_vals2</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_param_vals3" type="string"> + <ipxact:name>adpt_param_vals3</ipxact:name> + <ipxact:displayName>adpt_param_vals3</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_param_vals4" type="string"> + <ipxact:name>adpt_param_vals4</ipxact:name> + <ipxact:displayName>adpt_param_vals4</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_param_vals5" type="string"> + <ipxact:name>adpt_param_vals5</ipxact:name> + <ipxact:displayName>adpt_param_vals5</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_param_vals6" type="string"> + <ipxact:name>adpt_param_vals6</ipxact:name> + <ipxact:displayName>adpt_param_vals6</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_param_vals7" type="string"> + <ipxact:name>adpt_param_vals7</ipxact:name> + <ipxact:displayName>adpt_param_vals7</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_param_vals0_a" type="string"> + <ipxact:name>adpt_param_vals0_a</ipxact:name> + <ipxact:displayName>PMA configuration 0</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_param_vals1_a" type="string"> + <ipxact:name>adpt_param_vals1_a</ipxact:name> + <ipxact:displayName>PMA configuration 1</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_param_vals2_a" type="string"> + <ipxact:name>adpt_param_vals2_a</ipxact:name> + <ipxact:displayName>PMA configuration 2</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_param_vals3_a" type="string"> + <ipxact:name>adpt_param_vals3_a</ipxact:name> + <ipxact:displayName>PMA configuration 3</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_param_vals4_a" type="string"> + <ipxact:name>adpt_param_vals4_a</ipxact:name> + <ipxact:displayName>PMA configuration 4</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_param_vals5_a" type="string"> + <ipxact:name>adpt_param_vals5_a</ipxact:name> + <ipxact:displayName>PMA configuration 5</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_param_vals6_a" type="string"> + <ipxact:name>adpt_param_vals6_a</ipxact:name> + <ipxact:displayName>PMA configuration 6</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_param_vals7_a" type="string"> + <ipxact:name>adpt_param_vals7_a</ipxact:name> + <ipxact:displayName>PMA configuration 7</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_param_vals0_b" type="string"> + <ipxact:name>adpt_param_vals0_b</ipxact:name> + <ipxact:displayName>PMA configuration 0</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_param_vals1_b" type="string"> + <ipxact:name>adpt_param_vals1_b</ipxact:name> + <ipxact:displayName>PMA configuration 1</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_param_vals2_b" type="string"> + <ipxact:name>adpt_param_vals2_b</ipxact:name> + <ipxact:displayName>PMA configuration 2</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_param_vals3_b" type="string"> + <ipxact:name>adpt_param_vals3_b</ipxact:name> + <ipxact:displayName>PMA configuration 3</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_param_vals4_b" type="string"> + <ipxact:name>adpt_param_vals4_b</ipxact:name> + <ipxact:displayName>PMA configuration 4</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_param_vals5_b" type="string"> + <ipxact:name>adpt_param_vals5_b</ipxact:name> + <ipxact:displayName>PMA configuration 5</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_param_vals6_b" type="string"> + <ipxact:name>adpt_param_vals6_b</ipxact:name> + <ipxact:displayName>PMA configuration 6</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_param_vals7_b" type="string"> + <ipxact:name>adpt_param_vals7_b</ipxact:name> + <ipxact:displayName>PMA configuration 7</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="AUTO_DEVICE" type="string"> + <ipxact:name>AUTO_DEVICE</ipxact:name> + <ipxact:displayName>Auto DEVICE</ipxact:displayName> + <ipxact:value>10AX115U3F45E2SG</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_module_parameters> + <altera:altera_system_parameters> + <ipxact:parameters> + <ipxact:parameter parameterId="device" type="string"> + <ipxact:name>device</ipxact:name> + <ipxact:displayName>Device</ipxact:displayName> + <ipxact:value>10AX115U3F45E2SG</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="deviceFamily" type="string"> + <ipxact:name>deviceFamily</ipxact:name> + <ipxact:displayName>Device family</ipxact:displayName> + <ipxact:value>Arria 10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="deviceSpeedGrade" type="string"> + <ipxact:name>deviceSpeedGrade</ipxact:name> + <ipxact:displayName>Device Speed Grade</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="generationId" type="int"> + <ipxact:name>generationId</ipxact:name> + <ipxact:displayName>Generation Id</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="bonusData" type="string"> + <ipxact:name>bonusData</ipxact:name> + <ipxact:displayName>bonusData</ipxact:displayName> + <ipxact:value>bonusData +{ + element jesd204_0 + { + datum _sortIndex + { + value = "0"; + type = "int"; + } + } +} +</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hideFromIPCatalog" type="bit"> + <ipxact:name>hideFromIPCatalog</ipxact:name> + <ipxact:displayName>Hide from IP Catalog</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="lockedInterfaceDefinition" type="string"> + <ipxact:name>lockedInterfaceDefinition</ipxact:name> + <ipxact:displayName>lockedInterfaceDefinition</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="systemInfos" type="string"> + <ipxact:name>systemInfos</ipxact:name> + <ipxact:displayName>systemInfos</ipxact:displayName> + <ipxact:value><systemInfosDefinition> + <connPtSystemInfos> + <entry> + <key>jesd204_rx_avs</key> + <value> + <connectionPointName>jesd204_rx_avs</connectionPointName> + <suppliedSystemInfos/> + <consumedSystemInfos> + <entry> + <key>ADDRESS_MAP</key> + <value>&lt;address-map&gt;&lt;slave name='jesd204_rx_avs' start='0x0' end='0x400' datawidth='32' /&gt;&lt;/address-map&gt;</value> + </entry> + <entry> + <key>ADDRESS_WIDTH</key> + <value>10</value> + </entry> + <entry> + <key>MAX_SLAVE_DATA_WIDTH</key> + <value>32</value> + </entry> + </consumedSystemInfos> + </value> + </entry> + <entry> + <key>jesd204_tx_avs</key> + <value> + <connectionPointName>jesd204_tx_avs</connectionPointName> + <suppliedSystemInfos/> + <consumedSystemInfos> + <entry> + <key>ADDRESS_MAP</key> + <value>&lt;address-map&gt;&lt;slave name='jesd204_tx_avs' start='0x0' end='0x400' datawidth='32' /&gt;&lt;/address-map&gt;</value> + </entry> + <entry> + <key>ADDRESS_WIDTH</key> + <value>10</value> + </entry> + <entry> + <key>MAX_SLAVE_DATA_WIDTH</key> + <value>32</value> + </entry> + </consumedSystemInfos> + </value> + </entry> + </connPtSystemInfos> +</systemInfosDefinition></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_system_parameters> + <altera:altera_interface_boundary> + <altera:interface_mapping altera:name="alldev_lane_aligned" altera:internal="jesd204_0.alldev_lane_aligned"></altera:interface_mapping> + <altera:interface_mapping altera:name="csr_cf" altera:internal="jesd204_0.csr_cf" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="csr_cf" altera:internal="csr_cf"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="csr_cs" altera:internal="jesd204_0.csr_cs" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="csr_cs" altera:internal="csr_cs"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="csr_f" altera:internal="jesd204_0.csr_f" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="csr_f" altera:internal="csr_f"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="csr_hd" altera:internal="jesd204_0.csr_hd" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="csr_hd" altera:internal="csr_hd"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="csr_k" altera:internal="jesd204_0.csr_k" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="csr_k" altera:internal="csr_k"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="csr_l" altera:internal="jesd204_0.csr_l" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="csr_l" altera:internal="csr_l"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="csr_lane_powerdown" altera:internal="jesd204_0.csr_lane_powerdown" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="csr_lane_powerdown" altera:internal="csr_lane_powerdown"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="csr_m" altera:internal="jesd204_0.csr_m" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="csr_m" altera:internal="csr_m"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="csr_n" altera:internal="jesd204_0.csr_n" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="csr_n" altera:internal="csr_n"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="csr_np" altera:internal="jesd204_0.csr_np" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="csr_np" altera:internal="csr_np"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="csr_rx_testmode" altera:internal="jesd204_0.csr_rx_testmode"></altera:interface_mapping> + <altera:interface_mapping altera:name="csr_s" altera:internal="jesd204_0.csr_s" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="csr_s" altera:internal="csr_s"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="csr_tx_testmode" altera:internal="jesd204_0.csr_tx_testmode" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="csr_tx_testmode" altera:internal="csr_tx_testmode"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="csr_tx_testpattern_a" altera:internal="jesd204_0.csr_tx_testpattern_a" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="csr_tx_testpattern_a" altera:internal="csr_tx_testpattern_a"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="csr_tx_testpattern_b" altera:internal="jesd204_0.csr_tx_testpattern_b" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="csr_tx_testpattern_b" altera:internal="csr_tx_testpattern_b"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="csr_tx_testpattern_c" altera:internal="jesd204_0.csr_tx_testpattern_c" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="csr_tx_testpattern_c" altera:internal="csr_tx_testpattern_c"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="csr_tx_testpattern_d" altera:internal="jesd204_0.csr_tx_testpattern_d" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="csr_tx_testpattern_d" altera:internal="csr_tx_testpattern_d"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="dev_lane_aligned" altera:internal="jesd204_0.dev_lane_aligned"></altera:interface_mapping> + <altera:interface_mapping altera:name="dev_sync_n" altera:internal="jesd204_0.dev_sync_n" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="dev_sync_n" altera:internal="dev_sync_n"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="jesd204_rx_avs" altera:internal="jesd204_0.jesd204_rx_avs"></altera:interface_mapping> + <altera:interface_mapping altera:name="jesd204_rx_avs_clk" altera:internal="jesd204_0.jesd204_rx_avs_clk"></altera:interface_mapping> + <altera:interface_mapping altera:name="jesd204_rx_avs_rst_n" altera:internal="jesd204_0.jesd204_rx_avs_rst_n"></altera:interface_mapping> + <altera:interface_mapping altera:name="jesd204_rx_dlb_data" altera:internal="jesd204_0.jesd204_rx_dlb_data"></altera:interface_mapping> + <altera:interface_mapping altera:name="jesd204_rx_dlb_data_valid" altera:internal="jesd204_0.jesd204_rx_dlb_data_valid"></altera:interface_mapping> + <altera:interface_mapping altera:name="jesd204_rx_dlb_disperr" altera:internal="jesd204_0.jesd204_rx_dlb_disperr"></altera:interface_mapping> + <altera:interface_mapping altera:name="jesd204_rx_dlb_errdetect" altera:internal="jesd204_0.jesd204_rx_dlb_errdetect"></altera:interface_mapping> + <altera:interface_mapping altera:name="jesd204_rx_dlb_kchar_data" altera:internal="jesd204_0.jesd204_rx_dlb_kchar_data"></altera:interface_mapping> + <altera:interface_mapping altera:name="jesd204_rx_frame_error" altera:internal="jesd204_0.jesd204_rx_frame_error"></altera:interface_mapping> + <altera:interface_mapping altera:name="jesd204_rx_int" altera:internal="jesd204_0.jesd204_rx_int"></altera:interface_mapping> + <altera:interface_mapping altera:name="jesd204_rx_link" altera:internal="jesd204_0.jesd204_rx_link"></altera:interface_mapping> + <altera:interface_mapping altera:name="jesd204_tx_avs" altera:internal="jesd204_0.jesd204_tx_avs" altera:type="avalon" altera:dir="end"> + <altera:port_mapping altera:name="jesd204_tx_avs_address" altera:internal="jesd204_tx_avs_address"></altera:port_mapping> + <altera:port_mapping altera:name="jesd204_tx_avs_chipselect" altera:internal="jesd204_tx_avs_chipselect"></altera:port_mapping> + <altera:port_mapping altera:name="jesd204_tx_avs_read" altera:internal="jesd204_tx_avs_read"></altera:port_mapping> + <altera:port_mapping altera:name="jesd204_tx_avs_readdata" altera:internal="jesd204_tx_avs_readdata"></altera:port_mapping> + <altera:port_mapping altera:name="jesd204_tx_avs_waitrequest" altera:internal="jesd204_tx_avs_waitrequest"></altera:port_mapping> + <altera:port_mapping altera:name="jesd204_tx_avs_write" altera:internal="jesd204_tx_avs_write"></altera:port_mapping> + <altera:port_mapping altera:name="jesd204_tx_avs_writedata" altera:internal="jesd204_tx_avs_writedata"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="jesd204_tx_avs_clk" altera:internal="jesd204_0.jesd204_tx_avs_clk" altera:type="clock" altera:dir="end"> + <altera:port_mapping altera:name="jesd204_tx_avs_clk" altera:internal="jesd204_tx_avs_clk"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="jesd204_tx_avs_rst_n" altera:internal="jesd204_0.jesd204_tx_avs_rst_n" altera:type="reset" altera:dir="end"> + <altera:port_mapping altera:name="jesd204_tx_avs_rst_n" altera:internal="jesd204_tx_avs_rst_n"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="jesd204_tx_dlb_data" altera:internal="jesd204_0.jesd204_tx_dlb_data" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="jesd204_tx_dlb_data" altera:internal="jesd204_tx_dlb_data"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="jesd204_tx_dlb_kchar_data" altera:internal="jesd204_0.jesd204_tx_dlb_kchar_data" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="jesd204_tx_dlb_kchar_data" altera:internal="jesd204_tx_dlb_kchar_data"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="jesd204_tx_frame_error" altera:internal="jesd204_0.jesd204_tx_frame_error" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="jesd204_tx_frame_error" altera:internal="jesd204_tx_frame_error"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="jesd204_tx_frame_ready" altera:internal="jesd204_0.jesd204_tx_frame_ready" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="jesd204_tx_frame_ready" altera:internal="jesd204_tx_frame_ready"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="jesd204_tx_int" altera:internal="jesd204_0.jesd204_tx_int" altera:type="interrupt" altera:dir="end"> + <altera:port_mapping altera:name="jesd204_tx_int" altera:internal="jesd204_tx_int"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="jesd204_tx_link" altera:internal="jesd204_0.jesd204_tx_link" altera:type="avalon_streaming" altera:dir="end"> + <altera:port_mapping altera:name="jesd204_tx_link_data" altera:internal="jesd204_tx_link_data"></altera:port_mapping> + <altera:port_mapping altera:name="jesd204_tx_link_ready" altera:internal="jesd204_tx_link_ready"></altera:port_mapping> + <altera:port_mapping altera:name="jesd204_tx_link_valid" altera:internal="jesd204_tx_link_valid"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="mdev_sync_n" altera:internal="jesd204_0.mdev_sync_n" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="mdev_sync_n" altera:internal="mdev_sync_n"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="pll_locked" altera:internal="jesd204_0.pll_locked" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="pll_locked" altera:internal="pll_locked"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_analogreset" altera:internal="jesd204_0.rx_analogreset"></altera:interface_mapping> + <altera:interface_mapping altera:name="rx_cal_busy" altera:internal="jesd204_0.rx_cal_busy"></altera:interface_mapping> + <altera:interface_mapping altera:name="rx_csr_cf" altera:internal="jesd204_0.rx_csr_cf"></altera:interface_mapping> + <altera:interface_mapping altera:name="rx_csr_cs" altera:internal="jesd204_0.rx_csr_cs"></altera:interface_mapping> + <altera:interface_mapping altera:name="rx_csr_f" altera:internal="jesd204_0.rx_csr_f"></altera:interface_mapping> + <altera:interface_mapping altera:name="rx_csr_hd" altera:internal="jesd204_0.rx_csr_hd"></altera:interface_mapping> + <altera:interface_mapping altera:name="rx_csr_k" altera:internal="jesd204_0.rx_csr_k"></altera:interface_mapping> + <altera:interface_mapping altera:name="rx_csr_l" altera:internal="jesd204_0.rx_csr_l"></altera:interface_mapping> + <altera:interface_mapping altera:name="rx_csr_lane_powerdown" altera:internal="jesd204_0.rx_csr_lane_powerdown"></altera:interface_mapping> + <altera:interface_mapping altera:name="rx_csr_m" altera:internal="jesd204_0.rx_csr_m"></altera:interface_mapping> + <altera:interface_mapping altera:name="rx_csr_n" altera:internal="jesd204_0.rx_csr_n"></altera:interface_mapping> + <altera:interface_mapping altera:name="rx_csr_np" altera:internal="jesd204_0.rx_csr_np"></altera:interface_mapping> + <altera:interface_mapping altera:name="rx_csr_s" altera:internal="jesd204_0.rx_csr_s"></altera:interface_mapping> + <altera:interface_mapping altera:name="rx_dev_sync_n" altera:internal="jesd204_0.rx_dev_sync_n"></altera:interface_mapping> + <altera:interface_mapping altera:name="rx_digitalreset" altera:internal="jesd204_0.rx_digitalreset"></altera:interface_mapping> + <altera:interface_mapping altera:name="rx_islockedtodata" altera:internal="jesd204_0.rx_islockedtodata"></altera:interface_mapping> + <altera:interface_mapping altera:name="rx_pll_ref_clk" altera:internal="jesd204_0.rx_pll_ref_clk"></altera:interface_mapping> + <altera:interface_mapping altera:name="rx_serial_data" altera:internal="jesd204_0.rx_serial_data"></altera:interface_mapping> + <altera:interface_mapping altera:name="rx_seriallpbken" altera:internal="jesd204_0.rx_seriallpbken"></altera:interface_mapping> + <altera:interface_mapping altera:name="rx_sof" altera:internal="jesd204_0.rx_sof"></altera:interface_mapping> + <altera:interface_mapping altera:name="rx_somf" altera:internal="jesd204_0.rx_somf"></altera:interface_mapping> + <altera:interface_mapping altera:name="rx_sysref" altera:internal="jesd204_0.rx_sysref"></altera:interface_mapping> + <altera:interface_mapping altera:name="rxlink_clk" altera:internal="jesd204_0.rxlink_clk"></altera:interface_mapping> + <altera:interface_mapping altera:name="rxlink_rst_n" altera:internal="jesd204_0.rxlink_rst_n"></altera:interface_mapping> + <altera:interface_mapping altera:name="rxphy_clk" altera:internal="jesd204_0.rxphy_clk"></altera:interface_mapping> + <altera:interface_mapping altera:name="somf" altera:internal="jesd204_0.somf" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="somf" altera:internal="somf"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="sync_n" altera:internal="jesd204_0.sync_n" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="sync_n" altera:internal="sync_n"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="sysref" altera:internal="jesd204_0.sysref" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="sysref" altera:internal="sysref"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_analogreset" altera:internal="jesd204_0.tx_analogreset" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_analogreset" altera:internal="tx_analogreset"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_bonding_clocks" altera:internal="jesd204_0.tx_bonding_clocks" altera:type="hssi_bonded_clock" altera:dir="end"> + <altera:port_mapping altera:name="tx_bonding_clocks" altera:internal="tx_bonding_clocks"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_bonding_clocks_ch0" altera:internal="jesd204_0.tx_bonding_clocks_ch0"></altera:interface_mapping> + <altera:interface_mapping altera:name="tx_bonding_clocks_ch1" altera:internal="jesd204_0.tx_bonding_clocks_ch1"></altera:interface_mapping> + <altera:interface_mapping altera:name="tx_cal_busy" altera:internal="jesd204_0.tx_cal_busy" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_cal_busy" altera:internal="tx_cal_busy"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_csr_cf" altera:internal="jesd204_0.tx_csr_cf"></altera:interface_mapping> + <altera:interface_mapping altera:name="tx_csr_cs" altera:internal="jesd204_0.tx_csr_cs"></altera:interface_mapping> + <altera:interface_mapping altera:name="tx_csr_f" altera:internal="jesd204_0.tx_csr_f"></altera:interface_mapping> + <altera:interface_mapping altera:name="tx_csr_hd" altera:internal="jesd204_0.tx_csr_hd"></altera:interface_mapping> + <altera:interface_mapping altera:name="tx_csr_k" altera:internal="jesd204_0.tx_csr_k"></altera:interface_mapping> + <altera:interface_mapping altera:name="tx_csr_l" altera:internal="jesd204_0.tx_csr_l"></altera:interface_mapping> + <altera:interface_mapping altera:name="tx_csr_lane_powerdown" altera:internal="jesd204_0.tx_csr_lane_powerdown"></altera:interface_mapping> + <altera:interface_mapping altera:name="tx_csr_m" altera:internal="jesd204_0.tx_csr_m"></altera:interface_mapping> + <altera:interface_mapping altera:name="tx_csr_n" altera:internal="jesd204_0.tx_csr_n"></altera:interface_mapping> + <altera:interface_mapping altera:name="tx_csr_np" altera:internal="jesd204_0.tx_csr_np"></altera:interface_mapping> + <altera:interface_mapping altera:name="tx_csr_s" altera:internal="jesd204_0.tx_csr_s"></altera:interface_mapping> + <altera:interface_mapping altera:name="tx_dev_sync_n" altera:internal="jesd204_0.tx_dev_sync_n"></altera:interface_mapping> + <altera:interface_mapping altera:name="tx_digitalreset" altera:internal="jesd204_0.tx_digitalreset" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_digitalreset" altera:internal="tx_digitalreset"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_serial_data" altera:internal="jesd204_0.tx_serial_data" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_serial_data" altera:internal="tx_serial_data"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_somf" altera:internal="jesd204_0.tx_somf"></altera:interface_mapping> + <altera:interface_mapping altera:name="tx_sysref" altera:internal="jesd204_0.tx_sysref"></altera:interface_mapping> + <altera:interface_mapping altera:name="txlink_clk" altera:internal="jesd204_0.txlink_clk" altera:type="clock" altera:dir="end"> + <altera:port_mapping altera:name="txlink_clk" altera:internal="txlink_clk"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="txlink_rst_n" altera:internal="jesd204_0.txlink_rst_n" altera:type="reset" altera:dir="end"> + <altera:port_mapping altera:name="txlink_rst_n_reset_n" altera:internal="txlink_rst_n_reset_n"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="txphy_clk" altera:internal="jesd204_0.txphy_clk" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="txphy_clk" altera:internal="txphy_clk"></altera:port_mapping> + </altera:interface_mapping> + </altera:altera_interface_boundary> + <altera:altera_has_warnings>false</altera:altera_has_warnings> + <altera:altera_has_errors>false</altera:altera_has_errors> + </ipxact:vendorExtensions> +</ipxact:component> \ No newline at end of file diff --git a/libraries/technology/ip_arria10_e2sg/mac_10g/hdllib.cfg b/libraries/technology/ip_arria10_e2sg/mac_10g/hdllib.cfg index 3b8a784dce..44d2a4da4f 100644 --- a/libraries/technology/ip_arria10_e2sg/mac_10g/hdllib.cfg +++ b/libraries/technology/ip_arria10_e2sg/mac_10g/hdllib.cfg @@ -23,5 +23,5 @@ quartus_qip_files = [generate_ip_libs] qsys-generate_ip_files = - ip_arria10_e2sg_mac_10g.qsys + ip_arria10_e2sg_mac_10g.ip diff --git a/libraries/technology/ip_arria10_e2sg/mac_10g/ip_arria10_e2sg_mac_10g.ip b/libraries/technology/ip_arria10_e2sg/mac_10g/ip_arria10_e2sg_mac_10g.ip new file mode 100644 index 0000000000..9dee11d828 --- /dev/null +++ b/libraries/technology/ip_arria10_e2sg/mac_10g/ip_arria10_e2sg_mac_10g.ip @@ -0,0 +1,2471 @@ +<?xml version="1.0" ?> +<ipxact:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact2014/extensions" xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"> + <ipxact:vendor>Intel Corporation</ipxact:vendor> + <ipxact:library>ip_arria10_e2sg_mac_10g</ipxact:library> + <ipxact:name>alt_em10g32_0</ipxact:name> + <ipxact:version>19.3.0</ipxact:version> + <ipxact:busInterfaces> + <ipxact:busInterface> + <ipxact:name>csr</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="avalon" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="avalon" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>read</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>csr_read</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>write</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>csr_write</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>writedata</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>csr_writedata</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>readdata</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>csr_readdata</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>waitrequest</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>csr_waitrequest</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>address</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>csr_address</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="addressAlignment" type="string"> + <ipxact:name>addressAlignment</ipxact:name> + <ipxact:displayName>Slave addressing</ipxact:displayName> + <ipxact:value>DYNAMIC</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="addressGroup" type="int"> + <ipxact:name>addressGroup</ipxact:name> + <ipxact:displayName>Address group</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="addressSpan" type="string"> + <ipxact:name>addressSpan</ipxact:name> + <ipxact:displayName>Address span</ipxact:displayName> + <ipxact:value>32768</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="addressUnits" type="string"> + <ipxact:name>addressUnits</ipxact:name> + <ipxact:displayName>Address units</ipxact:displayName> + <ipxact:value>WORDS</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="alwaysBurstMaxBurst" type="bit"> + <ipxact:name>alwaysBurstMaxBurst</ipxact:name> + <ipxact:displayName>Always burst maximum burst</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>Associated clock</ipxact:displayName> + <ipxact:value>csr_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>Associated reset</ipxact:displayName> + <ipxact:value>csr_rst_n</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="bitsPerSymbol" type="int"> + <ipxact:name>bitsPerSymbol</ipxact:name> + <ipxact:displayName>Bits per symbol</ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="bridgedAddressOffset" type="string"> + <ipxact:name>bridgedAddressOffset</ipxact:name> + <ipxact:displayName>Bridged Address Offset</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="bridgesToMaster" type="string"> + <ipxact:name>bridgesToMaster</ipxact:name> + <ipxact:displayName>Bridges to master</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="burstOnBurstBoundariesOnly" type="bit"> + <ipxact:name>burstOnBurstBoundariesOnly</ipxact:name> + <ipxact:displayName>Burst on burst boundaries only</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="burstcountUnits" type="string"> + <ipxact:name>burstcountUnits</ipxact:name> + <ipxact:displayName>Burstcount units</ipxact:displayName> + <ipxact:value>WORDS</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="constantBurstBehavior" type="bit"> + <ipxact:name>constantBurstBehavior</ipxact:name> + <ipxact:displayName>Constant burst behavior</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="explicitAddressSpan" type="string"> + <ipxact:name>explicitAddressSpan</ipxact:name> + <ipxact:displayName>Explicit address span</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="holdTime" type="int"> + <ipxact:name>holdTime</ipxact:name> + <ipxact:displayName>Hold</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="interleaveBursts" type="bit"> + <ipxact:name>interleaveBursts</ipxact:name> + <ipxact:displayName>Interleave bursts</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="isBigEndian" type="bit"> + <ipxact:name>isBigEndian</ipxact:name> + <ipxact:displayName>Big endian</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="isFlash" type="bit"> + <ipxact:name>isFlash</ipxact:name> + <ipxact:displayName>Flash memory</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="isMemoryDevice" type="bit"> + <ipxact:name>isMemoryDevice</ipxact:name> + <ipxact:displayName>Memory device</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="isNonVolatileStorage" type="bit"> + <ipxact:name>isNonVolatileStorage</ipxact:name> + <ipxact:displayName>Non-volatile storage</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="linewrapBursts" type="bit"> + <ipxact:name>linewrapBursts</ipxact:name> + <ipxact:displayName>Linewrap bursts</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="maximumPendingReadTransactions" type="int"> + <ipxact:name>maximumPendingReadTransactions</ipxact:name> + <ipxact:displayName>Maximum pending read transactions</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="maximumPendingWriteTransactions" type="int"> + <ipxact:name>maximumPendingWriteTransactions</ipxact:name> + <ipxact:displayName>Maximum pending write transactions</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="minimumReadLatency" type="int"> + <ipxact:name>minimumReadLatency</ipxact:name> + <ipxact:displayName>minimumReadLatency</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="minimumResponseLatency" type="int"> + <ipxact:name>minimumResponseLatency</ipxact:name> + <ipxact:displayName>Minimum response latency</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="minimumUninterruptedRunLength" type="int"> + <ipxact:name>minimumUninterruptedRunLength</ipxact:name> + <ipxact:displayName>Minimum uninterrupted run length</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="printableDevice" type="bit"> + <ipxact:name>printableDevice</ipxact:name> + <ipxact:displayName>Can receive stdout/stderr</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="readLatency" type="int"> + <ipxact:name>readLatency</ipxact:name> + <ipxact:displayName>Read latency</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="readWaitStates" type="int"> + <ipxact:name>readWaitStates</ipxact:name> + <ipxact:displayName>Read wait states</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="readWaitTime" type="int"> + <ipxact:name>readWaitTime</ipxact:name> + <ipxact:displayName>Read wait</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="registerIncomingSignals" type="bit"> + <ipxact:name>registerIncomingSignals</ipxact:name> + <ipxact:displayName>Register incoming signals</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="registerOutgoingSignals" type="bit"> + <ipxact:name>registerOutgoingSignals</ipxact:name> + <ipxact:displayName>Register outgoing signals</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="setupTime" type="int"> + <ipxact:name>setupTime</ipxact:name> + <ipxact:displayName>Setup</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="timingUnits" type="string"> + <ipxact:name>timingUnits</ipxact:name> + <ipxact:displayName>Timing units</ipxact:displayName> + <ipxact:value>Cycles</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="transparentBridge" type="bit"> + <ipxact:name>transparentBridge</ipxact:name> + <ipxact:displayName>Transparent bridge</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="waitrequestAllowance" type="int"> + <ipxact:name>waitrequestAllowance</ipxact:name> + <ipxact:displayName>Waitrequest allowance</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="wellBehavedWaitrequest" type="bit"> + <ipxact:name>wellBehavedWaitrequest</ipxact:name> + <ipxact:displayName>Well-behaved waitrequest</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="writeLatency" type="int"> + <ipxact:name>writeLatency</ipxact:name> + <ipxact:displayName>Write latency</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="writeWaitStates" type="int"> + <ipxact:name>writeWaitStates</ipxact:name> + <ipxact:displayName>Write wait states</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="writeWaitTime" type="int"> + <ipxact:name>writeWaitTime</ipxact:name> + <ipxact:displayName>Write wait</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="embeddedsw.configuration.isFlash" type="string"> + <ipxact:name>embeddedsw.configuration.isFlash</ipxact:name> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="embeddedsw.configuration.isMemoryDevice" type="string"> + <ipxact:name>embeddedsw.configuration.isMemoryDevice</ipxact:name> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="embeddedsw.configuration.isNonVolatileStorage" type="string"> + <ipxact:name>embeddedsw.configuration.isNonVolatileStorage</ipxact:name> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="embeddedsw.configuration.isPrintableDevice" type="string"> + <ipxact:name>embeddedsw.configuration.isPrintableDevice</ipxact:name> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_312_5_clk</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="clock" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_312_5_clk</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="clockRate" type="longint"> + <ipxact:name>clockRate</ipxact:name> + <ipxact:displayName>Clock rate</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="externallyDriven" type="bit"> + <ipxact:name>externallyDriven</ipxact:name> + <ipxact:displayName>Externally driven</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ptfSchematicName" type="string"> + <ipxact:name>ptfSchematicName</ipxact:name> + <ipxact:displayName>PTF schematic name</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_156_25_clk</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="clock" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_156_25_clk</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="clockRate" type="longint"> + <ipxact:name>clockRate</ipxact:name> + <ipxact:displayName>Clock rate</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="externallyDriven" type="bit"> + <ipxact:name>externallyDriven</ipxact:name> + <ipxact:displayName>Externally driven</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ptfSchematicName" type="string"> + <ipxact:name>ptfSchematicName</ipxact:name> + <ipxact:displayName>PTF schematic name</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_312_5_clk</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="clock" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_312_5_clk</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="clockRate" type="longint"> + <ipxact:name>clockRate</ipxact:name> + <ipxact:displayName>Clock rate</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="externallyDriven" type="bit"> + <ipxact:name>externallyDriven</ipxact:name> + <ipxact:displayName>Externally driven</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ptfSchematicName" type="string"> + <ipxact:name>ptfSchematicName</ipxact:name> + <ipxact:displayName>PTF schematic name</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_156_25_clk</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="clock" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_156_25_clk</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="clockRate" type="longint"> + <ipxact:name>clockRate</ipxact:name> + <ipxact:displayName>Clock rate</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="externallyDriven" type="bit"> + <ipxact:name>externallyDriven</ipxact:name> + <ipxact:displayName>Externally driven</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ptfSchematicName" type="string"> + <ipxact:name>ptfSchematicName</ipxact:name> + <ipxact:displayName>PTF schematic name</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>csr_clk</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="clock" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>csr_clk</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="clockRate" type="longint"> + <ipxact:name>clockRate</ipxact:name> + <ipxact:displayName>Clock rate</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="externallyDriven" type="bit"> + <ipxact:name>externallyDriven</ipxact:name> + <ipxact:displayName>Externally driven</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ptfSchematicName" type="string"> + <ipxact:name>ptfSchematicName</ipxact:name> + <ipxact:displayName>PTF schematic name</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>csr_rst_n</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="reset" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="reset" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>reset_n</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>csr_rst_n</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>Associated clock</ipxact:displayName> + <ipxact:value>csr_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="synchronousEdges" type="string"> + <ipxact:name>synchronousEdges</ipxact:name> + <ipxact:displayName>Synchronous edges</ipxact:displayName> + <ipxact:value>DEASSERT</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_rst_n</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="reset" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="reset" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>reset_n</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_rst_n</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>Associated clock</ipxact:displayName> + <ipxact:value>tx_312_5_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="synchronousEdges" type="string"> + <ipxact:name>synchronousEdges</ipxact:name> + <ipxact:displayName>Synchronous edges</ipxact:displayName> + <ipxact:value>DEASSERT</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_rst_n</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="reset" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="reset" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>reset_n</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_rst_n</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>Associated clock</ipxact:displayName> + <ipxact:value>rx_312_5_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="synchronousEdges" type="string"> + <ipxact:name>synchronousEdges</ipxact:name> + <ipxact:displayName>Synchronous edges</ipxact:displayName> + <ipxact:value>DEASSERT</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>unidirectional</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>en</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>unidirectional_en</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>remote_fault_dis</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>unidirectional_remote_fault_dis</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>force_remote_fault</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>unidirectional_force_remote_fault</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>avalon_st_tx</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="avalon_streaming" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="avalon_streaming" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>startofpacket</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>avalon_st_tx_startofpacket</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>endofpacket</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>avalon_st_tx_endofpacket</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>valid</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>avalon_st_tx_valid</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>data</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>avalon_st_tx_data</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>empty</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>avalon_st_tx_empty</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>error</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>avalon_st_tx_error</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>ready</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>avalon_st_tx_ready</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value>tx_156_25_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value>tx_rst_n</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="beatsPerCycle" type="int"> + <ipxact:name>beatsPerCycle</ipxact:name> + <ipxact:displayName>Beats Per Cycle</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="dataBitsPerSymbol" type="int"> + <ipxact:name>dataBitsPerSymbol</ipxact:name> + <ipxact:displayName>Data bits per symbol</ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="emptyWithinPacket" type="bit"> + <ipxact:name>emptyWithinPacket</ipxact:name> + <ipxact:displayName>emptyWithinPacket</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="errorDescriptor" type="string"> + <ipxact:name>errorDescriptor</ipxact:name> + <ipxact:displayName>Error descriptor</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="firstSymbolInHighOrderBits" type="bit"> + <ipxact:name>firstSymbolInHighOrderBits</ipxact:name> + <ipxact:displayName>First Symbol In High-Order Bits</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="highOrderSymbolAtMSB" type="bit"> + <ipxact:name>highOrderSymbolAtMSB</ipxact:name> + <ipxact:displayName>highOrderSymbolAtMSB</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="maxChannel" type="int"> + <ipxact:name>maxChannel</ipxact:name> + <ipxact:displayName>Maximum channel</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="packetDescription" type="string"> + <ipxact:name>packetDescription</ipxact:name> + <ipxact:displayName>Packet description </ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="readyAllowance" type="int"> + <ipxact:name>readyAllowance</ipxact:name> + <ipxact:displayName>Ready allowance</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="readyLatency" type="int"> + <ipxact:name>readyLatency</ipxact:name> + <ipxact:displayName>Ready latency</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="symbolsPerBeat" type="int"> + <ipxact:name>symbolsPerBeat</ipxact:name> + <ipxact:displayName>Symbols per beat </ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>avalon_st_pause</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="avalon_streaming" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="avalon_streaming" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>data</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>avalon_st_pause_data</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value>tx_156_25_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value>tx_rst_n</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="beatsPerCycle" type="int"> + <ipxact:name>beatsPerCycle</ipxact:name> + <ipxact:displayName>Beats Per Cycle</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="dataBitsPerSymbol" type="int"> + <ipxact:name>dataBitsPerSymbol</ipxact:name> + <ipxact:displayName>Data bits per symbol</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="emptyWithinPacket" type="bit"> + <ipxact:name>emptyWithinPacket</ipxact:name> + <ipxact:displayName>emptyWithinPacket</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="errorDescriptor" type="string"> + <ipxact:name>errorDescriptor</ipxact:name> + <ipxact:displayName>Error descriptor</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="firstSymbolInHighOrderBits" type="bit"> + <ipxact:name>firstSymbolInHighOrderBits</ipxact:name> + <ipxact:displayName>First Symbol In High-Order Bits</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="highOrderSymbolAtMSB" type="bit"> + <ipxact:name>highOrderSymbolAtMSB</ipxact:name> + <ipxact:displayName>highOrderSymbolAtMSB</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="maxChannel" type="int"> + <ipxact:name>maxChannel</ipxact:name> + <ipxact:displayName>Maximum channel</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="packetDescription" type="string"> + <ipxact:name>packetDescription</ipxact:name> + <ipxact:displayName>Packet description </ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="readyAllowance" type="int"> + <ipxact:name>readyAllowance</ipxact:name> + <ipxact:displayName>Ready allowance</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="readyLatency" type="int"> + <ipxact:name>readyLatency</ipxact:name> + <ipxact:displayName>Ready latency</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="symbolsPerBeat" type="int"> + <ipxact:name>symbolsPerBeat</ipxact:name> + <ipxact:displayName>Symbols per beat </ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>xgmii_tx</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="avalon_streaming" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="avalon_streaming" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>data</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>xgmii_tx</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:master></ipxact:master> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value>tx_156_25_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value>tx_rst_n</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="beatsPerCycle" type="int"> + <ipxact:name>beatsPerCycle</ipxact:name> + <ipxact:displayName>Beats Per Cycle</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="dataBitsPerSymbol" type="int"> + <ipxact:name>dataBitsPerSymbol</ipxact:name> + <ipxact:displayName>Data bits per symbol</ipxact:displayName> + <ipxact:value>72</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="emptyWithinPacket" type="bit"> + <ipxact:name>emptyWithinPacket</ipxact:name> + <ipxact:displayName>emptyWithinPacket</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="errorDescriptor" type="string"> + <ipxact:name>errorDescriptor</ipxact:name> + <ipxact:displayName>Error descriptor</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="firstSymbolInHighOrderBits" type="bit"> + <ipxact:name>firstSymbolInHighOrderBits</ipxact:name> + <ipxact:displayName>First Symbol In High-Order Bits</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="highOrderSymbolAtMSB" type="bit"> + <ipxact:name>highOrderSymbolAtMSB</ipxact:name> + <ipxact:displayName>highOrderSymbolAtMSB</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="maxChannel" type="int"> + <ipxact:name>maxChannel</ipxact:name> + <ipxact:displayName>Maximum channel</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="packetDescription" type="string"> + <ipxact:name>packetDescription</ipxact:name> + <ipxact:displayName>Packet description </ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="readyAllowance" type="int"> + <ipxact:name>readyAllowance</ipxact:name> + <ipxact:displayName>Ready allowance</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="readyLatency" type="int"> + <ipxact:name>readyLatency</ipxact:name> + <ipxact:displayName>Ready latency</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="symbolsPerBeat" type="int"> + <ipxact:name>symbolsPerBeat</ipxact:name> + <ipxact:displayName>Symbols per beat </ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>avalon_st_txstatus</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="avalon_streaming" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="avalon_streaming" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>valid</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>avalon_st_txstatus_valid</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>data</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>avalon_st_txstatus_data</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>error</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>avalon_st_txstatus_error</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:master></ipxact:master> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value>tx_156_25_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value>tx_rst_n</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="beatsPerCycle" type="int"> + <ipxact:name>beatsPerCycle</ipxact:name> + <ipxact:displayName>Beats Per Cycle</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="dataBitsPerSymbol" type="int"> + <ipxact:name>dataBitsPerSymbol</ipxact:name> + <ipxact:displayName>Data bits per symbol</ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="emptyWithinPacket" type="bit"> + <ipxact:name>emptyWithinPacket</ipxact:name> + <ipxact:displayName>emptyWithinPacket</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="errorDescriptor" type="string"> + <ipxact:name>errorDescriptor</ipxact:name> + <ipxact:displayName>Error descriptor</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="firstSymbolInHighOrderBits" type="bit"> + <ipxact:name>firstSymbolInHighOrderBits</ipxact:name> + <ipxact:displayName>First Symbol In High-Order Bits</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="highOrderSymbolAtMSB" type="bit"> + <ipxact:name>highOrderSymbolAtMSB</ipxact:name> + <ipxact:displayName>highOrderSymbolAtMSB</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="maxChannel" type="int"> + <ipxact:name>maxChannel</ipxact:name> + <ipxact:displayName>Maximum channel</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="packetDescription" type="string"> + <ipxact:name>packetDescription</ipxact:name> + <ipxact:displayName>Packet description </ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="readyAllowance" type="int"> + <ipxact:name>readyAllowance</ipxact:name> + <ipxact:displayName>Ready allowance</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="readyLatency" type="int"> + <ipxact:name>readyLatency</ipxact:name> + <ipxact:displayName>Ready latency</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="symbolsPerBeat" type="int"> + <ipxact:name>symbolsPerBeat</ipxact:name> + <ipxact:displayName>Symbols per beat </ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>xgmii_rx</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="avalon_streaming" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="avalon_streaming" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>data</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>xgmii_rx</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value>rx_156_25_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value>rx_rst_n</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="beatsPerCycle" type="int"> + <ipxact:name>beatsPerCycle</ipxact:name> + <ipxact:displayName>Beats Per Cycle</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="dataBitsPerSymbol" type="int"> + <ipxact:name>dataBitsPerSymbol</ipxact:name> + <ipxact:displayName>Data bits per symbol</ipxact:displayName> + <ipxact:value>72</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="emptyWithinPacket" type="bit"> + <ipxact:name>emptyWithinPacket</ipxact:name> + <ipxact:displayName>emptyWithinPacket</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="errorDescriptor" type="string"> + <ipxact:name>errorDescriptor</ipxact:name> + <ipxact:displayName>Error descriptor</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="firstSymbolInHighOrderBits" type="bit"> + <ipxact:name>firstSymbolInHighOrderBits</ipxact:name> + <ipxact:displayName>First Symbol In High-Order Bits</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="highOrderSymbolAtMSB" type="bit"> + <ipxact:name>highOrderSymbolAtMSB</ipxact:name> + <ipxact:displayName>highOrderSymbolAtMSB</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="maxChannel" type="int"> + <ipxact:name>maxChannel</ipxact:name> + <ipxact:displayName>Maximum channel</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="packetDescription" type="string"> + <ipxact:name>packetDescription</ipxact:name> + <ipxact:displayName>Packet description </ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="readyAllowance" type="int"> + <ipxact:name>readyAllowance</ipxact:name> + <ipxact:displayName>Ready allowance</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="readyLatency" type="int"> + <ipxact:name>readyLatency</ipxact:name> + <ipxact:displayName>Ready latency</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="symbolsPerBeat" type="int"> + <ipxact:name>symbolsPerBeat</ipxact:name> + <ipxact:displayName>Symbols per beat </ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>link_fault_status_xgmii_rx</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="avalon_streaming" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="avalon_streaming" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>data</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>link_fault_status_xgmii_rx_data</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:master></ipxact:master> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value>rx_156_25_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value>rx_rst_n</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="beatsPerCycle" type="int"> + <ipxact:name>beatsPerCycle</ipxact:name> + <ipxact:displayName>Beats Per Cycle</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="dataBitsPerSymbol" type="int"> + <ipxact:name>dataBitsPerSymbol</ipxact:name> + <ipxact:displayName>Data bits per symbol</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="emptyWithinPacket" type="bit"> + <ipxact:name>emptyWithinPacket</ipxact:name> + <ipxact:displayName>emptyWithinPacket</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="errorDescriptor" type="string"> + <ipxact:name>errorDescriptor</ipxact:name> + <ipxact:displayName>Error descriptor</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="firstSymbolInHighOrderBits" type="bit"> + <ipxact:name>firstSymbolInHighOrderBits</ipxact:name> + <ipxact:displayName>First Symbol In High-Order Bits</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="highOrderSymbolAtMSB" type="bit"> + <ipxact:name>highOrderSymbolAtMSB</ipxact:name> + <ipxact:displayName>highOrderSymbolAtMSB</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="maxChannel" type="int"> + <ipxact:name>maxChannel</ipxact:name> + <ipxact:displayName>Maximum channel</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="packetDescription" type="string"> + <ipxact:name>packetDescription</ipxact:name> + <ipxact:displayName>Packet description </ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="readyAllowance" type="int"> + <ipxact:name>readyAllowance</ipxact:name> + <ipxact:displayName>Ready allowance</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="readyLatency" type="int"> + <ipxact:name>readyLatency</ipxact:name> + <ipxact:displayName>Ready latency</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="symbolsPerBeat" type="int"> + <ipxact:name>symbolsPerBeat</ipxact:name> + <ipxact:displayName>Symbols per beat </ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>avalon_st_rx</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="avalon_streaming" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="avalon_streaming" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>data</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>avalon_st_rx_data</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>startofpacket</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>avalon_st_rx_startofpacket</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>valid</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>avalon_st_rx_valid</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>empty</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>avalon_st_rx_empty</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>error</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>avalon_st_rx_error</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>ready</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>avalon_st_rx_ready</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>endofpacket</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>avalon_st_rx_endofpacket</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:master></ipxact:master> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value>rx_156_25_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value>rx_rst_n</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="beatsPerCycle" type="int"> + <ipxact:name>beatsPerCycle</ipxact:name> + <ipxact:displayName>Beats Per Cycle</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="dataBitsPerSymbol" type="int"> + <ipxact:name>dataBitsPerSymbol</ipxact:name> + <ipxact:displayName>Data bits per symbol</ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="emptyWithinPacket" type="bit"> + <ipxact:name>emptyWithinPacket</ipxact:name> + <ipxact:displayName>emptyWithinPacket</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="errorDescriptor" type="string"> + <ipxact:name>errorDescriptor</ipxact:name> + <ipxact:displayName>Error descriptor</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="firstSymbolInHighOrderBits" type="bit"> + <ipxact:name>firstSymbolInHighOrderBits</ipxact:name> + <ipxact:displayName>First Symbol In High-Order Bits</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="highOrderSymbolAtMSB" type="bit"> + <ipxact:name>highOrderSymbolAtMSB</ipxact:name> + <ipxact:displayName>highOrderSymbolAtMSB</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="maxChannel" type="int"> + <ipxact:name>maxChannel</ipxact:name> + <ipxact:displayName>Maximum channel</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="packetDescription" type="string"> + <ipxact:name>packetDescription</ipxact:name> + <ipxact:displayName>Packet description </ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="readyAllowance" type="int"> + <ipxact:name>readyAllowance</ipxact:name> + <ipxact:displayName>Ready allowance</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="readyLatency" type="int"> + <ipxact:name>readyLatency</ipxact:name> + <ipxact:displayName>Ready latency</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="symbolsPerBeat" type="int"> + <ipxact:name>symbolsPerBeat</ipxact:name> + <ipxact:displayName>Symbols per beat </ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>avalon_st_rxstatus</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="avalon_streaming" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="avalon_streaming" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>valid</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>avalon_st_rxstatus_valid</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>data</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>avalon_st_rxstatus_data</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>error</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>avalon_st_rxstatus_error</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:master></ipxact:master> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value>rx_156_25_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value>rx_rst_n</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="beatsPerCycle" type="int"> + <ipxact:name>beatsPerCycle</ipxact:name> + <ipxact:displayName>Beats Per Cycle</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="dataBitsPerSymbol" type="int"> + <ipxact:name>dataBitsPerSymbol</ipxact:name> + <ipxact:displayName>Data bits per symbol</ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="emptyWithinPacket" type="bit"> + <ipxact:name>emptyWithinPacket</ipxact:name> + <ipxact:displayName>emptyWithinPacket</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="errorDescriptor" type="string"> + <ipxact:name>errorDescriptor</ipxact:name> + <ipxact:displayName>Error descriptor</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="firstSymbolInHighOrderBits" type="bit"> + <ipxact:name>firstSymbolInHighOrderBits</ipxact:name> + <ipxact:displayName>First Symbol In High-Order Bits</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="highOrderSymbolAtMSB" type="bit"> + <ipxact:name>highOrderSymbolAtMSB</ipxact:name> + <ipxact:displayName>highOrderSymbolAtMSB</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="maxChannel" type="int"> + <ipxact:name>maxChannel</ipxact:name> + <ipxact:displayName>Maximum channel</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="packetDescription" type="string"> + <ipxact:name>packetDescription</ipxact:name> + <ipxact:displayName>Packet description </ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="readyAllowance" type="int"> + <ipxact:name>readyAllowance</ipxact:name> + <ipxact:displayName>Ready allowance</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="readyLatency" type="int"> + <ipxact:name>readyLatency</ipxact:name> + <ipxact:displayName>Ready latency</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="symbolsPerBeat" type="int"> + <ipxact:name>symbolsPerBeat</ipxact:name> + <ipxact:displayName>Symbols per beat </ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </ipxact:busInterface> + </ipxact:busInterfaces> + <ipxact:model> + <ipxact:views> + <ipxact:view> + <ipxact:name>QUARTUS_SYNTH</ipxact:name> + <ipxact:envIdentifier>:quartus.altera.com:</ipxact:envIdentifier> + <ipxact:componentInstantiationRef>QUARTUS_SYNTH</ipxact:componentInstantiationRef> + </ipxact:view> + </ipxact:views> + <ipxact:instantiations> + <ipxact:componentInstantiation> + <ipxact:name>QUARTUS_SYNTH</ipxact:name> + <ipxact:moduleName>alt_em10g32</ipxact:moduleName> + <ipxact:fileSetRef> + <ipxact:localName>QUARTUS_SYNTH</ipxact:localName> + </ipxact:fileSetRef> + </ipxact:componentInstantiation> + </ipxact:instantiations> + <ipxact:ports> + <ipxact:port> + <ipxact:name>csr_read</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>csr_write</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>csr_writedata</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>31</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>csr_readdata</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>31</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>csr_waitrequest</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>csr_address</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>12</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_312_5_clk</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_156_25_clk</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_312_5_clk</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_156_25_clk</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>csr_clk</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>csr_rst_n</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_rst_n</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_rst_n</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>unidirectional_en</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>unidirectional_remote_fault_dis</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>unidirectional_force_remote_fault</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>avalon_st_tx_startofpacket</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>avalon_st_tx_endofpacket</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>avalon_st_tx_valid</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>avalon_st_tx_data</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>63</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>avalon_st_tx_empty</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>2</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>avalon_st_tx_error</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>avalon_st_tx_ready</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>avalon_st_pause_data</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>1</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>xgmii_tx</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>71</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>avalon_st_txstatus_valid</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>avalon_st_txstatus_data</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>39</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>avalon_st_txstatus_error</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>6</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>xgmii_rx</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>71</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>link_fault_status_xgmii_rx_data</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>1</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>avalon_st_rx_data</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>63</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>avalon_st_rx_startofpacket</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>avalon_st_rx_valid</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>avalon_st_rx_empty</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>2</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>avalon_st_rx_error</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>5</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>avalon_st_rx_ready</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>avalon_st_rx_endofpacket</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>avalon_st_rxstatus_valid</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>avalon_st_rxstatus_data</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>39</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>avalon_st_rxstatus_error</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>6</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + </ipxact:ports> + </ipxact:model> + <ipxact:vendorExtensions> + <altera:entity_info> + <ipxact:vendor>Intel Corporation</ipxact:vendor> + <ipxact:library>ip_arria10_e2sg_mac_10g</ipxact:library> + <ipxact:name>alt_em10g32</ipxact:name> + <ipxact:version>19.3.0</ipxact:version> + </altera:entity_info> + <altera:altera_module_parameters> + <ipxact:parameters> + <ipxact:parameter parameterId="DEVICE_FAMILY" type="string"> + <ipxact:name>DEVICE_FAMILY</ipxact:name> + <ipxact:displayName>Device family</ipxact:displayName> + <ipxact:value>Arria 10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DEVICE" type="string"> + <ipxact:name>DEVICE</ipxact:name> + <ipxact:displayName>Device part</ipxact:displayName> + <ipxact:value>10AX115U3F45E2SG</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="INSERT_ST_ADAPTOR" type="int"> + <ipxact:name>INSERT_ST_ADAPTOR</ipxact:name> + <ipxact:displayName>Use legacy Ethernet 10G MAC Avalon Streaming interface</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="INSERT_CSR_ADAPTOR" type="int"> + <ipxact:name>INSERT_CSR_ADAPTOR</ipxact:name> + <ipxact:displayName>Use legacy Ethernet 10G MAC Avalon Memory-Mapped interface</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="INSERT_XGMII_ADAPTOR" type="int"> + <ipxact:name>INSERT_XGMII_ADAPTOR</ipxact:name> + <ipxact:displayName>Use legacy Ethernet 10G MAC XGMII interface</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="USE_ASYNC_ADAPTOR" type="int"> + <ipxact:name>USE_ASYNC_ADAPTOR</ipxact:name> + <ipxact:displayName>Use DC FIFO based 64-bit Ethernet 10G MAC XGMII adaptor</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DATAPATH_OPTION" type="int"> + <ipxact:name>DATAPATH_OPTION</ipxact:name> + <ipxact:displayName>Datapath options</ipxact:displayName> + <ipxact:value>3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ENABLE_SUPP_ADDR" type="int"> + <ipxact:name>ENABLE_SUPP_ADDR</ipxact:name> + <ipxact:displayName>Enable supplementary address</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ENABLE_PFC" type="int"> + <ipxact:name>ENABLE_PFC</ipxact:name> + <ipxact:displayName>Enable priority-based flow control (PFC)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PFC_PRIORITY_NUMBER" type="int"> + <ipxact:name>PFC_PRIORITY_NUMBER</ipxact:name> + <ipxact:displayName>Number of PFC queues</ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="INSTANTIATE_STATISTICS" type="int"> + <ipxact:name>INSTANTIATE_STATISTICS</ipxact:name> + <ipxact:displayName>Enable statistics collection</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="REGISTER_BASED_STATISTICS" type="int"> + <ipxact:name>REGISTER_BASED_STATISTICS</ipxact:name> + <ipxact:displayName>Statistics counters</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PREAMBLE_PASSTHROUGH" type="int"> + <ipxact:name>PREAMBLE_PASSTHROUGH</ipxact:name> + <ipxact:displayName>Enable preamble pass-through mode</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ENABLE_TIMESTAMPING" type="int"> + <ipxact:name>ENABLE_TIMESTAMPING</ipxact:name> + <ipxact:displayName>Enable time stamping</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ENABLE_PTP_1STEP" type="int"> + <ipxact:name>ENABLE_PTP_1STEP</ipxact:name> + <ipxact:displayName>Enable PTP one-step clock support</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ENABLE_ASYMMETRY" type="int"> + <ipxact:name>ENABLE_ASYMMETRY</ipxact:name> + <ipxact:displayName>Enable asymmetry support</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ENABLE_P2P" type="int"> + <ipxact:name>ENABLE_P2P</ipxact:name> + <ipxact:displayName>Enable peer-to-peer support</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="TSTAMP_FP_WIDTH" type="int"> + <ipxact:name>TSTAMP_FP_WIDTH</ipxact:name> + <ipxact:displayName>Timestamp fingerprint width</ipxact:displayName> + <ipxact:value>4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="TIME_OF_DAY_FORMAT" type="int"> + <ipxact:name>TIME_OF_DAY_FORMAT</ipxact:name> + <ipxact:displayName>Time Of Day Format</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ENABLE_1G10G_MAC" type="int"> + <ipxact:name>ENABLE_1G10G_MAC</ipxact:name> + <ipxact:displayName>Speed</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ENABLE_MEM_ECC" type="int"> + <ipxact:name>ENABLE_MEM_ECC</ipxact:name> + <ipxact:displayName>Enable ECC on memory blocks</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ENABLE_UNIDIRECTIONAL" type="int"> + <ipxact:name>ENABLE_UNIDIRECTIONAL</ipxact:name> + <ipxact:displayName>Enable unidirectional feature</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ENABLE_10GBASER_REG_MODE" type="int"> + <ipxact:name>ENABLE_10GBASER_REG_MODE</ipxact:name> + <ipxact:displayName>Enable 10GBASE-R register mode</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ENABLE_TXRX_DATAPATH" type="int"> + <ipxact:name>ENABLE_TXRX_DATAPATH</ipxact:name> + <ipxact:displayName>TX and RX datapath Reset/Default To Enable</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="SHOW_HIDDEN_OPTIONS" type="int"> + <ipxact:name>SHOW_HIDDEN_OPTIONS</ipxact:name> + <ipxact:displayName>Show hidden options</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="SELECT_SUPPORTED_VARIANT" type="int"> + <ipxact:name>SELECT_SUPPORTED_VARIANT</ipxact:name> + <ipxact:displayName>Select Design</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ENABLE_ED_FILESET_SYNTHESIS" type="int"> + <ipxact:name>ENABLE_ED_FILESET_SYNTHESIS</ipxact:name> + <ipxact:displayName>Synthesis</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ENABLE_ED_FILESET_SIM" type="int"> + <ipxact:name>ENABLE_ED_FILESET_SIM</ipxact:name> + <ipxact:displayName>Simulation</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="SELECT_ED_FILESET" type="int"> + <ipxact:name>SELECT_ED_FILESET</ipxact:name> + <ipxact:displayName>Generate File Format</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="SELECT_TARGETED_DEVICE" type="int"> + <ipxact:name>SELECT_TARGETED_DEVICE</ipxact:name> + <ipxact:displayName>Select Board</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="SELECT_CUSTOM_DEVICE" type="int"> + <ipxact:name>SELECT_CUSTOM_DEVICE</ipxact:name> + <ipxact:displayName>Change Target Device</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="SELECT_NUMBER_OF_CHANNEL" type="int"> + <ipxact:name>SELECT_NUMBER_OF_CHANNEL</ipxact:name> + <ipxact:displayName>Specify Number of Channels</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ANLG_VOLTAGE" type="string"> + <ipxact:name>ANLG_VOLTAGE</ipxact:name> + <ipxact:displayName>Analog Voltage</ipxact:displayName> + <ipxact:value>1_0V</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ENABLE_ADME" type="int"> + <ipxact:name>ENABLE_ADME</ipxact:name> + <ipxact:displayName>Enable Native PHY Debug Master Endpoint (NPDME)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="DEVKIT_DEVICE" type="string"> + <ipxact:name>DEVKIT_DEVICE</ipxact:name> + <ipxact:displayName>Devkit device part</ipxact:displayName> + <ipxact:value>10AX115S4F45E3SGE3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="QSF_PATH" type="string"> + <ipxact:name>QSF_PATH</ipxact:name> + <ipxact:displayName>QSF Path</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="die_types" type="string"> + <ipxact:name>die_types</ipxact:name> + <ipxact:displayName>die_types</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="INTERNAL_FEATURE" type="bit"> + <ipxact:name>INTERNAL_FEATURE</ipxact:name> + <ipxact:displayName>INTERNAL_FEATURE</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PR_READY" type="int"> + <ipxact:name>PR_READY</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Ready</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_module_parameters> + <altera:altera_system_parameters> + <ipxact:parameters> + <ipxact:parameter parameterId="device" type="string"> + <ipxact:name>device</ipxact:name> + <ipxact:displayName>Device</ipxact:displayName> + <ipxact:value>10AX115U3F45E2SG</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="deviceFamily" type="string"> + <ipxact:name>deviceFamily</ipxact:name> + <ipxact:displayName>Device family</ipxact:displayName> + <ipxact:value>Arria 10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="deviceSpeedGrade" type="string"> + <ipxact:name>deviceSpeedGrade</ipxact:name> + <ipxact:displayName>Device Speed Grade</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="generationId" type="int"> + <ipxact:name>generationId</ipxact:name> + <ipxact:displayName>Generation Id</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="bonusData" type="string"> + <ipxact:name>bonusData</ipxact:name> + <ipxact:displayName>bonusData</ipxact:displayName> + <ipxact:value>bonusData +{ + element alt_em10g32_0 + { + datum _sortIndex + { + value = "0"; + type = "int"; + } + } +} +</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hideFromIPCatalog" type="bit"> + <ipxact:name>hideFromIPCatalog</ipxact:name> + <ipxact:displayName>Hide from IP Catalog</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="lockedInterfaceDefinition" type="string"> + <ipxact:name>lockedInterfaceDefinition</ipxact:name> + <ipxact:displayName>lockedInterfaceDefinition</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="systemInfos" type="string"> + <ipxact:name>systemInfos</ipxact:name> + <ipxact:displayName>systemInfos</ipxact:displayName> + <ipxact:value><systemInfosDefinition> + <connPtSystemInfos> + <entry> + <key>csr</key> + <value> + <connectionPointName>csr</connectionPointName> + <suppliedSystemInfos/> + <consumedSystemInfos> + <entry> + <key>ADDRESS_MAP</key> + <value>&lt;address-map&gt;&lt;slave name='csr' start='0x0' end='0x8000' datawidth='32' /&gt;&lt;/address-map&gt;</value> + </entry> + <entry> + <key>ADDRESS_WIDTH</key> + <value>15</value> + </entry> + <entry> + <key>MAX_SLAVE_DATA_WIDTH</key> + <value>32</value> + </entry> + </consumedSystemInfos> + </value> + </entry> + </connPtSystemInfos> +</systemInfosDefinition></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_system_parameters> + <altera:altera_interface_boundary> + <altera:interface_mapping altera:name="avalon_st_pause" altera:internal="alt_em10g32_0.avalon_st_pause" altera:type="avalon_streaming" altera:dir="end"> + <altera:port_mapping altera:name="avalon_st_pause_data" altera:internal="avalon_st_pause_data"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="avalon_st_rx" altera:internal="alt_em10g32_0.avalon_st_rx" altera:type="avalon_streaming" altera:dir="start"> + <altera:port_mapping altera:name="avalon_st_rx_data" altera:internal="avalon_st_rx_data"></altera:port_mapping> + <altera:port_mapping altera:name="avalon_st_rx_empty" altera:internal="avalon_st_rx_empty"></altera:port_mapping> + <altera:port_mapping altera:name="avalon_st_rx_endofpacket" altera:internal="avalon_st_rx_endofpacket"></altera:port_mapping> + <altera:port_mapping altera:name="avalon_st_rx_error" altera:internal="avalon_st_rx_error"></altera:port_mapping> + <altera:port_mapping altera:name="avalon_st_rx_ready" altera:internal="avalon_st_rx_ready"></altera:port_mapping> + <altera:port_mapping altera:name="avalon_st_rx_startofpacket" altera:internal="avalon_st_rx_startofpacket"></altera:port_mapping> + <altera:port_mapping altera:name="avalon_st_rx_valid" altera:internal="avalon_st_rx_valid"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="avalon_st_rxstatus" altera:internal="alt_em10g32_0.avalon_st_rxstatus" altera:type="avalon_streaming" altera:dir="start"> + <altera:port_mapping altera:name="avalon_st_rxstatus_data" altera:internal="avalon_st_rxstatus_data"></altera:port_mapping> + <altera:port_mapping altera:name="avalon_st_rxstatus_error" altera:internal="avalon_st_rxstatus_error"></altera:port_mapping> + <altera:port_mapping altera:name="avalon_st_rxstatus_valid" altera:internal="avalon_st_rxstatus_valid"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="avalon_st_tx" altera:internal="alt_em10g32_0.avalon_st_tx" altera:type="avalon_streaming" altera:dir="end"> + <altera:port_mapping altera:name="avalon_st_tx_data" altera:internal="avalon_st_tx_data"></altera:port_mapping> + <altera:port_mapping altera:name="avalon_st_tx_empty" altera:internal="avalon_st_tx_empty"></altera:port_mapping> + <altera:port_mapping altera:name="avalon_st_tx_endofpacket" altera:internal="avalon_st_tx_endofpacket"></altera:port_mapping> + <altera:port_mapping altera:name="avalon_st_tx_error" altera:internal="avalon_st_tx_error"></altera:port_mapping> + <altera:port_mapping altera:name="avalon_st_tx_ready" altera:internal="avalon_st_tx_ready"></altera:port_mapping> + <altera:port_mapping altera:name="avalon_st_tx_startofpacket" altera:internal="avalon_st_tx_startofpacket"></altera:port_mapping> + <altera:port_mapping altera:name="avalon_st_tx_valid" altera:internal="avalon_st_tx_valid"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="avalon_st_txstatus" altera:internal="alt_em10g32_0.avalon_st_txstatus" altera:type="avalon_streaming" altera:dir="start"> + <altera:port_mapping altera:name="avalon_st_txstatus_data" altera:internal="avalon_st_txstatus_data"></altera:port_mapping> + <altera:port_mapping altera:name="avalon_st_txstatus_error" altera:internal="avalon_st_txstatus_error"></altera:port_mapping> + <altera:port_mapping altera:name="avalon_st_txstatus_valid" altera:internal="avalon_st_txstatus_valid"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="csr" altera:internal="alt_em10g32_0.csr" altera:type="avalon" altera:dir="end"> + <altera:port_mapping altera:name="csr_address" altera:internal="csr_address"></altera:port_mapping> + <altera:port_mapping altera:name="csr_read" altera:internal="csr_read"></altera:port_mapping> + <altera:port_mapping altera:name="csr_readdata" altera:internal="csr_readdata"></altera:port_mapping> + <altera:port_mapping altera:name="csr_waitrequest" altera:internal="csr_waitrequest"></altera:port_mapping> + <altera:port_mapping altera:name="csr_write" altera:internal="csr_write"></altera:port_mapping> + <altera:port_mapping altera:name="csr_writedata" altera:internal="csr_writedata"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="csr_clk" altera:internal="alt_em10g32_0.csr_clk" altera:type="clock" altera:dir="end"> + <altera:port_mapping altera:name="csr_clk" altera:internal="csr_clk"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="csr_rst_n" altera:internal="alt_em10g32_0.csr_rst_n" altera:type="reset" altera:dir="end"> + <altera:port_mapping altera:name="csr_rst_n" altera:internal="csr_rst_n"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="link_fault_status_xgmii_rx" altera:internal="alt_em10g32_0.link_fault_status_xgmii_rx" altera:type="avalon_streaming" altera:dir="start"> + <altera:port_mapping altera:name="link_fault_status_xgmii_rx_data" altera:internal="link_fault_status_xgmii_rx_data"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_156_25_clk" altera:internal="alt_em10g32_0.rx_156_25_clk" altera:type="clock" altera:dir="end"> + <altera:port_mapping altera:name="rx_156_25_clk" altera:internal="rx_156_25_clk"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_312_5_clk" altera:internal="alt_em10g32_0.rx_312_5_clk" altera:type="clock" altera:dir="end"> + <altera:port_mapping altera:name="rx_312_5_clk" altera:internal="rx_312_5_clk"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_rst_n" altera:internal="alt_em10g32_0.rx_rst_n" altera:type="reset" altera:dir="end"> + <altera:port_mapping altera:name="rx_rst_n" altera:internal="rx_rst_n"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_156_25_clk" altera:internal="alt_em10g32_0.tx_156_25_clk" altera:type="clock" altera:dir="end"> + <altera:port_mapping altera:name="tx_156_25_clk" altera:internal="tx_156_25_clk"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_312_5_clk" altera:internal="alt_em10g32_0.tx_312_5_clk" altera:type="clock" altera:dir="end"> + <altera:port_mapping altera:name="tx_312_5_clk" altera:internal="tx_312_5_clk"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_rst_n" altera:internal="alt_em10g32_0.tx_rst_n" altera:type="reset" altera:dir="end"> + <altera:port_mapping altera:name="tx_rst_n" altera:internal="tx_rst_n"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="unidirectional" altera:internal="alt_em10g32_0.unidirectional" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="unidirectional_en" altera:internal="unidirectional_en"></altera:port_mapping> + <altera:port_mapping altera:name="unidirectional_force_remote_fault" altera:internal="unidirectional_force_remote_fault"></altera:port_mapping> + <altera:port_mapping altera:name="unidirectional_remote_fault_dis" altera:internal="unidirectional_remote_fault_dis"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="xgmii_rx" altera:internal="alt_em10g32_0.xgmii_rx" altera:type="avalon_streaming" altera:dir="end"> + <altera:port_mapping altera:name="xgmii_rx" altera:internal="xgmii_rx"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="xgmii_tx" altera:internal="alt_em10g32_0.xgmii_tx" altera:type="avalon_streaming" altera:dir="start"> + <altera:port_mapping altera:name="xgmii_tx" altera:internal="xgmii_tx"></altera:port_mapping> + </altera:interface_mapping> + </altera:altera_interface_boundary> + <altera:altera_has_warnings>true</altera:altera_has_warnings> + <altera:altera_has_errors>false</altera:altera_has_errors> + </ipxact:vendorExtensions> +</ipxact:component> \ No newline at end of file diff --git a/libraries/technology/ip_arria10_e2sg/mac_10g/ip_arria10_e2sg_mac_10g.qsys b/libraries/technology/ip_arria10_e2sg/mac_10g/ip_arria10_e2sg_mac_10g.qsys deleted file mode 100644 index d6d1f04d85..0000000000 --- a/libraries/technology/ip_arria10_e2sg/mac_10g/ip_arria10_e2sg_mac_10g.qsys +++ /dev/null @@ -1,272 +0,0 @@ -<?xml version="1.0" encoding="UTF-8"?> -<system name="ip_arria10_e2sg_mac_10g"> - <component - name="$${FILENAME}" - displayName="$${FILENAME}" - version="1.0" - description="" - tags="AUTHORSHIP=Intel Corporation /// INTERNAL_COMPONENT=true" - categories="System" - tool="QsysStandard" /> - <parameter name="bonusData"><![CDATA[bonusData -{ - element $system - { - } - element alt_em10g32_0 - { - datum _sortIndex - { - value = "0"; - type = "int"; - } - } -} -]]></parameter> - <parameter name="device" value="10AX115U3F45E2SG" /> - <parameter name="deviceFamily" value="Arria 10" /> - <parameter name="deviceSpeedGrade" value="2" /> - <parameter name="fabricMode" value="QSYS" /> - <parameter name="generateLegacySim" value="false" /> - <parameter name="generationId" value="0" /> - <parameter name="globalResetBus" value="false" /> - <parameter name="hdlLanguage" value="VERILOG" /> - <parameter name="hideFromIPCatalog" value="true" /> - <parameter name="lockedInterfaceDefinition" value="" /> - <parameter name="sopcBorderPoints" value="false" /> - <parameter name="systemHash" value="0" /> - <parameter name="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos> - <entry> - <key>csr</key> - <value> - <connectionPointName>csr</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='csr' start='0x0' end='0x8000' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>15</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> -</systemInfosDefinition>]]></parameter> - <parameter name="systemScripts" value="" /> - <parameter name="testBenchDutName" value="" /> - <parameter name="timeStamp" value="0" /> - <parameter name="useTestBenchNamingPattern" value="false" /> - <instanceScript></instanceScript> - <interface - name="avalon_st_pause" - internal="alt_em10g32_0.avalon_st_pause" - type="avalon_streaming" - dir="end"> - <port name="avalon_st_pause_data" internal="avalon_st_pause_data" /> - </interface> - <interface - name="avalon_st_rx" - internal="alt_em10g32_0.avalon_st_rx" - type="avalon_streaming" - dir="start"> - <port name="avalon_st_rx_data" internal="avalon_st_rx_data" /> - <port name="avalon_st_rx_empty" internal="avalon_st_rx_empty" /> - <port name="avalon_st_rx_endofpacket" internal="avalon_st_rx_endofpacket" /> - <port name="avalon_st_rx_error" internal="avalon_st_rx_error" /> - <port name="avalon_st_rx_ready" internal="avalon_st_rx_ready" /> - <port - name="avalon_st_rx_startofpacket" - internal="avalon_st_rx_startofpacket" /> - <port name="avalon_st_rx_valid" internal="avalon_st_rx_valid" /> - </interface> - <interface - name="avalon_st_rxstatus" - internal="alt_em10g32_0.avalon_st_rxstatus" - type="avalon_streaming" - dir="start"> - <port name="avalon_st_rxstatus_data" internal="avalon_st_rxstatus_data" /> - <port name="avalon_st_rxstatus_error" internal="avalon_st_rxstatus_error" /> - <port name="avalon_st_rxstatus_valid" internal="avalon_st_rxstatus_valid" /> - </interface> - <interface - name="avalon_st_tx" - internal="alt_em10g32_0.avalon_st_tx" - type="avalon_streaming" - dir="end"> - <port name="avalon_st_tx_data" internal="avalon_st_tx_data" /> - <port name="avalon_st_tx_empty" internal="avalon_st_tx_empty" /> - <port name="avalon_st_tx_endofpacket" internal="avalon_st_tx_endofpacket" /> - <port name="avalon_st_tx_error" internal="avalon_st_tx_error" /> - <port name="avalon_st_tx_ready" internal="avalon_st_tx_ready" /> - <port - name="avalon_st_tx_startofpacket" - internal="avalon_st_tx_startofpacket" /> - <port name="avalon_st_tx_valid" internal="avalon_st_tx_valid" /> - </interface> - <interface - name="avalon_st_txstatus" - internal="alt_em10g32_0.avalon_st_txstatus" - type="avalon_streaming" - dir="start"> - <port name="avalon_st_txstatus_data" internal="avalon_st_txstatus_data" /> - <port name="avalon_st_txstatus_error" internal="avalon_st_txstatus_error" /> - <port name="avalon_st_txstatus_valid" internal="avalon_st_txstatus_valid" /> - </interface> - <interface name="csr" internal="alt_em10g32_0.csr" type="avalon" dir="end"> - <port name="csr_address" internal="csr_address" /> - <port name="csr_read" internal="csr_read" /> - <port name="csr_readdata" internal="csr_readdata" /> - <port name="csr_waitrequest" internal="csr_waitrequest" /> - <port name="csr_write" internal="csr_write" /> - <port name="csr_writedata" internal="csr_writedata" /> - </interface> - <interface - name="csr_clk" - internal="alt_em10g32_0.csr_clk" - type="clock" - dir="end"> - <port name="csr_clk" internal="csr_clk" /> - </interface> - <interface - name="csr_rst_n" - internal="alt_em10g32_0.csr_rst_n" - type="reset" - dir="end"> - <port name="csr_rst_n" internal="csr_rst_n" /> - </interface> - <interface - name="link_fault_status_xgmii_rx" - internal="alt_em10g32_0.link_fault_status_xgmii_rx" - type="avalon_streaming" - dir="start"> - <port - name="link_fault_status_xgmii_rx_data" - internal="link_fault_status_xgmii_rx_data" /> - </interface> - <interface - name="rx_156_25_clk" - internal="alt_em10g32_0.rx_156_25_clk" - type="clock" - dir="end"> - <port name="rx_156_25_clk" internal="rx_156_25_clk" /> - </interface> - <interface - name="rx_312_5_clk" - internal="alt_em10g32_0.rx_312_5_clk" - type="clock" - dir="end"> - <port name="rx_312_5_clk" internal="rx_312_5_clk" /> - </interface> - <interface - name="rx_rst_n" - internal="alt_em10g32_0.rx_rst_n" - type="reset" - dir="end"> - <port name="rx_rst_n" internal="rx_rst_n" /> - </interface> - <interface - name="tx_156_25_clk" - internal="alt_em10g32_0.tx_156_25_clk" - type="clock" - dir="end"> - <port name="tx_156_25_clk" internal="tx_156_25_clk" /> - </interface> - <interface - name="tx_312_5_clk" - internal="alt_em10g32_0.tx_312_5_clk" - type="clock" - dir="end"> - <port name="tx_312_5_clk" internal="tx_312_5_clk" /> - </interface> - <interface - name="tx_rst_n" - internal="alt_em10g32_0.tx_rst_n" - type="reset" - dir="end"> - <port name="tx_rst_n" internal="tx_rst_n" /> - </interface> - <interface - name="unidirectional" - internal="alt_em10g32_0.unidirectional" - type="conduit" - dir="end"> - <port name="unidirectional_en" internal="unidirectional_en" /> - <port - name="unidirectional_force_remote_fault" - internal="unidirectional_force_remote_fault" /> - <port - name="unidirectional_remote_fault_dis" - internal="unidirectional_remote_fault_dis" /> - </interface> - <interface - name="xgmii_rx" - internal="alt_em10g32_0.xgmii_rx" - type="avalon_streaming" - dir="end"> - <port name="xgmii_rx" internal="xgmii_rx" /> - </interface> - <interface name="xgmii_rx_control" internal="alt_em10g32_0.xgmii_rx_control" /> - <interface name="xgmii_rx_data" internal="alt_em10g32_0.xgmii_rx_data" /> - <interface - name="xgmii_tx" - internal="alt_em10g32_0.xgmii_tx" - type="avalon_streaming" - dir="start"> - <port name="xgmii_tx" internal="xgmii_tx" /> - </interface> - <interface name="xgmii_tx_control" internal="alt_em10g32_0.xgmii_tx_control" /> - <interface name="xgmii_tx_data" internal="alt_em10g32_0.xgmii_tx_data" /> - <module - name="alt_em10g32_0" - kind="alt_em10g32" - version="19.3.0" - enabled="1" - autoexport="1"> - <parameter name="ANLG_VOLTAGE" value="1_0V" /> - <parameter name="DATAPATH_OPTION" value="3" /> - <parameter name="DEVICE" value="10AX115U3F45E2SG" /> - <parameter name="DEVICE_FAMILY" value="Arria 10" /> - <parameter name="DEVKIT_DEVICE" value="10AX115S4F45E3SGE3" /> - <parameter name="ENABLE_10GBASER_REG_MODE" value="0" /> - <parameter name="ENABLE_1G10G_MAC" value="0" /> - <parameter name="ENABLE_ADME" value="0" /> - <parameter name="ENABLE_ASYMMETRY" value="0" /> - <parameter name="ENABLE_ED_FILESET_SIM" value="1" /> - <parameter name="ENABLE_ED_FILESET_SYNTHESIS" value="1" /> - <parameter name="ENABLE_MEM_ECC" value="0" /> - <parameter name="ENABLE_P2P" value="0" /> - <parameter name="ENABLE_PFC" value="0" /> - <parameter name="ENABLE_PTP_1STEP" value="0" /> - <parameter name="ENABLE_SUPP_ADDR" value="0" /> - <parameter name="ENABLE_TIMESTAMPING" value="0" /> - <parameter name="ENABLE_TXRX_DATAPATH" value="1" /> - <parameter name="ENABLE_UNIDIRECTIONAL" value="1" /> - <parameter name="INSERT_CSR_ADAPTOR" value="1" /> - <parameter name="INSERT_ST_ADAPTOR" value="1" /> - <parameter name="INSERT_XGMII_ADAPTOR" value="1" /> - <parameter name="INSTANTIATE_STATISTICS" value="1" /> - <parameter name="INTERNAL_FEATURE" value="false" /> - <parameter name="PFC_PRIORITY_NUMBER" value="8" /> - <parameter name="PREAMBLE_PASSTHROUGH" value="0" /> - <parameter name="PR_READY" value="0" /> - <parameter name="QSF_PATH">LL10G_Ethernet_A10_10GBASER/</parameter> - <parameter name="REGISTER_BASED_STATISTICS" value="1" /> - <parameter name="SELECT_CUSTOM_DEVICE" value="1" /> - <parameter name="SELECT_ED_FILESET" value="0" /> - <parameter name="SELECT_NUMBER_OF_CHANNEL" value="1" /> - <parameter name="SELECT_SUPPORTED_VARIANT" value="10" /> - <parameter name="SELECT_TARGETED_DEVICE" value="0" /> - <parameter name="SHOW_HIDDEN_OPTIONS" value="0" /> - <parameter name="TIME_OF_DAY_FORMAT" value="2" /> - <parameter name="TSTAMP_FP_WIDTH" value="4" /> - <parameter name="die_types" value="" /> - </module> -</system> diff --git a/libraries/technology/ip_arria10_e2sg/mult_add4/hdllib.cfg b/libraries/technology/ip_arria10_e2sg/mult_add4/hdllib.cfg index e8b3a294ca..3f2cb8644b 100644 --- a/libraries/technology/ip_arria10_e2sg/mult_add4/hdllib.cfg +++ b/libraries/technology/ip_arria10_e2sg/mult_add4/hdllib.cfg @@ -17,5 +17,5 @@ test_bench_files = [generate_ip_libs] qsys-generate_ip_files = - ip_arria10_e2sg_mult_add4.qsys + ip_arria10_e2sg_mult_add4.ip diff --git a/libraries/technology/ip_arria10_e2sg/mult_add4/ip_arria10_e2sg_mult_add4.ip b/libraries/technology/ip_arria10_e2sg/mult_add4/ip_arria10_e2sg_mult_add4.ip new file mode 100644 index 0000000000..b33816ca9e --- /dev/null +++ b/libraries/technology/ip_arria10_e2sg/mult_add4/ip_arria10_e2sg_mult_add4.ip @@ -0,0 +1,2380 @@ +<?xml version="1.0" ?> +<ipxact:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact2014/extensions" xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"> + <ipxact:vendor>Intel Corporation</ipxact:vendor> + <ipxact:library>ip_arria10_e2sg_mult_add4</ipxact:library> + <ipxact:name>mult_add_0</ipxact:name> + <ipxact:version>19.1.0</ipxact:version> + <ipxact:busInterfaces> + <ipxact:busInterface> + <ipxact:name>result</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>result</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>result</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>dataa_0</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>dataa_0</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>dataa_0</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>dataa_1</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>dataa_1</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>dataa_1</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>dataa_2</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>dataa_2</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>dataa_2</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>dataa_3</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>dataa_3</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>dataa_3</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>datab_0</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>datab_0</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>datab_0</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>datab_1</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>datab_1</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>datab_1</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>datab_2</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>datab_2</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>datab_2</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>datab_3</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>datab_3</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>datab_3</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>clock0</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="clock" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>clock0</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="clockRate" type="longint"> + <ipxact:name>clockRate</ipxact:name> + <ipxact:displayName>Clock rate</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="externallyDriven" type="bit"> + <ipxact:name>externallyDriven</ipxact:name> + <ipxact:displayName>Externally driven</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ptfSchematicName" type="string"> + <ipxact:name>ptfSchematicName</ipxact:name> + <ipxact:displayName>PTF schematic name</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>ena0</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>ena0</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>ena0</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>aclr0</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="reset" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="reset" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>reset</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>aclr0</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>Associated clock</ipxact:displayName> + <ipxact:value>clock0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="synchronousEdges" type="string"> + <ipxact:name>synchronousEdges</ipxact:name> + <ipxact:displayName>Synchronous edges</ipxact:displayName> + <ipxact:value>DEASSERT</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + </ipxact:busInterfaces> + <ipxact:model> + <ipxact:views> + <ipxact:view> + <ipxact:name>QUARTUS_SYNTH</ipxact:name> + <ipxact:envIdentifier>:quartus.altera.com:</ipxact:envIdentifier> + <ipxact:componentInstantiationRef>QUARTUS_SYNTH</ipxact:componentInstantiationRef> + </ipxact:view> + </ipxact:views> + <ipxact:instantiations> + <ipxact:componentInstantiation> + <ipxact:name>QUARTUS_SYNTH</ipxact:name> + <ipxact:moduleName>altera_mult_add</ipxact:moduleName> + <ipxact:fileSetRef> + <ipxact:localName>QUARTUS_SYNTH</ipxact:localName> + </ipxact:fileSetRef> + </ipxact:componentInstantiation> + </ipxact:instantiations> + <ipxact:ports> + <ipxact:port> + <ipxact:name>result</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>37</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>dataa_0</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>17</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>dataa_1</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>17</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>dataa_2</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>17</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>dataa_3</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>17</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>datab_0</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>17</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>datab_1</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>17</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>datab_2</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>17</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>datab_3</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>17</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>clock0</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>ena0</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>aclr0</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + </ipxact:ports> + </ipxact:model> + <ipxact:vendorExtensions> + <altera:entity_info> + <ipxact:vendor>Intel Corporation</ipxact:vendor> + <ipxact:library>ip_arria10_e2sg_mult_add4</ipxact:library> + <ipxact:name>altera_mult_add</ipxact:name> + <ipxact:version>19.1.0</ipxact:version> + </altera:entity_info> + <altera:altera_module_parameters> + <ipxact:parameters> + <ipxact:parameter parameterId="number_of_multipliers" type="int"> + <ipxact:name>number_of_multipliers</ipxact:name> + <ipxact:displayName>What is the number of multipliers?</ipxact:displayName> + <ipxact:value>4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="width_a" type="int"> + <ipxact:name>width_a</ipxact:name> + <ipxact:displayName>How wide should the A input buses be?</ipxact:displayName> + <ipxact:value>18</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="width_b" type="int"> + <ipxact:name>width_b</ipxact:name> + <ipxact:displayName>How wide should the B input buses be?</ipxact:displayName> + <ipxact:value>18</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="width_result" type="int"> + <ipxact:name>width_result</ipxact:name> + <ipxact:displayName>How wide should the 'result' output bus be?</ipxact:displayName> + <ipxact:value>38</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_4th_asynchronous_clear" type="bit"> + <ipxact:name>gui_4th_asynchronous_clear</ipxact:name> + <ipxact:displayName>Create a 4th asynchronous clear input option</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_associated_clock_enable" type="bit"> + <ipxact:name>gui_associated_clock_enable</ipxact:name> + <ipxact:displayName>Create an associated clock enable for each clock</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_register" type="bit"> + <ipxact:name>gui_output_register</ipxact:name> + <ipxact:displayName>Register output of the adder unit</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="output_register" type="string"> + <ipxact:name>output_register</ipxact:name> + <ipxact:displayName>output_register</ipxact:displayName> + <ipxact:value>UNREGISTERED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_register_clock" type="string"> + <ipxact:name>gui_output_register_clock</ipxact:name> + <ipxact:displayName>What is the source for clock input?</ipxact:displayName> + <ipxact:value>CLOCK0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_register_aclr" type="string"> + <ipxact:name>gui_output_register_aclr</ipxact:name> + <ipxact:displayName>What is the source for asynchronous clear input?</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="output_aclr" type="string"> + <ipxact:name>output_aclr</ipxact:name> + <ipxact:displayName>output_aclr</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_register_sclr" type="string"> + <ipxact:name>gui_output_register_sclr</ipxact:name> + <ipxact:displayName>What is the source for synchronous clear input?</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="output_sclr" type="string"> + <ipxact:name>output_sclr</ipxact:name> + <ipxact:displayName>output_sclr</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_multiplier1_direction" type="string"> + <ipxact:name>gui_multiplier1_direction</ipxact:name> + <ipxact:displayName>What operation should be perfomed on outputs of the first pair of multipliers</ipxact:displayName> + <ipxact:value>ADD</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="multiplier1_direction" type="string"> + <ipxact:name>multiplier1_direction</ipxact:name> + <ipxact:displayName>multiplier1_direction</ipxact:displayName> + <ipxact:value>ADD</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="port_addnsub1" type="string"> + <ipxact:name>port_addnsub1</ipxact:name> + <ipxact:displayName>port_addnsub1</ipxact:displayName> + <ipxact:value>PORT_UNUSED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_addnsub_multiplier_register1" type="bit"> + <ipxact:name>gui_addnsub_multiplier_register1</ipxact:name> + <ipxact:displayName>Register 'addnsub1' input</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="addnsub_multiplier_register1" type="string"> + <ipxact:name>addnsub_multiplier_register1</ipxact:name> + <ipxact:displayName>addnsub_multiplier_register1</ipxact:displayName> + <ipxact:value>UNREGISTERED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_addnsub_multiplier_register1_clock" type="string"> + <ipxact:name>gui_addnsub_multiplier_register1_clock</ipxact:name> + <ipxact:displayName>What is the source for clock input?</ipxact:displayName> + <ipxact:value>CLOCK0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_addnsub_multiplier_aclr1" type="string"> + <ipxact:name>gui_addnsub_multiplier_aclr1</ipxact:name> + <ipxact:displayName>What is the source for asynchronous clear input?</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="addnsub_multiplier_aclr1" type="string"> + <ipxact:name>addnsub_multiplier_aclr1</ipxact:name> + <ipxact:displayName>addnsub_multiplier_aclr1</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_addnsub_multiplier_sclr1" type="string"> + <ipxact:name>gui_addnsub_multiplier_sclr1</ipxact:name> + <ipxact:displayName>What is the source for synchronous clear input?</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="addnsub_multiplier_sclr1" type="string"> + <ipxact:name>addnsub_multiplier_sclr1</ipxact:name> + <ipxact:displayName>addnsub_multiplier_sclr1</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_multiplier3_direction" type="string"> + <ipxact:name>gui_multiplier3_direction</ipxact:name> + <ipxact:displayName>What operation should be perfomed on outputs of the second pair of multipliers</ipxact:displayName> + <ipxact:value>ADD</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="multiplier3_direction" type="string"> + <ipxact:name>multiplier3_direction</ipxact:name> + <ipxact:displayName>multiplier3_direction</ipxact:displayName> + <ipxact:value>ADD</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="port_addnsub3" type="string"> + <ipxact:name>port_addnsub3</ipxact:name> + <ipxact:displayName>port_addnsub3</ipxact:displayName> + <ipxact:value>PORT_UNUSED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_addnsub_multiplier_register3" type="bit"> + <ipxact:name>gui_addnsub_multiplier_register3</ipxact:name> + <ipxact:displayName>Register 'addnsub3' input</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="addnsub_multiplier_register3" type="string"> + <ipxact:name>addnsub_multiplier_register3</ipxact:name> + <ipxact:displayName>addnsub_multiplier_register3</ipxact:displayName> + <ipxact:value>UNREGISTERED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_addnsub_multiplier_register3_clock" type="string"> + <ipxact:name>gui_addnsub_multiplier_register3_clock</ipxact:name> + <ipxact:displayName>What is the source for clock input?</ipxact:displayName> + <ipxact:value>CLOCK0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_addnsub_multiplier_aclr3" type="string"> + <ipxact:name>gui_addnsub_multiplier_aclr3</ipxact:name> + <ipxact:displayName>What is the source for asynchronous clear input?</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="addnsub_multiplier_aclr3" type="string"> + <ipxact:name>addnsub_multiplier_aclr3</ipxact:name> + <ipxact:displayName>addnsub_multiplier_aclr3</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_addnsub_multiplier_sclr3" type="string"> + <ipxact:name>gui_addnsub_multiplier_sclr3</ipxact:name> + <ipxact:displayName>What is the source for synchronous clear input?</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="addnsub_multiplier_sclr3" type="string"> + <ipxact:name>addnsub_multiplier_sclr3</ipxact:name> + <ipxact:displayName>addnsub_multiplier_sclr3</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_use_subnadd" type="bit"> + <ipxact:name>gui_use_subnadd</ipxact:name> + <ipxact:displayName>Enable 'use_subnadd'</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="use_subnadd" type="string"> + <ipxact:name>use_subnadd</ipxact:name> + <ipxact:displayName>use_subnadd</ipxact:displayName> + <ipxact:value>NO</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_representation_a" type="string"> + <ipxact:name>gui_representation_a</ipxact:name> + <ipxact:displayName>What is the representation format for Multipliers A inputs?</ipxact:displayName> + <ipxact:value>SIGNED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="representation_a" type="string"> + <ipxact:name>representation_a</ipxact:name> + <ipxact:displayName>representation_a</ipxact:displayName> + <ipxact:value>SIGNED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_register_signa" type="bit"> + <ipxact:name>gui_register_signa</ipxact:name> + <ipxact:displayName>Register 'signa' input</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="port_signa" type="string"> + <ipxact:name>port_signa</ipxact:name> + <ipxact:displayName>port_signa</ipxact:displayName> + <ipxact:value>PORT_UNUSED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="signed_register_a" type="string"> + <ipxact:name>signed_register_a</ipxact:name> + <ipxact:displayName>signed_register_a</ipxact:displayName> + <ipxact:value>UNREGISTERED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_register_signa_clock" type="string"> + <ipxact:name>gui_register_signa_clock</ipxact:name> + <ipxact:displayName>What is the source for clock input?</ipxact:displayName> + <ipxact:value>CLOCK0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_register_signa_aclr" type="string"> + <ipxact:name>gui_register_signa_aclr</ipxact:name> + <ipxact:displayName>What is the source for asynchronous clear input?</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="signed_aclr_a" type="string"> + <ipxact:name>signed_aclr_a</ipxact:name> + <ipxact:displayName>signed_aclr_a</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_register_signa_sclr" type="string"> + <ipxact:name>gui_register_signa_sclr</ipxact:name> + <ipxact:displayName>What is the source for synchronous clear input?</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="signed_sclr_a" type="string"> + <ipxact:name>signed_sclr_a</ipxact:name> + <ipxact:displayName>signed_sclr_a</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_representation_b" type="string"> + <ipxact:name>gui_representation_b</ipxact:name> + <ipxact:displayName>What is the representation format for Multipliers B inputs?</ipxact:displayName> + <ipxact:value>SIGNED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="port_signb" type="string"> + <ipxact:name>port_signb</ipxact:name> + <ipxact:displayName>port_signb</ipxact:displayName> + <ipxact:value>PORT_UNUSED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="representation_b" type="string"> + <ipxact:name>representation_b</ipxact:name> + <ipxact:displayName>representation_b</ipxact:displayName> + <ipxact:value>SIGNED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_register_signb" type="bit"> + <ipxact:name>gui_register_signb</ipxact:name> + <ipxact:displayName>Register 'signb' input</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="signed_register_b" type="string"> + <ipxact:name>signed_register_b</ipxact:name> + <ipxact:displayName>signed_register_b</ipxact:displayName> + <ipxact:value>UNREGISTERED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_register_signb_clock" type="string"> + <ipxact:name>gui_register_signb_clock</ipxact:name> + <ipxact:displayName>What is the source for clock input?</ipxact:displayName> + <ipxact:value>CLOCK0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_register_signb_aclr" type="string"> + <ipxact:name>gui_register_signb_aclr</ipxact:name> + <ipxact:displayName>What is the source for asynchronous clear input?</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="signed_aclr_b" type="string"> + <ipxact:name>signed_aclr_b</ipxact:name> + <ipxact:displayName>signed_aclr_b</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_register_signb_sclr" type="string"> + <ipxact:name>gui_register_signb_sclr</ipxact:name> + <ipxact:displayName>What is the source for synchronous clear input?</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="signed_sclr_b" type="string"> + <ipxact:name>signed_sclr_b</ipxact:name> + <ipxact:displayName>signed_sclr_b</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_input_register_a" type="bit"> + <ipxact:name>gui_input_register_a</ipxact:name> + <ipxact:displayName>Register input A of the multiplier</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_input_register_a_clock" type="string"> + <ipxact:name>gui_input_register_a_clock</ipxact:name> + <ipxact:displayName>What is the source for clock input?</ipxact:displayName> + <ipxact:value>CLOCK0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_input_register_a_aclr" type="string"> + <ipxact:name>gui_input_register_a_aclr</ipxact:name> + <ipxact:displayName>What is the source for asynchronous clear input?</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_input_register_a_sclr" type="string"> + <ipxact:name>gui_input_register_a_sclr</ipxact:name> + <ipxact:displayName>What is the source for synchronous clear input?</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="input_register_a0" type="string"> + <ipxact:name>input_register_a0</ipxact:name> + <ipxact:displayName>input_register_a0</ipxact:displayName> + <ipxact:value>UNREGISTERED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="input_register_a1" type="string"> + <ipxact:name>input_register_a1</ipxact:name> + <ipxact:displayName>input_register_a1</ipxact:displayName> + <ipxact:value>UNREGISTERED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="input_register_a2" type="string"> + <ipxact:name>input_register_a2</ipxact:name> + <ipxact:displayName>input_register_a2</ipxact:displayName> + <ipxact:value>UNREGISTERED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="input_register_a3" type="string"> + <ipxact:name>input_register_a3</ipxact:name> + <ipxact:displayName>input_register_a3</ipxact:displayName> + <ipxact:value>UNREGISTERED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="input_aclr_a0" type="string"> + <ipxact:name>input_aclr_a0</ipxact:name> + <ipxact:displayName>input_aclr_a0</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="input_aclr_a1" type="string"> + <ipxact:name>input_aclr_a1</ipxact:name> + <ipxact:displayName>input_aclr_a1</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="input_aclr_a2" type="string"> + <ipxact:name>input_aclr_a2</ipxact:name> + <ipxact:displayName>input_aclr_a2</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="input_aclr_a3" type="string"> + <ipxact:name>input_aclr_a3</ipxact:name> + <ipxact:displayName>input_aclr_a3</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="input_sclr_a0" type="string"> + <ipxact:name>input_sclr_a0</ipxact:name> + <ipxact:displayName>input_sclr_a0</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="input_sclr_a1" type="string"> + <ipxact:name>input_sclr_a1</ipxact:name> + <ipxact:displayName>input_sclr_a1</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="input_sclr_a2" type="string"> + <ipxact:name>input_sclr_a2</ipxact:name> + <ipxact:displayName>input_sclr_a2</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="input_sclr_a3" type="string"> + <ipxact:name>input_sclr_a3</ipxact:name> + <ipxact:displayName>input_sclr_a3</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_input_register_b" type="bit"> + <ipxact:name>gui_input_register_b</ipxact:name> + <ipxact:displayName>Register input B of the multiplier</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_input_register_b_clock" type="string"> + <ipxact:name>gui_input_register_b_clock</ipxact:name> + <ipxact:displayName>What is the source for clock input?</ipxact:displayName> + <ipxact:value>CLOCK0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_input_register_b_aclr" type="string"> + <ipxact:name>gui_input_register_b_aclr</ipxact:name> + <ipxact:displayName>What is the source for asynchronous clear input?</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_input_register_b_sclr" type="string"> + <ipxact:name>gui_input_register_b_sclr</ipxact:name> + <ipxact:displayName>What is the source for synchronous clear input?</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="input_register_b0" type="string"> + <ipxact:name>input_register_b0</ipxact:name> + <ipxact:displayName>input_register_b0</ipxact:displayName> + <ipxact:value>UNREGISTERED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="input_register_b1" type="string"> + <ipxact:name>input_register_b1</ipxact:name> + <ipxact:displayName>input_register_b1</ipxact:displayName> + <ipxact:value>UNREGISTERED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="input_register_b2" type="string"> + <ipxact:name>input_register_b2</ipxact:name> + <ipxact:displayName>input_register_b2</ipxact:displayName> + <ipxact:value>UNREGISTERED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="input_register_b3" type="string"> + <ipxact:name>input_register_b3</ipxact:name> + <ipxact:displayName>input_register_b3</ipxact:displayName> + <ipxact:value>UNREGISTERED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="input_aclr_b0" type="string"> + <ipxact:name>input_aclr_b0</ipxact:name> + <ipxact:displayName>input_aclr_b0</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="input_aclr_b1" type="string"> + <ipxact:name>input_aclr_b1</ipxact:name> + <ipxact:displayName>input_aclr_b1</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="input_aclr_b2" type="string"> + <ipxact:name>input_aclr_b2</ipxact:name> + <ipxact:displayName>input_aclr_b2</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="input_aclr_b3" type="string"> + <ipxact:name>input_aclr_b3</ipxact:name> + <ipxact:displayName>input_aclr_b3</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="input_sclr_b0" type="string"> + <ipxact:name>input_sclr_b0</ipxact:name> + <ipxact:displayName>input_sclr_b0</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="input_sclr_b1" type="string"> + <ipxact:name>input_sclr_b1</ipxact:name> + <ipxact:displayName>input_sclr_b1</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="input_sclr_b2" type="string"> + <ipxact:name>input_sclr_b2</ipxact:name> + <ipxact:displayName>input_sclr_b2</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="input_sclr_b3" type="string"> + <ipxact:name>input_sclr_b3</ipxact:name> + <ipxact:displayName>input_sclr_b3</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_multiplier_a_input" type="string"> + <ipxact:name>gui_multiplier_a_input</ipxact:name> + <ipxact:displayName>What is the input A of the multiplier connected to?</ipxact:displayName> + <ipxact:value>Multiplier input</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_scanouta_register" type="bit"> + <ipxact:name>gui_scanouta_register</ipxact:name> + <ipxact:displayName>Register output of the scan chain</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_scanouta_register_clock" type="string"> + <ipxact:name>gui_scanouta_register_clock</ipxact:name> + <ipxact:displayName>What is the source for clock input?</ipxact:displayName> + <ipxact:value>CLOCK0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_scanouta_register_aclr" type="string"> + <ipxact:name>gui_scanouta_register_aclr</ipxact:name> + <ipxact:displayName>What is the source for asynchronous clear input?</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_scanouta_register_sclr" type="string"> + <ipxact:name>gui_scanouta_register_sclr</ipxact:name> + <ipxact:displayName>What is the source for synchronous clear input?</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="scanouta_register" type="string"> + <ipxact:name>scanouta_register</ipxact:name> + <ipxact:displayName>scanouta_register</ipxact:displayName> + <ipxact:value>UNREGISTERED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="scanouta_aclr" type="string"> + <ipxact:name>scanouta_aclr</ipxact:name> + <ipxact:displayName>scanouta_aclr</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="scanouta_sclr" type="string"> + <ipxact:name>scanouta_sclr</ipxact:name> + <ipxact:displayName>scanouta_sclr</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="input_source_a0" type="string"> + <ipxact:name>input_source_a0</ipxact:name> + <ipxact:displayName>input_source_a0</ipxact:displayName> + <ipxact:value>DATAA</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="input_source_a1" type="string"> + <ipxact:name>input_source_a1</ipxact:name> + <ipxact:displayName>input_source_a1</ipxact:displayName> + <ipxact:value>DATAA</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="input_source_a2" type="string"> + <ipxact:name>input_source_a2</ipxact:name> + <ipxact:displayName>input_source_a2</ipxact:displayName> + <ipxact:value>DATAA</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="input_source_a3" type="string"> + <ipxact:name>input_source_a3</ipxact:name> + <ipxact:displayName>input_source_a3</ipxact:displayName> + <ipxact:value>DATAA</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_multiplier_b_input" type="string"> + <ipxact:name>gui_multiplier_b_input</ipxact:name> + <ipxact:displayName>What is the input B of the multiplier connected to?</ipxact:displayName> + <ipxact:value>Multiplier input</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="input_source_b0" type="string"> + <ipxact:name>input_source_b0</ipxact:name> + <ipxact:displayName>input_source_b0</ipxact:displayName> + <ipxact:value>DATAB</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="input_source_b1" type="string"> + <ipxact:name>input_source_b1</ipxact:name> + <ipxact:displayName>input_source_b1</ipxact:displayName> + <ipxact:value>DATAB</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="input_source_b2" type="string"> + <ipxact:name>input_source_b2</ipxact:name> + <ipxact:displayName>input_source_b2</ipxact:displayName> + <ipxact:value>DATAB</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="input_source_b3" type="string"> + <ipxact:name>input_source_b3</ipxact:name> + <ipxact:displayName>input_source_b3</ipxact:displayName> + <ipxact:value>DATAB</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_multiplier_register" type="bit"> + <ipxact:name>gui_multiplier_register</ipxact:name> + <ipxact:displayName>Register output of the multiplier</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_multiplier_register_clock" type="string"> + <ipxact:name>gui_multiplier_register_clock</ipxact:name> + <ipxact:displayName>What is the source for clock input?</ipxact:displayName> + <ipxact:value>CLOCK0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_multiplier_register_aclr" type="string"> + <ipxact:name>gui_multiplier_register_aclr</ipxact:name> + <ipxact:displayName>What is the source for asynchronous clear input?</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_multiplier_register_sclr" type="string"> + <ipxact:name>gui_multiplier_register_sclr</ipxact:name> + <ipxact:displayName>What is the source for synchronous clear input?</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="multiplier_register0" type="string"> + <ipxact:name>multiplier_register0</ipxact:name> + <ipxact:displayName>multiplier_register0</ipxact:displayName> + <ipxact:value>UNREGISTERED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="multiplier_register1" type="string"> + <ipxact:name>multiplier_register1</ipxact:name> + <ipxact:displayName>multiplier_register1</ipxact:displayName> + <ipxact:value>UNREGISTERED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="multiplier_register2" type="string"> + <ipxact:name>multiplier_register2</ipxact:name> + <ipxact:displayName>multiplier_register2</ipxact:displayName> + <ipxact:value>UNREGISTERED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="multiplier_register3" type="string"> + <ipxact:name>multiplier_register3</ipxact:name> + <ipxact:displayName>multiplier_register3</ipxact:displayName> + <ipxact:value>UNREGISTERED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="multiplier_aclr0" type="string"> + <ipxact:name>multiplier_aclr0</ipxact:name> + <ipxact:displayName>multiplier_aclr0</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="multiplier_aclr1" type="string"> + <ipxact:name>multiplier_aclr1</ipxact:name> + <ipxact:displayName>multiplier_aclr1</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="multiplier_aclr2" type="string"> + <ipxact:name>multiplier_aclr2</ipxact:name> + <ipxact:displayName>multiplier_aclr2</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="multiplier_aclr3" type="string"> + <ipxact:name>multiplier_aclr3</ipxact:name> + <ipxact:displayName>multiplier_aclr3</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="multiplier_sclr0" type="string"> + <ipxact:name>multiplier_sclr0</ipxact:name> + <ipxact:displayName>multiplier_sclr0</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="multiplier_sclr1" type="string"> + <ipxact:name>multiplier_sclr1</ipxact:name> + <ipxact:displayName>multiplier_sclr1</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="multiplier_sclr2" type="string"> + <ipxact:name>multiplier_sclr2</ipxact:name> + <ipxact:displayName>multiplier_sclr2</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="multiplier_sclr3" type="string"> + <ipxact:name>multiplier_sclr3</ipxact:name> + <ipxact:displayName>multiplier_sclr3</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="preadder_mode" type="string"> + <ipxact:name>preadder_mode</ipxact:name> + <ipxact:displayName>Select preadder mode</ipxact:displayName> + <ipxact:value>SIMPLE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_preadder_direction" type="string"> + <ipxact:name>gui_preadder_direction</ipxact:name> + <ipxact:displayName>Select preadder direction</ipxact:displayName> + <ipxact:value>ADD</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="preadder_direction_0" type="string"> + <ipxact:name>preadder_direction_0</ipxact:name> + <ipxact:displayName>preadder_direction_0</ipxact:displayName> + <ipxact:value>ADD</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="preadder_direction_1" type="string"> + <ipxact:name>preadder_direction_1</ipxact:name> + <ipxact:displayName>preadder_direction_1</ipxact:displayName> + <ipxact:value>ADD</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="preadder_direction_2" type="string"> + <ipxact:name>preadder_direction_2</ipxact:name> + <ipxact:displayName>preadder_direction_2</ipxact:displayName> + <ipxact:value>ADD</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="preadder_direction_3" type="string"> + <ipxact:name>preadder_direction_3</ipxact:name> + <ipxact:displayName>preadder_direction_3</ipxact:displayName> + <ipxact:value>ADD</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="width_c" type="int"> + <ipxact:name>width_c</ipxact:name> + <ipxact:displayName>How wide should the C input buses be?</ipxact:displayName> + <ipxact:value>16</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_datac_input_register" type="bit"> + <ipxact:name>gui_datac_input_register</ipxact:name> + <ipxact:displayName>Register datac input</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_datac_input_register_clock" type="string"> + <ipxact:name>gui_datac_input_register_clock</ipxact:name> + <ipxact:displayName>What is the source for clock input?</ipxact:displayName> + <ipxact:value>CLOCK0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_datac_input_register_aclr" type="string"> + <ipxact:name>gui_datac_input_register_aclr</ipxact:name> + <ipxact:displayName>What is the source for asynchronous clear input?</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_datac_input_register_sclr" type="string"> + <ipxact:name>gui_datac_input_register_sclr</ipxact:name> + <ipxact:displayName>What is the source for synchronous clear input?</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="input_register_c0" type="string"> + <ipxact:name>input_register_c0</ipxact:name> + <ipxact:displayName>input_register_c0</ipxact:displayName> + <ipxact:value>UNREGISTERED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="input_register_c1" type="string"> + <ipxact:name>input_register_c1</ipxact:name> + <ipxact:displayName>input_register_c1</ipxact:displayName> + <ipxact:value>UNREGISTERED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="input_register_c2" type="string"> + <ipxact:name>input_register_c2</ipxact:name> + <ipxact:displayName>input_register_c2</ipxact:displayName> + <ipxact:value>UNREGISTERED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="input_register_c3" type="string"> + <ipxact:name>input_register_c3</ipxact:name> + <ipxact:displayName>input_register_c3</ipxact:displayName> + <ipxact:value>UNREGISTERED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="input_aclr_c0" type="string"> + <ipxact:name>input_aclr_c0</ipxact:name> + <ipxact:displayName>input_aclr_c0</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="input_aclr_c1" type="string"> + <ipxact:name>input_aclr_c1</ipxact:name> + <ipxact:displayName>input_aclr_c1</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="input_aclr_c2" type="string"> + <ipxact:name>input_aclr_c2</ipxact:name> + <ipxact:displayName>input_aclr_c2</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="input_aclr_c3" type="string"> + <ipxact:name>input_aclr_c3</ipxact:name> + <ipxact:displayName>input_aclr_c3</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="input_sclr_c0" type="string"> + <ipxact:name>input_sclr_c0</ipxact:name> + <ipxact:displayName>input_sclr_c0</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="input_sclr_c1" type="string"> + <ipxact:name>input_sclr_c1</ipxact:name> + <ipxact:displayName>input_sclr_c1</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="input_sclr_c2" type="string"> + <ipxact:name>input_sclr_c2</ipxact:name> + <ipxact:displayName>input_sclr_c2</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="input_sclr_c3" type="string"> + <ipxact:name>input_sclr_c3</ipxact:name> + <ipxact:displayName>input_sclr_c3</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="width_coef" type="int"> + <ipxact:name>width_coef</ipxact:name> + <ipxact:displayName>How wide should the coef width be?</ipxact:displayName> + <ipxact:value>18</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_coef_register" type="bit"> + <ipxact:name>gui_coef_register</ipxact:name> + <ipxact:displayName>Register the coefsel inputs</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_coef_register_clock" type="string"> + <ipxact:name>gui_coef_register_clock</ipxact:name> + <ipxact:displayName>What is the source for clock input?</ipxact:displayName> + <ipxact:value>CLOCK0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_coef_register_aclr" type="string"> + <ipxact:name>gui_coef_register_aclr</ipxact:name> + <ipxact:displayName>What is the source for asynchronous clear input?</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_coef_register_sclr" type="string"> + <ipxact:name>gui_coef_register_sclr</ipxact:name> + <ipxact:displayName>What is the source for synchronous clear input?</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="coefsel0_register" type="string"> + <ipxact:name>coefsel0_register</ipxact:name> + <ipxact:displayName>coefsel0_register</ipxact:displayName> + <ipxact:value>UNREGISTERED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="coefsel1_register" type="string"> + <ipxact:name>coefsel1_register</ipxact:name> + <ipxact:displayName>coefsel1_register</ipxact:displayName> + <ipxact:value>UNREGISTERED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="coefsel2_register" type="string"> + <ipxact:name>coefsel2_register</ipxact:name> + <ipxact:displayName>coefsel2_register</ipxact:displayName> + <ipxact:value>UNREGISTERED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="coefsel3_register" type="string"> + <ipxact:name>coefsel3_register</ipxact:name> + <ipxact:displayName>coefsel3_register</ipxact:displayName> + <ipxact:value>UNREGISTERED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="coefsel0_aclr" type="string"> + <ipxact:name>coefsel0_aclr</ipxact:name> + <ipxact:displayName>coefsel0_aclr</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="coefsel1_aclr" type="string"> + <ipxact:name>coefsel1_aclr</ipxact:name> + <ipxact:displayName>coefsel1_aclr</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="coefsel2_aclr" type="string"> + <ipxact:name>coefsel2_aclr</ipxact:name> + <ipxact:displayName>coefsel2_aclr</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="coefsel3_aclr" type="string"> + <ipxact:name>coefsel3_aclr</ipxact:name> + <ipxact:displayName>coefsel3_aclr</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="coefsel0_sclr" type="string"> + <ipxact:name>coefsel0_sclr</ipxact:name> + <ipxact:displayName>coefsel0_sclr</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="coefsel1_sclr" type="string"> + <ipxact:name>coefsel1_sclr</ipxact:name> + <ipxact:displayName>coefsel1_sclr</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="coefsel2_sclr" type="string"> + <ipxact:name>coefsel2_sclr</ipxact:name> + <ipxact:displayName>coefsel2_sclr</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="coefsel3_sclr" type="string"> + <ipxact:name>coefsel3_sclr</ipxact:name> + <ipxact:displayName>coefsel3_sclr</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="coef0_0" type="int"> + <ipxact:name>coef0_0</ipxact:name> + <ipxact:displayName>Coef0_0</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="coef0_1" type="int"> + <ipxact:name>coef0_1</ipxact:name> + <ipxact:displayName>Coef0_1</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="coef0_2" type="int"> + <ipxact:name>coef0_2</ipxact:name> + <ipxact:displayName>Coef0_2</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="coef0_3" type="int"> + <ipxact:name>coef0_3</ipxact:name> + <ipxact:displayName>Coef0_3</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="coef0_4" type="int"> + <ipxact:name>coef0_4</ipxact:name> + <ipxact:displayName>Coef0_4</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="coef0_5" type="int"> + <ipxact:name>coef0_5</ipxact:name> + <ipxact:displayName>Coef0_5</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="coef0_6" type="int"> + <ipxact:name>coef0_6</ipxact:name> + <ipxact:displayName>Coef0_6</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="coef0_7" type="int"> + <ipxact:name>coef0_7</ipxact:name> + <ipxact:displayName>Coef0_7</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="coef1_0" type="int"> + <ipxact:name>coef1_0</ipxact:name> + <ipxact:displayName>Coef1_0</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="coef1_1" type="int"> + <ipxact:name>coef1_1</ipxact:name> + <ipxact:displayName>Coef1_1</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="coef1_2" type="int"> + <ipxact:name>coef1_2</ipxact:name> + <ipxact:displayName>Coef1_2</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="coef1_3" type="int"> + <ipxact:name>coef1_3</ipxact:name> + <ipxact:displayName>Coef1_3</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="coef1_4" type="int"> + <ipxact:name>coef1_4</ipxact:name> + <ipxact:displayName>Coef1_4</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="coef1_5" type="int"> + <ipxact:name>coef1_5</ipxact:name> + <ipxact:displayName>Coef1_5</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="coef1_6" type="int"> + <ipxact:name>coef1_6</ipxact:name> + <ipxact:displayName>Coef1_6</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="coef1_7" type="int"> + <ipxact:name>coef1_7</ipxact:name> + <ipxact:displayName>Coef1_7</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="coef2_0" type="int"> + <ipxact:name>coef2_0</ipxact:name> + <ipxact:displayName>Coef2_0</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="coef2_1" type="int"> + <ipxact:name>coef2_1</ipxact:name> + <ipxact:displayName>Coef2_1</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="coef2_2" type="int"> + <ipxact:name>coef2_2</ipxact:name> + <ipxact:displayName>Coef2_2</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="coef2_3" type="int"> + <ipxact:name>coef2_3</ipxact:name> + <ipxact:displayName>Coef2_3</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="coef2_4" type="int"> + <ipxact:name>coef2_4</ipxact:name> + <ipxact:displayName>Coef2_4</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="coef2_5" type="int"> + <ipxact:name>coef2_5</ipxact:name> + <ipxact:displayName>Coef2_5</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="coef2_6" type="int"> + <ipxact:name>coef2_6</ipxact:name> + <ipxact:displayName>Coef2_6</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="coef2_7" type="int"> + <ipxact:name>coef2_7</ipxact:name> + <ipxact:displayName>Coef2_7</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="coef3_0" type="int"> + <ipxact:name>coef3_0</ipxact:name> + <ipxact:displayName>Coef3_0</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="coef3_1" type="int"> + <ipxact:name>coef3_1</ipxact:name> + <ipxact:displayName>Coef3_1</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="coef3_2" type="int"> + <ipxact:name>coef3_2</ipxact:name> + <ipxact:displayName>Coef3_2</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="coef3_3" type="int"> + <ipxact:name>coef3_3</ipxact:name> + <ipxact:displayName>Coef3_3</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="coef3_4" type="int"> + <ipxact:name>coef3_4</ipxact:name> + <ipxact:displayName>Coef3_4</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="coef3_5" type="int"> + <ipxact:name>coef3_5</ipxact:name> + <ipxact:displayName>Coef3_5</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="coef3_6" type="int"> + <ipxact:name>coef3_6</ipxact:name> + <ipxact:displayName>Coef3_6</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="coef3_7" type="int"> + <ipxact:name>coef3_7</ipxact:name> + <ipxact:displayName>Coef3_7</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="accumulator" type="string"> + <ipxact:name>accumulator</ipxact:name> + <ipxact:displayName>Enable accumulator?</ipxact:displayName> + <ipxact:value>NO</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="accum_direction" type="string"> + <ipxact:name>accum_direction</ipxact:name> + <ipxact:displayName>What is the accumulator operation type?</ipxact:displayName> + <ipxact:value>ADD</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_ena_preload_const" type="bit"> + <ipxact:name>gui_ena_preload_const</ipxact:name> + <ipxact:displayName>Enable preload constant</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_accumulate_port_select" type="int"> + <ipxact:name>gui_accumulate_port_select</ipxact:name> + <ipxact:displayName>What is the input of accumulate port connected to?</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="use_sload_accum_port" type="string"> + <ipxact:name>use_sload_accum_port</ipxact:name> + <ipxact:displayName>use_sload_accum_port</ipxact:displayName> + <ipxact:value>NO</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="loadconst_value" type="int"> + <ipxact:name>loadconst_value</ipxact:name> + <ipxact:displayName>Select value for preload constant</ipxact:displayName> + <ipxact:value>64</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_accum_sload_register" type="bit"> + <ipxact:name>gui_accum_sload_register</ipxact:name> + <ipxact:displayName>Register input of accum_sload</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_accum_sload_register_clock" type="string"> + <ipxact:name>gui_accum_sload_register_clock</ipxact:name> + <ipxact:displayName>What is the source for clock input?</ipxact:displayName> + <ipxact:value>CLOCK0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_accum_sload_register_aclr" type="string"> + <ipxact:name>gui_accum_sload_register_aclr</ipxact:name> + <ipxact:displayName>What is the source for asynchronous clear input?</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_accum_sload_register_sclr" type="string"> + <ipxact:name>gui_accum_sload_register_sclr</ipxact:name> + <ipxact:displayName>What is the source for synchronous clear input?</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="accum_sload_register" type="string"> + <ipxact:name>accum_sload_register</ipxact:name> + <ipxact:displayName>accum_sload_register</ipxact:displayName> + <ipxact:value>UNREGISTERED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="accum_sload_aclr" type="string"> + <ipxact:name>accum_sload_aclr</ipxact:name> + <ipxact:displayName>accum_sload_aclr</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="accum_sload_sclr" type="string"> + <ipxact:name>accum_sload_sclr</ipxact:name> + <ipxact:displayName>accum_sload_sclr</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_double_accum" type="bit"> + <ipxact:name>gui_double_accum</ipxact:name> + <ipxact:displayName>Enable double accumulator</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="double_accum" type="string"> + <ipxact:name>double_accum</ipxact:name> + <ipxact:displayName>double_accum</ipxact:displayName> + <ipxact:value>NO</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="width_chainin" type="int"> + <ipxact:name>width_chainin</ipxact:name> + <ipxact:displayName>width_chainin</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="chainout_adder" type="string"> + <ipxact:name>chainout_adder</ipxact:name> + <ipxact:displayName>Enable chainout adder</ipxact:displayName> + <ipxact:value>NO</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="chainout_adder_direction" type="string"> + <ipxact:name>chainout_adder_direction</ipxact:name> + <ipxact:displayName>What is the chainout adder operation type?</ipxact:displayName> + <ipxact:value>ADD</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="port_negate" type="string"> + <ipxact:name>port_negate</ipxact:name> + <ipxact:displayName>Enable 'negate' input for chainout adder?</ipxact:displayName> + <ipxact:value>PORT_UNUSED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="negate_register" type="string"> + <ipxact:name>negate_register</ipxact:name> + <ipxact:displayName>Register 'negate' input?</ipxact:displayName> + <ipxact:value>UNREGISTERED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="negate_aclr" type="string"> + <ipxact:name>negate_aclr</ipxact:name> + <ipxact:displayName>What is the source for asynchronous clear input?</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="negate_sclr" type="string"> + <ipxact:name>negate_sclr</ipxact:name> + <ipxact:displayName>What is the source for synchronous clear input?</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_systolic_delay" type="bit"> + <ipxact:name>gui_systolic_delay</ipxact:name> + <ipxact:displayName>Enable systolic delay registers</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_systolic_delay_clock" type="string"> + <ipxact:name>gui_systolic_delay_clock</ipxact:name> + <ipxact:displayName>What is the source for clock input?</ipxact:displayName> + <ipxact:value>CLOCK0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_systolic_delay_aclr" type="string"> + <ipxact:name>gui_systolic_delay_aclr</ipxact:name> + <ipxact:displayName>What is the source for asynchronous clear input?</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_systolic_delay_sclr" type="string"> + <ipxact:name>gui_systolic_delay_sclr</ipxact:name> + <ipxact:displayName>What is the source for synchronous clear input?</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="systolic_delay1" type="string"> + <ipxact:name>systolic_delay1</ipxact:name> + <ipxact:displayName>systolic_delay1</ipxact:displayName> + <ipxact:value>UNREGISTERED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="systolic_aclr1" type="string"> + <ipxact:name>systolic_aclr1</ipxact:name> + <ipxact:displayName>systolic_aclr1</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="systolic_sclr1" type="string"> + <ipxact:name>systolic_sclr1</ipxact:name> + <ipxact:displayName>systolic_sclr1</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="systolic_delay3" type="string"> + <ipxact:name>systolic_delay3</ipxact:name> + <ipxact:displayName>systolic_delay3</ipxact:displayName> + <ipxact:value>UNREGISTERED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="systolic_aclr3" type="string"> + <ipxact:name>systolic_aclr3</ipxact:name> + <ipxact:displayName>systolic_aclr3</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="systolic_sclr3" type="string"> + <ipxact:name>systolic_sclr3</ipxact:name> + <ipxact:displayName>systolic_sclr3</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_pipelining" type="int"> + <ipxact:name>gui_pipelining</ipxact:name> + <ipxact:displayName>Do you want to add pipeline register to the input?</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="latency" type="int"> + <ipxact:name>latency</ipxact:name> + <ipxact:displayName>Please specify the number of latency clock cycles</ipxact:displayName> + <ipxact:value>3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_input_latency_clock" type="string"> + <ipxact:name>gui_input_latency_clock</ipxact:name> + <ipxact:displayName>What is the source for clock input?</ipxact:displayName> + <ipxact:value>CLOCK0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_input_latency_aclr" type="string"> + <ipxact:name>gui_input_latency_aclr</ipxact:name> + <ipxact:displayName>What is the source for asynchronous clear input?</ipxact:displayName> + <ipxact:value>ACLR0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_input_latency_sclr" type="string"> + <ipxact:name>gui_input_latency_sclr</ipxact:name> + <ipxact:displayName>What is the source for synchronous clear input?</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="input_a0_latency_clock" type="string"> + <ipxact:name>input_a0_latency_clock</ipxact:name> + <ipxact:displayName>input_a0_latency_clock</ipxact:displayName> + <ipxact:value>CLOCK0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="input_a1_latency_clock" type="string"> + <ipxact:name>input_a1_latency_clock</ipxact:name> + <ipxact:displayName>input_a1_latency_clock</ipxact:displayName> + <ipxact:value>CLOCK0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="input_a2_latency_clock" type="string"> + <ipxact:name>input_a2_latency_clock</ipxact:name> + <ipxact:displayName>input_a2_latency_clock</ipxact:displayName> + <ipxact:value>CLOCK0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="input_a3_latency_clock" type="string"> + <ipxact:name>input_a3_latency_clock</ipxact:name> + <ipxact:displayName>input_a3_latency_clock</ipxact:displayName> + <ipxact:value>CLOCK0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="input_a0_latency_aclr" type="string"> + <ipxact:name>input_a0_latency_aclr</ipxact:name> + <ipxact:displayName>input_a0_latency_aclr</ipxact:displayName> + <ipxact:value>ACLR0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="input_a1_latency_aclr" type="string"> + <ipxact:name>input_a1_latency_aclr</ipxact:name> + <ipxact:displayName>input_a1_latency_aclr</ipxact:displayName> + <ipxact:value>ACLR0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="input_a2_latency_aclr" type="string"> + <ipxact:name>input_a2_latency_aclr</ipxact:name> + <ipxact:displayName>input_a2_latency_aclr</ipxact:displayName> + <ipxact:value>ACLR0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="input_a3_latency_aclr" type="string"> + <ipxact:name>input_a3_latency_aclr</ipxact:name> + <ipxact:displayName>input_a3_latency_aclr</ipxact:displayName> + <ipxact:value>ACLR0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="input_a0_latency_sclr" type="string"> + <ipxact:name>input_a0_latency_sclr</ipxact:name> + <ipxact:displayName>input_a0_latency_sclr</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="input_a1_latency_sclr" type="string"> + <ipxact:name>input_a1_latency_sclr</ipxact:name> + <ipxact:displayName>input_a1_latency_sclr</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="input_a2_latency_sclr" type="string"> + <ipxact:name>input_a2_latency_sclr</ipxact:name> + <ipxact:displayName>input_a2_latency_sclr</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="input_a3_latency_sclr" type="string"> + <ipxact:name>input_a3_latency_sclr</ipxact:name> + <ipxact:displayName>input_a3_latency_sclr</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="input_b0_latency_clock" type="string"> + <ipxact:name>input_b0_latency_clock</ipxact:name> + <ipxact:displayName>input_b0_latency_clock</ipxact:displayName> + <ipxact:value>CLOCK0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="input_b1_latency_clock" type="string"> + <ipxact:name>input_b1_latency_clock</ipxact:name> + <ipxact:displayName>input_b1_latency_clock</ipxact:displayName> + <ipxact:value>CLOCK0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="input_b2_latency_clock" type="string"> + <ipxact:name>input_b2_latency_clock</ipxact:name> + <ipxact:displayName>input_b2_latency_clock</ipxact:displayName> + <ipxact:value>CLOCK0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="input_b3_latency_clock" type="string"> + <ipxact:name>input_b3_latency_clock</ipxact:name> + <ipxact:displayName>input_b3_latency_clock</ipxact:displayName> + <ipxact:value>CLOCK0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="input_b0_latency_aclr" type="string"> + <ipxact:name>input_b0_latency_aclr</ipxact:name> + <ipxact:displayName>input_b0_latency_aclr</ipxact:displayName> + <ipxact:value>ACLR0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="input_b1_latency_aclr" type="string"> + <ipxact:name>input_b1_latency_aclr</ipxact:name> + <ipxact:displayName>input_b1_latency_aclr</ipxact:displayName> + <ipxact:value>ACLR0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="input_b2_latency_aclr" type="string"> + <ipxact:name>input_b2_latency_aclr</ipxact:name> + <ipxact:displayName>input_b2_latency_aclr</ipxact:displayName> + <ipxact:value>ACLR0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="input_b3_latency_aclr" type="string"> + <ipxact:name>input_b3_latency_aclr</ipxact:name> + <ipxact:displayName>input_b3_latency_aclr</ipxact:displayName> + <ipxact:value>ACLR0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="input_b0_latency_sclr" type="string"> + <ipxact:name>input_b0_latency_sclr</ipxact:name> + <ipxact:displayName>input_b0_latency_sclr</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="input_b1_latency_sclr" type="string"> + <ipxact:name>input_b1_latency_sclr</ipxact:name> + <ipxact:displayName>input_b1_latency_sclr</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="input_b2_latency_sclr" type="string"> + <ipxact:name>input_b2_latency_sclr</ipxact:name> + <ipxact:displayName>input_b2_latency_sclr</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="input_b3_latency_sclr" type="string"> + <ipxact:name>input_b3_latency_sclr</ipxact:name> + <ipxact:displayName>input_b3_latency_sclr</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="input_c0_latency_clock" type="string"> + <ipxact:name>input_c0_latency_clock</ipxact:name> + <ipxact:displayName>input_c0_latency_clock</ipxact:displayName> + <ipxact:value>UNREGISTERED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="input_c1_latency_clock" type="string"> + <ipxact:name>input_c1_latency_clock</ipxact:name> + <ipxact:displayName>input_c1_latency_clock</ipxact:displayName> + <ipxact:value>UNREGISTERED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="input_c2_latency_clock" type="string"> + <ipxact:name>input_c2_latency_clock</ipxact:name> + <ipxact:displayName>input_c2_latency_clock</ipxact:displayName> + <ipxact:value>UNREGISTERED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="input_c3_latency_clock" type="string"> + <ipxact:name>input_c3_latency_clock</ipxact:name> + <ipxact:displayName>input_c3_latency_clock</ipxact:displayName> + <ipxact:value>UNREGISTERED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="input_c0_latency_aclr" type="string"> + <ipxact:name>input_c0_latency_aclr</ipxact:name> + <ipxact:displayName>input_c0_latency_aclr</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="input_c1_latency_aclr" type="string"> + <ipxact:name>input_c1_latency_aclr</ipxact:name> + <ipxact:displayName>input_c1_latency_aclr</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="input_c2_latency_aclr" type="string"> + <ipxact:name>input_c2_latency_aclr</ipxact:name> + <ipxact:displayName>input_c2_latency_aclr</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="input_c3_latency_aclr" type="string"> + <ipxact:name>input_c3_latency_aclr</ipxact:name> + <ipxact:displayName>input_c3_latency_aclr</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="input_c0_latency_sclr" type="string"> + <ipxact:name>input_c0_latency_sclr</ipxact:name> + <ipxact:displayName>input_c0_latency_sclr</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="input_c1_latency_sclr" type="string"> + <ipxact:name>input_c1_latency_sclr</ipxact:name> + <ipxact:displayName>input_c1_latency_sclr</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="input_c2_latency_sclr" type="string"> + <ipxact:name>input_c2_latency_sclr</ipxact:name> + <ipxact:displayName>input_c2_latency_sclr</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="input_c3_latency_sclr" type="string"> + <ipxact:name>input_c3_latency_sclr</ipxact:name> + <ipxact:displayName>input_c3_latency_sclr</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="coefsel0_latency_clock" type="string"> + <ipxact:name>coefsel0_latency_clock</ipxact:name> + <ipxact:displayName>coefsel0_latency_clock</ipxact:displayName> + <ipxact:value>UNREGISTERED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="coefsel1_latency_clock" type="string"> + <ipxact:name>coefsel1_latency_clock</ipxact:name> + <ipxact:displayName>coefsel1_latency_clock</ipxact:displayName> + <ipxact:value>UNREGISTERED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="coefsel2_latency_clock" type="string"> + <ipxact:name>coefsel2_latency_clock</ipxact:name> + <ipxact:displayName>coefsel2_latency_clock</ipxact:displayName> + <ipxact:value>UNREGISTERED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="coefsel3_latency_clock" type="string"> + <ipxact:name>coefsel3_latency_clock</ipxact:name> + <ipxact:displayName>coefsel3_latency_clock</ipxact:displayName> + <ipxact:value>UNREGISTERED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="coefsel0_latency_aclr" type="string"> + <ipxact:name>coefsel0_latency_aclr</ipxact:name> + <ipxact:displayName>coefsel0_latency_aclr</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="coefsel1_latency_aclr" type="string"> + <ipxact:name>coefsel1_latency_aclr</ipxact:name> + <ipxact:displayName>coefsel1_latency_aclr</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="coefsel2_latency_aclr" type="string"> + <ipxact:name>coefsel2_latency_aclr</ipxact:name> + <ipxact:displayName>coefsel2_latency_aclr</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="coefsel3_latency_aclr" type="string"> + <ipxact:name>coefsel3_latency_aclr</ipxact:name> + <ipxact:displayName>coefsel3_latency_aclr</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="coefsel0_latency_sclr" type="string"> + <ipxact:name>coefsel0_latency_sclr</ipxact:name> + <ipxact:displayName>coefsel0_latency_sclr</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="coefsel1_latency_sclr" type="string"> + <ipxact:name>coefsel1_latency_sclr</ipxact:name> + <ipxact:displayName>coefsel1_latency_sclr</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="coefsel2_latency_sclr" type="string"> + <ipxact:name>coefsel2_latency_sclr</ipxact:name> + <ipxact:displayName>coefsel2_latency_sclr</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="coefsel3_latency_sclr" type="string"> + <ipxact:name>coefsel3_latency_sclr</ipxact:name> + <ipxact:displayName>coefsel3_latency_sclr</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="signed_latency_clock_a" type="string"> + <ipxact:name>signed_latency_clock_a</ipxact:name> + <ipxact:displayName>signed_latency_clock_a</ipxact:displayName> + <ipxact:value>UNREGISTERED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="signed_latency_aclr_a" type="string"> + <ipxact:name>signed_latency_aclr_a</ipxact:name> + <ipxact:displayName>signed_latency_aclr_a</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="signed_latency_sclr_a" type="string"> + <ipxact:name>signed_latency_sclr_a</ipxact:name> + <ipxact:displayName>signed_latency_sclr_a</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="signed_latency_clock_b" type="string"> + <ipxact:name>signed_latency_clock_b</ipxact:name> + <ipxact:displayName>signed_latency_clock_b</ipxact:displayName> + <ipxact:value>UNREGISTERED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="signed_latency_aclr_b" type="string"> + <ipxact:name>signed_latency_aclr_b</ipxact:name> + <ipxact:displayName>signed_latency_aclr_b</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="signed_latency_sclr_b" type="string"> + <ipxact:name>signed_latency_sclr_b</ipxact:name> + <ipxact:displayName>signed_latency_sclr_b</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="addnsub_multiplier_latency_clock1" type="string"> + <ipxact:name>addnsub_multiplier_latency_clock1</ipxact:name> + <ipxact:displayName>addnsub_multiplier_latency_clock1</ipxact:displayName> + <ipxact:value>UNREGISTERED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="addnsub_multiplier_latency_aclr1" type="string"> + <ipxact:name>addnsub_multiplier_latency_aclr1</ipxact:name> + <ipxact:displayName>addnsub_multiplier_latency_aclr1</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="addnsub_multiplier_latency_sclr1" type="string"> + <ipxact:name>addnsub_multiplier_latency_sclr1</ipxact:name> + <ipxact:displayName>addnsub_multiplier_latency_sclr1</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="addnsub_multiplier_latency_clock3" type="string"> + <ipxact:name>addnsub_multiplier_latency_clock3</ipxact:name> + <ipxact:displayName>addnsub_multiplier_latency_clock3</ipxact:displayName> + <ipxact:value>UNREGISTERED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="addnsub_multiplier_latency_aclr3" type="string"> + <ipxact:name>addnsub_multiplier_latency_aclr3</ipxact:name> + <ipxact:displayName>addnsub_multiplier_latency_aclr3</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="addnsub_multiplier_latency_sclr3" type="string"> + <ipxact:name>addnsub_multiplier_latency_sclr3</ipxact:name> + <ipxact:displayName>addnsub_multiplier_latency_sclr3</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="accum_sload_latency_clock" type="string"> + <ipxact:name>accum_sload_latency_clock</ipxact:name> + <ipxact:displayName>accum_sload_latency_clock</ipxact:displayName> + <ipxact:value>UNREGISTERED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="accum_sload_latency_aclr" type="string"> + <ipxact:name>accum_sload_latency_aclr</ipxact:name> + <ipxact:displayName>accum_sload_latency_aclr</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="accum_sload_latency_sclr" type="string"> + <ipxact:name>accum_sload_latency_sclr</ipxact:name> + <ipxact:displayName>accum_sload_latency_sclr</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="negate_latency_clock" type="string"> + <ipxact:name>negate_latency_clock</ipxact:name> + <ipxact:displayName>negate_latency_clock</ipxact:displayName> + <ipxact:value>UNREGISTERED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="negate_latency_aclr" type="string"> + <ipxact:name>negate_latency_aclr</ipxact:name> + <ipxact:displayName>negate_latency_aclr</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="negate_latency_sclr" type="string"> + <ipxact:name>negate_latency_sclr</ipxact:name> + <ipxact:displayName>negate_latency_sclr</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="selected_device_family" type="string"> + <ipxact:name>selected_device_family</ipxact:name> + <ipxact:displayName>selected_device_family</ipxact:displayName> + <ipxact:value>Arria 10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="reg_autovec_sim" type="bit"> + <ipxact:name>reg_autovec_sim</ipxact:name> + <ipxact:displayName>reg_autovec_sim</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_module_parameters> + <altera:altera_system_parameters> + <ipxact:parameters> + <ipxact:parameter parameterId="device" type="string"> + <ipxact:name>device</ipxact:name> + <ipxact:displayName>Device</ipxact:displayName> + <ipxact:value>10AX115U3F45E2SG</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="deviceFamily" type="string"> + <ipxact:name>deviceFamily</ipxact:name> + <ipxact:displayName>Device family</ipxact:displayName> + <ipxact:value>Arria 10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="deviceSpeedGrade" type="string"> + <ipxact:name>deviceSpeedGrade</ipxact:name> + <ipxact:displayName>Device Speed Grade</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="generationId" type="int"> + <ipxact:name>generationId</ipxact:name> + <ipxact:displayName>Generation Id</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="bonusData" type="string"> + <ipxact:name>bonusData</ipxact:name> + <ipxact:displayName>bonusData</ipxact:displayName> + <ipxact:value>bonusData +{ + element mult_add_0 + { + datum _sortIndex + { + value = "0"; + type = "int"; + } + } +} +</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hideFromIPCatalog" type="bit"> + <ipxact:name>hideFromIPCatalog</ipxact:name> + <ipxact:displayName>Hide from IP Catalog</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="lockedInterfaceDefinition" type="string"> + <ipxact:name>lockedInterfaceDefinition</ipxact:name> + <ipxact:displayName>lockedInterfaceDefinition</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="systemInfos" type="string"> + <ipxact:name>systemInfos</ipxact:name> + <ipxact:displayName>systemInfos</ipxact:displayName> + <ipxact:value><systemInfosDefinition> + <connPtSystemInfos/> +</systemInfosDefinition></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_system_parameters> + <altera:altera_interface_boundary> + <altera:interface_mapping altera:name="aclr0" altera:internal="mult_add_0.aclr0" altera:type="reset" altera:dir="end"> + <altera:port_mapping altera:name="aclr0" altera:internal="aclr0"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="clock0" altera:internal="mult_add_0.clock0" altera:type="clock" altera:dir="end"> + <altera:port_mapping altera:name="clock0" altera:internal="clock0"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="dataa_0" altera:internal="mult_add_0.dataa_0" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="dataa_0" altera:internal="dataa_0"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="dataa_1" altera:internal="mult_add_0.dataa_1" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="dataa_1" altera:internal="dataa_1"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="dataa_2" altera:internal="mult_add_0.dataa_2" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="dataa_2" altera:internal="dataa_2"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="dataa_3" altera:internal="mult_add_0.dataa_3" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="dataa_3" altera:internal="dataa_3"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="datab_0" altera:internal="mult_add_0.datab_0" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="datab_0" altera:internal="datab_0"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="datab_1" altera:internal="mult_add_0.datab_1" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="datab_1" altera:internal="datab_1"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="datab_2" altera:internal="mult_add_0.datab_2" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="datab_2" altera:internal="datab_2"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="datab_3" altera:internal="mult_add_0.datab_3" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="datab_3" altera:internal="datab_3"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="ena0" altera:internal="mult_add_0.ena0" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="ena0" altera:internal="ena0"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="result" altera:internal="mult_add_0.result" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="result" altera:internal="result"></altera:port_mapping> + </altera:interface_mapping> + </altera:altera_interface_boundary> + <altera:altera_has_warnings>false</altera:altera_has_warnings> + <altera:altera_has_errors>false</altera:altera_has_errors> + </ipxact:vendorExtensions> +</ipxact:component> \ No newline at end of file diff --git a/libraries/technology/ip_arria10_e2sg/mult_add4/ip_arria10_e2sg_mult_add4.qsys b/libraries/technology/ip_arria10_e2sg/mult_add4/ip_arria10_e2sg_mult_add4.qsys deleted file mode 100644 index 77fd3072a0..0000000000 --- a/libraries/technology/ip_arria10_e2sg/mult_add4/ip_arria10_e2sg_mult_add4.qsys +++ /dev/null @@ -1,212 +0,0 @@ -<?xml version="1.0" encoding="UTF-8"?> -<system name="ip_arria10_e2sg_mult_add4"> - <component - name="$${FILENAME}" - displayName="$${FILENAME}" - version="1.0" - description="" - tags="AUTHORSHIP=Intel Corporation /// INTERNAL_COMPONENT=true" - categories="System" - tool="QsysStandard" /> - <parameter name="bonusData"><![CDATA[bonusData -{ - element $system - { - } - element mult_add_0 - { - datum _sortIndex - { - value = "0"; - type = "int"; - } - } -} -]]></parameter> - <parameter name="device" value="10AX115U3F45E2SG" /> - <parameter name="deviceFamily" value="Arria 10" /> - <parameter name="deviceSpeedGrade" value="2" /> - <parameter name="fabricMode" value="QSYS" /> - <parameter name="generateLegacySim" value="false" /> - <parameter name="generationId" value="0" /> - <parameter name="globalResetBus" value="false" /> - <parameter name="hdlLanguage" value="VERILOG" /> - <parameter name="hideFromIPCatalog" value="true" /> - <parameter name="lockedInterfaceDefinition" value="" /> - <parameter name="sopcBorderPoints" value="false" /> - <parameter name="systemHash" value="0" /> - <parameter name="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos/> -</systemInfosDefinition>]]></parameter> - <parameter name="systemScripts" value="" /> - <parameter name="testBenchDutName" value="" /> - <parameter name="timeStamp" value="0" /> - <parameter name="useTestBenchNamingPattern" value="false" /> - <instanceScript></instanceScript> - <interface name="aclr0" internal="mult_add_0.aclr0" type="reset" dir="end"> - <port name="aclr0" internal="aclr0" /> - </interface> - <interface name="clock0" internal="mult_add_0.clock0" type="clock" dir="end"> - <port name="clock0" internal="clock0" /> - </interface> - <interface name="dataa_0" internal="mult_add_0.dataa_0" type="conduit" dir="end"> - <port name="dataa_0" internal="dataa_0" /> - </interface> - <interface name="dataa_1" internal="mult_add_0.dataa_1" type="conduit" dir="end"> - <port name="dataa_1" internal="dataa_1" /> - </interface> - <interface name="dataa_2" internal="mult_add_0.dataa_2" type="conduit" dir="end"> - <port name="dataa_2" internal="dataa_2" /> - </interface> - <interface name="dataa_3" internal="mult_add_0.dataa_3" type="conduit" dir="end"> - <port name="dataa_3" internal="dataa_3" /> - </interface> - <interface name="datab_0" internal="mult_add_0.datab_0" type="conduit" dir="end"> - <port name="datab_0" internal="datab_0" /> - </interface> - <interface name="datab_1" internal="mult_add_0.datab_1" type="conduit" dir="end"> - <port name="datab_1" internal="datab_1" /> - </interface> - <interface name="datab_2" internal="mult_add_0.datab_2" type="conduit" dir="end"> - <port name="datab_2" internal="datab_2" /> - </interface> - <interface name="datab_3" internal="mult_add_0.datab_3" type="conduit" dir="end"> - <port name="datab_3" internal="datab_3" /> - </interface> - <interface name="datac_0" internal="mult_add_0.datac_0" /> - <interface name="datac_1" internal="mult_add_0.datac_1" /> - <interface name="datac_2" internal="mult_add_0.datac_2" /> - <interface name="datac_3" internal="mult_add_0.datac_3" /> - <interface name="ena0" internal="mult_add_0.ena0" type="conduit" dir="end"> - <port name="ena0" internal="ena0" /> - </interface> - <interface name="result" internal="mult_add_0.result" type="conduit" dir="end"> - <port name="result" internal="result" /> - </interface> - <module - name="mult_add_0" - kind="altera_mult_add" - version="19.1.0" - enabled="1" - autoexport="1"> - <parameter name="accum_direction" value="ADD" /> - <parameter name="accumulator" value="NO" /> - <parameter name="chainout_adder" value="NO" /> - <parameter name="chainout_adder_direction" value="ADD" /> - <parameter name="coef0_0" value="0" /> - <parameter name="coef0_1" value="0" /> - <parameter name="coef0_2" value="0" /> - <parameter name="coef0_3" value="0" /> - <parameter name="coef0_4" value="0" /> - <parameter name="coef0_5" value="0" /> - <parameter name="coef0_6" value="0" /> - <parameter name="coef0_7" value="0" /> - <parameter name="coef1_0" value="0" /> - <parameter name="coef1_1" value="0" /> - <parameter name="coef1_2" value="0" /> - <parameter name="coef1_3" value="0" /> - <parameter name="coef1_4" value="0" /> - <parameter name="coef1_5" value="0" /> - <parameter name="coef1_6" value="0" /> - <parameter name="coef1_7" value="0" /> - <parameter name="coef2_0" value="0" /> - <parameter name="coef2_1" value="0" /> - <parameter name="coef2_2" value="0" /> - <parameter name="coef2_3" value="0" /> - <parameter name="coef2_4" value="0" /> - <parameter name="coef2_5" value="0" /> - <parameter name="coef2_6" value="0" /> - <parameter name="coef2_7" value="0" /> - <parameter name="coef3_0" value="0" /> - <parameter name="coef3_1" value="0" /> - <parameter name="coef3_2" value="0" /> - <parameter name="coef3_3" value="0" /> - <parameter name="coef3_4" value="0" /> - <parameter name="coef3_5" value="0" /> - <parameter name="coef3_6" value="0" /> - <parameter name="coef3_7" value="0" /> - <parameter name="gui_4th_asynchronous_clear" value="false" /> - <parameter name="gui_accum_sload_register_aclr" value="NONE" /> - <parameter name="gui_accum_sload_register_clock" value="CLOCK0" /> - <parameter name="gui_accum_sload_register_sclr" value="NONE" /> - <parameter name="gui_accumulate_port_select" value="0" /> - <parameter name="gui_addnsub_multiplier_aclr1" value="NONE" /> - <parameter name="gui_addnsub_multiplier_aclr3" value="NONE" /> - <parameter name="gui_addnsub_multiplier_register1" value="false" /> - <parameter name="gui_addnsub_multiplier_register1_clock" value="CLOCK0" /> - <parameter name="gui_addnsub_multiplier_register3" value="false" /> - <parameter name="gui_addnsub_multiplier_register3_clock" value="CLOCK0" /> - <parameter name="gui_addnsub_multiplier_sclr1" value="NONE" /> - <parameter name="gui_addnsub_multiplier_sclr3" value="NONE" /> - <parameter name="gui_associated_clock_enable" value="true" /> - <parameter name="gui_coef_register" value="false" /> - <parameter name="gui_coef_register_aclr" value="NONE" /> - <parameter name="gui_coef_register_clock" value="CLOCK0" /> - <parameter name="gui_coef_register_sclr" value="NONE" /> - <parameter name="gui_datac_input_register" value="false" /> - <parameter name="gui_datac_input_register_aclr" value="ACLR0" /> - <parameter name="gui_datac_input_register_clock" value="CLOCK0" /> - <parameter name="gui_datac_input_register_sclr" value="NONE" /> - <parameter name="gui_double_accum" value="false" /> - <parameter name="gui_ena_preload_const" value="false" /> - <parameter name="gui_input_latency_aclr" value="ACLR0" /> - <parameter name="gui_input_latency_clock" value="CLOCK0" /> - <parameter name="gui_input_latency_sclr" value="NONE" /> - <parameter name="gui_input_register_a" value="false" /> - <parameter name="gui_input_register_a_aclr" value="ACLR0" /> - <parameter name="gui_input_register_a_clock" value="CLOCK0" /> - <parameter name="gui_input_register_a_sclr" value="NONE" /> - <parameter name="gui_input_register_b" value="false" /> - <parameter name="gui_input_register_b_aclr" value="ACLR0" /> - <parameter name="gui_input_register_b_clock" value="CLOCK0" /> - <parameter name="gui_input_register_b_sclr" value="NONE" /> - <parameter name="gui_multiplier1_direction" value="ADD" /> - <parameter name="gui_multiplier3_direction" value="ADD" /> - <parameter name="gui_multiplier_a_input" value="Multiplier input" /> - <parameter name="gui_multiplier_b_input" value="Multiplier input" /> - <parameter name="gui_multiplier_register" value="false" /> - <parameter name="gui_multiplier_register_aclr" value="NONE" /> - <parameter name="gui_multiplier_register_clock" value="CLOCK0" /> - <parameter name="gui_multiplier_register_sclr" value="NONE" /> - <parameter name="gui_output_register" value="false" /> - <parameter name="gui_output_register_aclr" value="NONE" /> - <parameter name="gui_output_register_clock" value="CLOCK0" /> - <parameter name="gui_output_register_sclr" value="NONE" /> - <parameter name="gui_pipelining" value="1" /> - <parameter name="gui_preadder_direction" value="ADD" /> - <parameter name="gui_register_signa" value="false" /> - <parameter name="gui_register_signa_aclr" value="NONE" /> - <parameter name="gui_register_signa_clock" value="CLOCK0" /> - <parameter name="gui_register_signa_sclr" value="NONE" /> - <parameter name="gui_register_signb" value="false" /> - <parameter name="gui_register_signb_aclr" value="NONE" /> - <parameter name="gui_register_signb_clock" value="CLOCK0" /> - <parameter name="gui_register_signb_sclr" value="NONE" /> - <parameter name="gui_representation_a" value="SIGNED" /> - <parameter name="gui_representation_b" value="SIGNED" /> - <parameter name="gui_scanouta_register" value="false" /> - <parameter name="gui_scanouta_register_aclr" value="NONE" /> - <parameter name="gui_scanouta_register_clock" value="CLOCK0" /> - <parameter name="gui_scanouta_register_sclr" value="NONE" /> - <parameter name="gui_systolic_delay" value="false" /> - <parameter name="gui_systolic_delay_aclr" value="NONE" /> - <parameter name="gui_systolic_delay_clock" value="CLOCK0" /> - <parameter name="gui_systolic_delay_sclr" value="NONE" /> - <parameter name="gui_use_subnadd" value="false" /> - <parameter name="latency" value="3" /> - <parameter name="loadconst_value" value="64" /> - <parameter name="negate_aclr" value="NONE" /> - <parameter name="negate_register" value="UNREGISTERED" /> - <parameter name="negate_sclr" value="NONE" /> - <parameter name="number_of_multipliers" value="4" /> - <parameter name="port_negate" value="PORT_UNUSED" /> - <parameter name="preadder_mode" value="SIMPLE" /> - <parameter name="reg_autovec_sim" value="false" /> - <parameter name="selected_device_family" value="Arria 10" /> - <parameter name="width_a" value="18" /> - <parameter name="width_b" value="18" /> - <parameter name="width_c" value="16" /> - <parameter name="width_coef" value="18" /> - <parameter name="width_result" value="38" /> - </module> -</system> diff --git a/libraries/technology/ip_arria10_e2sg/phy_10gbase_r/hdllib.cfg b/libraries/technology/ip_arria10_e2sg/phy_10gbase_r/hdllib.cfg index 1b8890d3d7..0117da3245 100644 --- a/libraries/technology/ip_arria10_e2sg/phy_10gbase_r/hdllib.cfg +++ b/libraries/technology/ip_arria10_e2sg/phy_10gbase_r/hdllib.cfg @@ -20,5 +20,5 @@ quartus_qip_files = [generate_ip_libs] qsys-generate_ip_files = - ip_arria10_e2sg_phy_10gbase_r.qsys + ip_arria10_e2sg_phy_10gbase_r.ip diff --git a/libraries/technology/ip_arria10_e2sg/phy_10gbase_r/ip_arria10_e2sg_phy_10gbase_r.ip b/libraries/technology/ip_arria10_e2sg/phy_10gbase_r/ip_arria10_e2sg_phy_10gbase_r.ip new file mode 100644 index 0000000000..70a8f306fc --- /dev/null +++ b/libraries/technology/ip_arria10_e2sg/phy_10gbase_r/ip_arria10_e2sg_phy_10gbase_r.ip @@ -0,0 +1,10240 @@ +<?xml version="1.0" ?> +<ipxact:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact2014/extensions" xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"> + <ipxact:vendor>Intel Corporation</ipxact:vendor> + <ipxact:library>ip_arria10_e2sg_phy_10gbase_r</ipxact:library> + <ipxact:name>xcvr_native_a10_0</ipxact:name> + <ipxact:version>19.1</ipxact:version> + <ipxact:busInterfaces> + <ipxact:busInterface> + <ipxact:name>tx_analogreset</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>tx_analogreset</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_analogreset</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_digitalreset</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>tx_digitalreset</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_digitalreset</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_analogreset</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_analogreset</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_analogreset</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_digitalreset</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_digitalreset</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_digitalreset</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_cal_busy</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>tx_cal_busy</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_cal_busy</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_cal_busy</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_cal_busy</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_cal_busy</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_serial_clk0</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_serial_clk0</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_cdr_refclk0</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_cdr_refclk0</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_serial_data</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>tx_serial_data</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_serial_data</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_serial_data</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_serial_data</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_serial_data</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_seriallpbken</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_seriallpbken</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_seriallpbken</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_is_lockedtoref</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_is_lockedtoref</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_is_lockedtoref</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_is_lockedtodata</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_is_lockedtodata</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_is_lockedtodata</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_coreclkin</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_coreclkin</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_coreclkin</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_coreclkin</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_clkout</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_clkout</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_clkout</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_clkout</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_parallel_data</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>tx_parallel_data</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_parallel_data</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_control</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>tx_control</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_control</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_err_ins</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>tx_err_ins</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_err_ins</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>unused_tx_parallel_data</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>unused_tx_parallel_data</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>unused_tx_parallel_data</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>unused_tx_control</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>unused_tx_control</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>unused_tx_control</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_parallel_data</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_parallel_data</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_parallel_data</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_control</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_control</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_control</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>unused_rx_parallel_data</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>unused_rx_parallel_data</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>unused_rx_parallel_data</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>unused_rx_control</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>unused_rx_control</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>unused_rx_control</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_prbs_err_clr</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_prbs_err_clr</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_prbs_err_clr</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_prbs_done</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_prbs_done</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_prbs_done</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_prbs_err</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_prbs_err</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_prbs_err</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_enh_data_valid</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>tx_enh_data_valid</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_enh_data_valid</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_enh_fifo_full</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>tx_enh_fifo_full</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_enh_fifo_full</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_enh_fifo_pfull</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>tx_enh_fifo_pfull</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_enh_fifo_pfull</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_enh_fifo_empty</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>tx_enh_fifo_empty</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_enh_fifo_empty</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_enh_fifo_pempty</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>tx_enh_fifo_pempty</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_enh_fifo_pempty</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_enh_data_valid</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_enh_data_valid</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_enh_data_valid</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_enh_fifo_full</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_enh_fifo_full</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_enh_fifo_full</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_enh_fifo_empty</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_enh_fifo_empty</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_enh_fifo_empty</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_enh_fifo_del</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_enh_fifo_del</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_enh_fifo_del</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_enh_fifo_insert</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_enh_fifo_insert</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_enh_fifo_insert</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_enh_highber</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_enh_highber</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_enh_highber</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_enh_blk_lock</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_enh_blk_lock</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_enh_blk_lock</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + </ipxact:busInterfaces> + <ipxact:model> + <ipxact:views> + <ipxact:view> + <ipxact:name>QUARTUS_SYNTH</ipxact:name> + <ipxact:envIdentifier>:quartus.altera.com:</ipxact:envIdentifier> + <ipxact:componentInstantiationRef>QUARTUS_SYNTH</ipxact:componentInstantiationRef> + </ipxact:view> + </ipxact:views> + <ipxact:instantiations> + <ipxact:componentInstantiation> + <ipxact:name>QUARTUS_SYNTH</ipxact:name> + <ipxact:moduleName>altera_xcvr_native_a10</ipxact:moduleName> + <ipxact:fileSetRef> + <ipxact:localName>QUARTUS_SYNTH</ipxact:localName> + </ipxact:fileSetRef> + </ipxact:componentInstantiation> + </ipxact:instantiations> + <ipxact:ports> + <ipxact:port> + <ipxact:name>tx_analogreset</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_digitalreset</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_analogreset</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_digitalreset</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_cal_busy</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_cal_busy</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_serial_clk0</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_cdr_refclk0</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_serial_data</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_serial_data</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_seriallpbken</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_is_lockedtoref</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_is_lockedtodata</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_coreclkin</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_coreclkin</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_clkout</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_clkout</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_parallel_data</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>63</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_control</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>7</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_err_ins</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>unused_tx_parallel_data</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>63</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>unused_tx_control</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>8</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_parallel_data</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>63</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_control</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>7</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>unused_rx_parallel_data</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>63</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>unused_rx_control</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>11</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_prbs_err_clr</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_prbs_done</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_prbs_err</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_enh_data_valid</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_enh_fifo_full</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_enh_fifo_pfull</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_enh_fifo_empty</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_enh_fifo_pempty</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_enh_data_valid</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_enh_fifo_full</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_enh_fifo_empty</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_enh_fifo_del</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_enh_fifo_insert</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_enh_highber</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_enh_blk_lock</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + </ipxact:ports> + </ipxact:model> + <ipxact:vendorExtensions> + <altera:entity_info> + <ipxact:vendor>Intel Corporation</ipxact:vendor> + <ipxact:library>ip_arria10_e2sg_phy_10gbase_r</ipxact:library> + <ipxact:name>altera_xcvr_native_a10</ipxact:name> + <ipxact:version>19.1</ipxact:version> + </altera:entity_info> + <altera:altera_module_parameters> + <ipxact:parameters> + <ipxact:parameter parameterId="device_family" type="string"> + <ipxact:name>device_family</ipxact:name> + <ipxact:displayName>device_family</ipxact:displayName> + <ipxact:value>Arria 10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="device" type="string"> + <ipxact:name>device</ipxact:name> + <ipxact:displayName>device</ipxact:displayName> + <ipxact:value>10AX115U3F45E2SG</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="base_device" type="string"> + <ipxact:name>base_device</ipxact:name> + <ipxact:displayName>base_device</ipxact:displayName> + <ipxact:value>NIGHTFURY5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="design_environment" type="string"> + <ipxact:name>design_environment</ipxact:name> + <ipxact:displayName>design_environment</ipxact:displayName> + <ipxact:value>NATIVE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="device_revision" type="string"> + <ipxact:name>device_revision</ipxact:name> + <ipxact:displayName>device_revision</ipxact:displayName> + <ipxact:value>20nm5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="message_level" type="string"> + <ipxact:name>message_level</ipxact:name> + <ipxact:displayName>Message level for rule violations</ipxact:displayName> + <ipxact:value>error</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_voltage" type="string"> + <ipxact:name>anlg_voltage</ipxact:name> + <ipxact:displayName>VCCR_GXB and VCCT_GXB supply voltage for the Transceiver</ipxact:displayName> + <ipxact:value>1_0V</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_link" type="string"> + <ipxact:name>anlg_link</ipxact:name> + <ipxact:displayName>Tranceiver Link Type</ipxact:displayName> + <ipxact:value>sr</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="support_mode" type="string"> + <ipxact:name>support_mode</ipxact:name> + <ipxact:displayName>Protocol support mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="protocol_mode" type="string"> + <ipxact:name>protocol_mode</ipxact:name> + <ipxact:displayName>Transceiver configuration rules</ipxact:displayName> + <ipxact:value>teng_baser_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_mode" type="string"> + <ipxact:name>pma_mode</ipxact:name> + <ipxact:displayName>PMA configuration rules</ipxact:displayName> + <ipxact:value>basic</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="duplex_mode" type="string"> + <ipxact:name>duplex_mode</ipxact:name> + <ipxact:displayName>Transceiver mode</ipxact:displayName> + <ipxact:value>duplex</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="channels" type="int"> + <ipxact:name>channels</ipxact:name> + <ipxact:displayName>Number of data channels</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_data_rate" type="string"> + <ipxact:name>set_data_rate</ipxact:name> + <ipxact:displayName>Data rate</ipxact:displayName> + <ipxact:value>10312.5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_iface_enable" type="int"> + <ipxact:name>rcfg_iface_enable</ipxact:name> + <ipxact:displayName>Enable datapath and interface reconfiguration</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_simple_interface" type="int"> + <ipxact:name>enable_simple_interface</ipxact:name> + <ipxact:displayName>Enable simplified data interface</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_split_interface" type="int"> + <ipxact:name>enable_split_interface</ipxact:name> + <ipxact:displayName>Provide separate interface for each channel</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_enable_calibration" type="int"> + <ipxact:name>set_enable_calibration</ipxact:name> + <ipxact:displayName>Enable calibration</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_calibration" type="int"> + <ipxact:name>enable_calibration</ipxact:name> + <ipxact:displayName>enable_calibration</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_disconnect_analog_resets" type="int"> + <ipxact:name>set_disconnect_analog_resets</ipxact:name> + <ipxact:displayName>Disconnect analog resets</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_analog_resets" type="int"> + <ipxact:name>enable_analog_resets</ipxact:name> + <ipxact:displayName>Enable analog resets</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_reset_sequence" type="int"> + <ipxact:name>enable_reset_sequence</ipxact:name> + <ipxact:displayName>Enable reset sequence</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_transparent_pcs" type="int"> + <ipxact:name>enable_transparent_pcs</ipxact:name> + <ipxact:displayName>Enable transparent PCS</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_parallel_loopback" type="int"> + <ipxact:name>enable_parallel_loopback</ipxact:name> + <ipxact:displayName>Enable parallel loopback</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_upi_pipeline_options" type="int"> + <ipxact:name>enable_upi_pipeline_options</ipxact:name> + <ipxact:displayName>Enable UPI Pipeline Options</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pcs_tx_delay1_ctrl" type="string"> + <ipxact:name>pcs_tx_delay1_ctrl</ipxact:name> + <ipxact:displayName>Delay1 setting</ipxact:displayName> + <ipxact:value>delay1_path0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pcs_tx_delay1_data_sel" type="string"> + <ipxact:name>pcs_tx_delay1_data_sel</ipxact:name> + <ipxact:displayName>Delay1 mode</ipxact:displayName> + <ipxact:value>one_ff_delay</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pcs_tx_delay2_ctrl" type="string"> + <ipxact:name>pcs_tx_delay2_ctrl</ipxact:name> + <ipxact:displayName>Delay2 setting</ipxact:displayName> + <ipxact:value>delay2_path0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="bonded_mode" type="string"> + <ipxact:name>bonded_mode</ipxact:name> + <ipxact:displayName>TX channel bonding mode</ipxact:displayName> + <ipxact:value>not_bonded</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_pcs_bonding_master" type="string"> + <ipxact:name>set_pcs_bonding_master</ipxact:name> + <ipxact:displayName>PCS TX channel bonding master</ipxact:displayName> + <ipxact:value>Auto</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pcs_bonding_master" type="int"> + <ipxact:name>pcs_bonding_master</ipxact:name> + <ipxact:displayName>Actual PCS TX channel bonding master</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="tx_pma_clk_div" type="int"> + <ipxact:name>tx_pma_clk_div</ipxact:name> + <ipxact:displayName>TX local clock division factor</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="plls" type="int"> + <ipxact:name>plls</ipxact:name> + <ipxact:displayName>Number of TX PLL clock inputs per channel</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_select" type="int"> + <ipxact:name>pll_select</ipxact:name> + <ipxact:displayName>Initial TX PLL clock input selection</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_analog_reset_ack" type="int"> + <ipxact:name>enable_port_tx_analog_reset_ack</ipxact:name> + <ipxact:displayName>Enable tx_analog_reset_ack port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_pma_clkout" type="int"> + <ipxact:name>enable_port_tx_pma_clkout</ipxact:name> + <ipxact:displayName>Enable tx_pma_clkout port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_pma_div_clkout" type="int"> + <ipxact:name>enable_port_tx_pma_div_clkout</ipxact:name> + <ipxact:displayName>Enable tx_pma_div_clkout port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="tx_pma_div_clkout_divider" type="string"> + <ipxact:name>tx_pma_div_clkout_divider</ipxact:name> + <ipxact:displayName>tx_pma_div_clkout division factor</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_pma_iqtxrx_clkout" type="int"> + <ipxact:name>enable_port_tx_pma_iqtxrx_clkout</ipxact:name> + <ipxact:displayName>Enable tx_pma_iqtxrx_clkout port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_pma_elecidle" type="int"> + <ipxact:name>enable_port_tx_pma_elecidle</ipxact:name> + <ipxact:displayName>Enable tx_pma_elecidle port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_pma_qpipullup" type="int"> + <ipxact:name>enable_port_tx_pma_qpipullup</ipxact:name> + <ipxact:displayName>Enable tx_pma_qpipullup port (QPI)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_pma_qpipulldn" type="int"> + <ipxact:name>enable_port_tx_pma_qpipulldn</ipxact:name> + <ipxact:displayName>Enable tx_pma_qpipulldn port (QPI)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_pma_txdetectrx" type="int"> + <ipxact:name>enable_port_tx_pma_txdetectrx</ipxact:name> + <ipxact:displayName>Enable tx_pma_txdetectrx port (QPI)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_pma_rxfound" type="int"> + <ipxact:name>enable_port_tx_pma_rxfound</ipxact:name> + <ipxact:displayName>Enable tx_pma_rxfound port (QPI)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_seriallpbken_tx" type="int"> + <ipxact:name>enable_port_rx_seriallpbken_tx</ipxact:name> + <ipxact:displayName>Enable rx_seriallpbken port</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="number_physical_bonding_clocks" type="int"> + <ipxact:name>number_physical_bonding_clocks</ipxact:name> + <ipxact:displayName>Number of physical bonding clock ports to use.</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_refclk_cnt" type="int"> + <ipxact:name>cdr_refclk_cnt</ipxact:name> + <ipxact:displayName>Number of CDR reference clocks</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_refclk_select" type="int"> + <ipxact:name>cdr_refclk_select</ipxact:name> + <ipxact:displayName>Selected CDR reference clock</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_cdr_refclk_freq" type="string"> + <ipxact:name>set_cdr_refclk_freq</ipxact:name> + <ipxact:displayName>Selected CDR reference clock frequency</ipxact:displayName> + <ipxact:value>644.531250</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rx_ppm_detect_threshold" type="string"> + <ipxact:name>rx_ppm_detect_threshold</ipxact:name> + <ipxact:displayName>PPM detector threshold</ipxact:displayName> + <ipxact:value>1000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rx_pma_ctle_adaptation_mode" type="string"> + <ipxact:name>rx_pma_ctle_adaptation_mode</ipxact:name> + <ipxact:displayName>CTLE mode</ipxact:displayName> + <ipxact:value>manual</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rx_pma_dfe_adaptation_mode" type="string"> + <ipxact:name>rx_pma_dfe_adaptation_mode</ipxact:name> + <ipxact:displayName>DFE mode</ipxact:displayName> + <ipxact:value>disabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rx_pma_dfe_fixed_taps" type="int"> + <ipxact:name>rx_pma_dfe_fixed_taps</ipxact:name> + <ipxact:displayName>Number of fixed dfe taps</ipxact:displayName> + <ipxact:value>3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_ports_adaptation" type="int"> + <ipxact:name>enable_ports_adaptation</ipxact:name> + <ipxact:displayName>Enable adaptation control ports</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_analog_reset_ack" type="int"> + <ipxact:name>enable_port_rx_analog_reset_ack</ipxact:name> + <ipxact:displayName>Enable rx_analog_reset_ack port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_pma_clkout" type="int"> + <ipxact:name>enable_port_rx_pma_clkout</ipxact:name> + <ipxact:displayName>Enable rx_pma_clkout port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_pma_div_clkout" type="int"> + <ipxact:name>enable_port_rx_pma_div_clkout</ipxact:name> + <ipxact:displayName>Enable rx_pma_div_clkout port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rx_pma_div_clkout_divider" type="string"> + <ipxact:name>rx_pma_div_clkout_divider</ipxact:name> + <ipxact:displayName>rx_pma_div_clkout division factor</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_pma_iqtxrx_clkout" type="int"> + <ipxact:name>enable_port_rx_pma_iqtxrx_clkout</ipxact:name> + <ipxact:displayName>Enable rx_pma_iqtxrx_clkout port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_pma_clkslip" type="int"> + <ipxact:name>enable_port_rx_pma_clkslip</ipxact:name> + <ipxact:displayName>Enable rx_pma_clkslip port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_pma_qpipulldn" type="int"> + <ipxact:name>enable_port_rx_pma_qpipulldn</ipxact:name> + <ipxact:displayName>Enable rx_pma_qpipulldn port (QPI)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_is_lockedtodata" type="int"> + <ipxact:name>enable_port_rx_is_lockedtodata</ipxact:name> + <ipxact:displayName>Enable rx_is_lockedtodata port</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_is_lockedtoref" type="int"> + <ipxact:name>enable_port_rx_is_lockedtoref</ipxact:name> + <ipxact:displayName>Enable rx_is_lockedtoref port</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_ports_rx_manual_cdr_mode" type="int"> + <ipxact:name>enable_ports_rx_manual_cdr_mode</ipxact:name> + <ipxact:displayName>Enable rx_set_locktodata and rx_set_locktoref ports</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_ports_rx_manual_ppm" type="int"> + <ipxact:name>enable_ports_rx_manual_ppm</ipxact:name> + <ipxact:displayName>Enable rx_fref and rx_clklow ports</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_signaldetect" type="int"> + <ipxact:name>enable_port_rx_signaldetect</ipxact:name> + <ipxact:displayName>Enable rx_signaldetect port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_seriallpbken" type="int"> + <ipxact:name>enable_port_rx_seriallpbken</ipxact:name> + <ipxact:displayName>Enable rx_seriallpbken port</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_ports_rx_prbs" type="int"> + <ipxact:name>enable_ports_rx_prbs</ipxact:name> + <ipxact:displayName>Enable PRBS verifier control and status ports</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_pcs_pma_width" type="int"> + <ipxact:name>std_pcs_pma_width</ipxact:name> + <ipxact:displayName>Standard PCS / PMA interface width</ipxact:displayName> + <ipxact:value>10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="display_std_tx_pld_pcs_width" type="int"> + <ipxact:name>display_std_tx_pld_pcs_width</ipxact:name> + <ipxact:displayName>FPGA fabric / Standard TX PCS interface width</ipxact:displayName> + <ipxact:value>10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="display_std_rx_pld_pcs_width" type="int"> + <ipxact:name>display_std_rx_pld_pcs_width</ipxact:name> + <ipxact:displayName>FPGA fabric / Standard RX PCS interface width</ipxact:displayName> + <ipxact:value>10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_low_latency_bypass_enable" type="int"> + <ipxact:name>std_low_latency_bypass_enable</ipxact:name> + <ipxact:displayName>Enable 'Standard PCS' low latency mode</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_hip" type="int"> + <ipxact:name>enable_hip</ipxact:name> + <ipxact:displayName>Enable PCIe hard IP support</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_skp_ports" type="int"> + <ipxact:name>enable_skp_ports</ipxact:name> + <ipxact:displayName>Enable SKP ports for Gen3</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_hard_reset" type="int"> + <ipxact:name>enable_hard_reset</ipxact:name> + <ipxact:displayName>Enable hard reset controller (HIP)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_hip_cal_en" type="int"> + <ipxact:name>set_hip_cal_en</ipxact:name> + <ipxact:displayName>Enable PCIe hard IP calibration</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hip_cal_en" type="string"> + <ipxact:name>hip_cal_en</ipxact:name> + <ipxact:displayName>hip_cal_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_pcie_data_mask_option" type="int"> + <ipxact:name>enable_pcie_data_mask_option</ipxact:name> + <ipxact:displayName>Enable PCIe data mask count multiplier control</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_data_mask_count_multi" type="int"> + <ipxact:name>std_data_mask_count_multi</ipxact:name> + <ipxact:displayName>PCIe data mask count multiplier</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_tx_pcfifo_mode" type="string"> + <ipxact:name>std_tx_pcfifo_mode</ipxact:name> + <ipxact:displayName>TX FIFO mode</ipxact:displayName> + <ipxact:value>low_latency</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_rx_pcfifo_mode" type="string"> + <ipxact:name>std_rx_pcfifo_mode</ipxact:name> + <ipxact:displayName>RX FIFO mode</ipxact:displayName> + <ipxact:value>low_latency</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_std_pcfifo_full" type="int"> + <ipxact:name>enable_port_tx_std_pcfifo_full</ipxact:name> + <ipxact:displayName>Enable tx_std_pcfifo_full port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_std_pcfifo_empty" type="int"> + <ipxact:name>enable_port_tx_std_pcfifo_empty</ipxact:name> + <ipxact:displayName>Enable tx_std_pcfifo_empty port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_std_pcfifo_full" type="int"> + <ipxact:name>enable_port_rx_std_pcfifo_full</ipxact:name> + <ipxact:displayName>Enable rx_std_pcfifo_full port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_std_pcfifo_empty" type="int"> + <ipxact:name>enable_port_rx_std_pcfifo_empty</ipxact:name> + <ipxact:displayName>Enable rx_std_pcfifo_empty port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_tx_byte_ser_mode" type="string"> + <ipxact:name>std_tx_byte_ser_mode</ipxact:name> + <ipxact:displayName>TX byte serializer mode</ipxact:displayName> + <ipxact:value>Disabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_rx_byte_deser_mode" type="string"> + <ipxact:name>std_rx_byte_deser_mode</ipxact:name> + <ipxact:displayName>RX byte deserializer mode</ipxact:displayName> + <ipxact:value>Disabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_tx_8b10b_enable" type="int"> + <ipxact:name>std_tx_8b10b_enable</ipxact:name> + <ipxact:displayName>Enable TX 8B/10B encoder</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_tx_8b10b_disp_ctrl_enable" type="int"> + <ipxact:name>std_tx_8b10b_disp_ctrl_enable</ipxact:name> + <ipxact:displayName>Enable TX 8B/10B disparity control</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_rx_8b10b_enable" type="int"> + <ipxact:name>std_rx_8b10b_enable</ipxact:name> + <ipxact:displayName>Enable RX 8B/10B decoder</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_rx_rmfifo_mode" type="string"> + <ipxact:name>std_rx_rmfifo_mode</ipxact:name> + <ipxact:displayName>RX rate match FIFO mode</ipxact:displayName> + <ipxact:value>disabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_rx_rmfifo_pattern_n" type="int"> + <ipxact:name>std_rx_rmfifo_pattern_n</ipxact:name> + <ipxact:displayName>RX rate match insert/delete -ve pattern (hex)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_rx_rmfifo_pattern_p" type="int"> + <ipxact:name>std_rx_rmfifo_pattern_p</ipxact:name> + <ipxact:displayName>RX rate match insert/delete +ve pattern (hex)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_std_rmfifo_full" type="int"> + <ipxact:name>enable_port_rx_std_rmfifo_full</ipxact:name> + <ipxact:displayName>Enable rx_std_rmfifo_full port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_std_rmfifo_empty" type="int"> + <ipxact:name>enable_port_rx_std_rmfifo_empty</ipxact:name> + <ipxact:displayName>Enable rx_std_rmfifo_empty port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pcie_rate_match" type="string"> + <ipxact:name>pcie_rate_match</ipxact:name> + <ipxact:displayName>PCI Express Gen 3 rate match FIFO mode</ipxact:displayName> + <ipxact:value>Bypass</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_tx_bitslip_enable" type="int"> + <ipxact:name>std_tx_bitslip_enable</ipxact:name> + <ipxact:displayName>Enable TX bitslip</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_std_bitslipboundarysel" type="int"> + <ipxact:name>enable_port_tx_std_bitslipboundarysel</ipxact:name> + <ipxact:displayName>Enable tx_std_bitslipboundarysel port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_rx_word_aligner_mode" type="string"> + <ipxact:name>std_rx_word_aligner_mode</ipxact:name> + <ipxact:displayName>RX word aligner mode</ipxact:displayName> + <ipxact:value>bitslip</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_rx_word_aligner_pattern_len" type="int"> + <ipxact:name>std_rx_word_aligner_pattern_len</ipxact:name> + <ipxact:displayName>RX word aligner pattern length</ipxact:displayName> + <ipxact:value>7</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_rx_word_aligner_pattern" type="longint"> + <ipxact:name>std_rx_word_aligner_pattern</ipxact:name> + <ipxact:displayName>RX word aligner pattern (hex)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_rx_word_aligner_rknumber" type="int"> + <ipxact:name>std_rx_word_aligner_rknumber</ipxact:name> + <ipxact:displayName>Number of word alignment patterns to achieve sync</ipxact:displayName> + <ipxact:value>3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_rx_word_aligner_renumber" type="int"> + <ipxact:name>std_rx_word_aligner_renumber</ipxact:name> + <ipxact:displayName>Number of invalid data words to lose sync</ipxact:displayName> + <ipxact:value>3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_rx_word_aligner_rgnumber" type="int"> + <ipxact:name>std_rx_word_aligner_rgnumber</ipxact:name> + <ipxact:displayName>Number of valid data words to decrement error count</ipxact:displayName> + <ipxact:value>3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_rx_word_aligner_rvnumber" type="int"> + <ipxact:name>std_rx_word_aligner_rvnumber</ipxact:name> + <ipxact:displayName>Number of valid data patterns required to achieve word alignment</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_rx_word_aligner_fast_sync_status_enable" type="int"> + <ipxact:name>std_rx_word_aligner_fast_sync_status_enable</ipxact:name> + <ipxact:displayName>Enable fast sync status reporting for deterministic latency SM</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_std_wa_patternalign" type="int"> + <ipxact:name>enable_port_rx_std_wa_patternalign</ipxact:name> + <ipxact:displayName>Enable rx_std_wa_patternalign port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_std_wa_a1a2size" type="int"> + <ipxact:name>enable_port_rx_std_wa_a1a2size</ipxact:name> + <ipxact:displayName>Enable rx_std_wa_a1a2size port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_std_bitslipboundarysel" type="int"> + <ipxact:name>enable_port_rx_std_bitslipboundarysel</ipxact:name> + <ipxact:displayName>Enable rx_std_bitslipboundarysel port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_std_bitslip" type="int"> + <ipxact:name>enable_port_rx_std_bitslip</ipxact:name> + <ipxact:displayName>Enable rx_bitslip port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_tx_bitrev_enable" type="int"> + <ipxact:name>std_tx_bitrev_enable</ipxact:name> + <ipxact:displayName>Enable TX bit reversal</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_tx_byterev_enable" type="int"> + <ipxact:name>std_tx_byterev_enable</ipxact:name> + <ipxact:displayName>Enable TX byte reversal</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_tx_polinv_enable" type="int"> + <ipxact:name>std_tx_polinv_enable</ipxact:name> + <ipxact:displayName>Enable TX polarity inversion</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_polinv" type="int"> + <ipxact:name>enable_port_tx_polinv</ipxact:name> + <ipxact:displayName>Enable tx_polinv port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_rx_bitrev_enable" type="int"> + <ipxact:name>std_rx_bitrev_enable</ipxact:name> + <ipxact:displayName>Enable RX bit reversal</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_std_bitrev_ena" type="int"> + <ipxact:name>enable_port_rx_std_bitrev_ena</ipxact:name> + <ipxact:displayName>Enable rx_std_bitrev_ena port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_rx_byterev_enable" type="int"> + <ipxact:name>std_rx_byterev_enable</ipxact:name> + <ipxact:displayName>Enable RX byte reversal</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_std_byterev_ena" type="int"> + <ipxact:name>enable_port_rx_std_byterev_ena</ipxact:name> + <ipxact:displayName>Enable rx_std_byterev_ena port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_rx_polinv_enable" type="int"> + <ipxact:name>std_rx_polinv_enable</ipxact:name> + <ipxact:displayName>Enable RX polarity inversion</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_polinv" type="int"> + <ipxact:name>enable_port_rx_polinv</ipxact:name> + <ipxact:displayName>Enable rx_polinv port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_std_signaldetect" type="int"> + <ipxact:name>enable_port_rx_std_signaldetect</ipxact:name> + <ipxact:displayName>Enable rx_std_signaldetect port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_ports_pipe_sw" type="int"> + <ipxact:name>enable_ports_pipe_sw</ipxact:name> + <ipxact:displayName>Enable PCIe dynamic datarate switch ports</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_ports_pipe_hclk" type="int"> + <ipxact:name>enable_ports_pipe_hclk</ipxact:name> + <ipxact:displayName>Enable PCIe pipe_hclk_in and pipe_hclk_out ports</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_ports_pipe_g3_analog" type="int"> + <ipxact:name>enable_ports_pipe_g3_analog</ipxact:name> + <ipxact:displayName>Enable PCIe Gen 3 analog control ports</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_ports_pipe_rx_elecidle" type="int"> + <ipxact:name>enable_ports_pipe_rx_elecidle</ipxact:name> + <ipxact:displayName>Enable PCIe electrical idle control and status ports</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_pipe_rx_polarity" type="int"> + <ipxact:name>enable_port_pipe_rx_polarity</ipxact:name> + <ipxact:displayName>Enable PCIe pipe_rx_polarity port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_pcs_pma_width" type="int"> + <ipxact:name>enh_pcs_pma_width</ipxact:name> + <ipxact:displayName>Enhanced PCS / PMA interface width</ipxact:displayName> + <ipxact:value>32</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_pld_pcs_width" type="int"> + <ipxact:name>enh_pld_pcs_width</ipxact:name> + <ipxact:displayName>FPGA fabric / Enhanced PCS interface width</ipxact:displayName> + <ipxact:value>66</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_low_latency_enable" type="int"> + <ipxact:name>enh_low_latency_enable</ipxact:name> + <ipxact:displayName>Enable 'Enhanced PCS' low latency mode</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_rxtxfifo_double_width" type="int"> + <ipxact:name>enh_rxtxfifo_double_width</ipxact:name> + <ipxact:displayName>Enable RX/TX FIFO double width mode</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_txfifo_mode" type="string"> + <ipxact:name>enh_txfifo_mode</ipxact:name> + <ipxact:displayName>TX FIFO mode</ipxact:displayName> + <ipxact:value>Phase compensation</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_txfifo_pfull" type="int"> + <ipxact:name>enh_txfifo_pfull</ipxact:name> + <ipxact:displayName>TX FIFO partially full threshold</ipxact:displayName> + <ipxact:value>11</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_txfifo_pempty" type="int"> + <ipxact:name>enh_txfifo_pempty</ipxact:name> + <ipxact:displayName>TX FIFO partially empty threshold</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_enh_fifo_full" type="int"> + <ipxact:name>enable_port_tx_enh_fifo_full</ipxact:name> + <ipxact:displayName>Enable tx_enh_fifo_full port</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_enh_fifo_pfull" type="int"> + <ipxact:name>enable_port_tx_enh_fifo_pfull</ipxact:name> + <ipxact:displayName>Enable tx_enh_fifo_pfull port</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_enh_fifo_empty" type="int"> + <ipxact:name>enable_port_tx_enh_fifo_empty</ipxact:name> + <ipxact:displayName>Enable tx_enh_fifo_empty port</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_enh_fifo_pempty" type="int"> + <ipxact:name>enable_port_tx_enh_fifo_pempty</ipxact:name> + <ipxact:displayName>Enable tx_enh_fifo_pempty port</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_enh_fifo_cnt" type="int"> + <ipxact:name>enable_port_tx_enh_fifo_cnt</ipxact:name> + <ipxact:displayName>Enable tx_enh_fifo_cnt port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_rxfifo_mode" type="string"> + <ipxact:name>enh_rxfifo_mode</ipxact:name> + <ipxact:displayName>RX FIFO mode</ipxact:displayName> + <ipxact:value>10GBase-R</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_rxfifo_pfull" type="int"> + <ipxact:name>enh_rxfifo_pfull</ipxact:name> + <ipxact:displayName>RX FIFO partially full threshold</ipxact:displayName> + <ipxact:value>23</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_rxfifo_pempty" type="int"> + <ipxact:name>enh_rxfifo_pempty</ipxact:name> + <ipxact:displayName>RX FIFO partially empty threshold</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_rxfifo_align_del" type="int"> + <ipxact:name>enh_rxfifo_align_del</ipxact:name> + <ipxact:displayName>Enable RX FIFO alignment word deletion (Interlaken)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_rxfifo_control_del" type="int"> + <ipxact:name>enh_rxfifo_control_del</ipxact:name> + <ipxact:displayName>Enable RX FIFO control word deletion (Interlaken)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_data_valid" type="int"> + <ipxact:name>enable_port_rx_enh_data_valid</ipxact:name> + <ipxact:displayName>Enable rx_enh_data_valid port</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_fifo_full" type="int"> + <ipxact:name>enable_port_rx_enh_fifo_full</ipxact:name> + <ipxact:displayName>Enable rx_enh_fifo_full port</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_fifo_pfull" type="int"> + <ipxact:name>enable_port_rx_enh_fifo_pfull</ipxact:name> + <ipxact:displayName>Enable rx_enh_fifo_pfull port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_fifo_empty" type="int"> + <ipxact:name>enable_port_rx_enh_fifo_empty</ipxact:name> + <ipxact:displayName>Enable rx_enh_fifo_empty port</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_fifo_pempty" type="int"> + <ipxact:name>enable_port_rx_enh_fifo_pempty</ipxact:name> + <ipxact:displayName>Enable rx_enh_fifo_pempty port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_fifo_cnt" type="int"> + <ipxact:name>enable_port_rx_enh_fifo_cnt</ipxact:name> + <ipxact:displayName>Enable rx_enh_fifo_cnt port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_fifo_del" type="int"> + <ipxact:name>enable_port_rx_enh_fifo_del</ipxact:name> + <ipxact:displayName>Enable rx_enh_fifo_del port (10GBASE-R)</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_fifo_insert" type="int"> + <ipxact:name>enable_port_rx_enh_fifo_insert</ipxact:name> + <ipxact:displayName>Enable rx_enh_fifo_insert port (10GBASE-R)</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_fifo_rd_en" type="int"> + <ipxact:name>enable_port_rx_enh_fifo_rd_en</ipxact:name> + <ipxact:displayName>Enable rx_enh_fifo_rd_en port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_fifo_align_val" type="int"> + <ipxact:name>enable_port_rx_enh_fifo_align_val</ipxact:name> + <ipxact:displayName>Enable rx_enh_fifo_align_val port (Interlaken)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_fifo_align_clr" type="int"> + <ipxact:name>enable_port_rx_enh_fifo_align_clr</ipxact:name> + <ipxact:displayName>Enable rx_enh_fifo_align_clr port (Interlaken)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_tx_frmgen_enable" type="int"> + <ipxact:name>enh_tx_frmgen_enable</ipxact:name> + <ipxact:displayName>Enable Interlaken frame generator</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_tx_frmgen_mfrm_length" type="int"> + <ipxact:name>enh_tx_frmgen_mfrm_length</ipxact:name> + <ipxact:displayName>Frame generator metaframe length</ipxact:displayName> + <ipxact:value>2048</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_tx_frmgen_burst_enable" type="int"> + <ipxact:name>enh_tx_frmgen_burst_enable</ipxact:name> + <ipxact:displayName>Enable frame generator burst control</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_enh_frame" type="int"> + <ipxact:name>enable_port_tx_enh_frame</ipxact:name> + <ipxact:displayName>Enable tx_enh_frame port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_enh_frame_diag_status" type="int"> + <ipxact:name>enable_port_tx_enh_frame_diag_status</ipxact:name> + <ipxact:displayName>Enable tx_enh_frame_diag_status port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_enh_frame_burst_en" type="int"> + <ipxact:name>enable_port_tx_enh_frame_burst_en</ipxact:name> + <ipxact:displayName>Enable tx_enh_frame_burst_en port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_rx_frmsync_enable" type="int"> + <ipxact:name>enh_rx_frmsync_enable</ipxact:name> + <ipxact:displayName>Enable Interlaken frame synchronizer</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_rx_frmsync_mfrm_length" type="int"> + <ipxact:name>enh_rx_frmsync_mfrm_length</ipxact:name> + <ipxact:displayName>Frame synchronizer metaframe length</ipxact:displayName> + <ipxact:value>2048</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_frame" type="int"> + <ipxact:name>enable_port_rx_enh_frame</ipxact:name> + <ipxact:displayName>Enable rx_enh_frame port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_frame_lock" type="int"> + <ipxact:name>enable_port_rx_enh_frame_lock</ipxact:name> + <ipxact:displayName>Enable rx_enh_frame_lock port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_frame_diag_status" type="int"> + <ipxact:name>enable_port_rx_enh_frame_diag_status</ipxact:name> + <ipxact:displayName>Enable rx_enh_frame_diag_status port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_tx_crcgen_enable" type="int"> + <ipxact:name>enh_tx_crcgen_enable</ipxact:name> + <ipxact:displayName>Enable Interlaken TX CRC-32 generator</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_tx_crcerr_enable" type="int"> + <ipxact:name>enh_tx_crcerr_enable</ipxact:name> + <ipxact:displayName>Enable Interlaken TX CRC-32 generator error insertion</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_rx_crcchk_enable" type="int"> + <ipxact:name>enh_rx_crcchk_enable</ipxact:name> + <ipxact:displayName>Enable Interlaken RX CRC-32 checker</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_crc32_err" type="int"> + <ipxact:name>enable_port_rx_enh_crc32_err</ipxact:name> + <ipxact:displayName>Enable rx_enh_crc32_err port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_highber" type="int"> + <ipxact:name>enable_port_rx_enh_highber</ipxact:name> + <ipxact:displayName>Enable rx_enh_highber port (10GBASE-R)</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_highber_clr_cnt" type="int"> + <ipxact:name>enable_port_rx_enh_highber_clr_cnt</ipxact:name> + <ipxact:displayName>Enable rx_enh_highber_clr_cnt port (10GBASE-R)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_clr_errblk_count" type="int"> + <ipxact:name>enable_port_rx_enh_clr_errblk_count</ipxact:name> + <ipxact:displayName>Enable rx_enh_clr_errblk_count port (10GBASE-R & FEC)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_clr_errblk_count_c10" type="int"> + <ipxact:name>enable_port_rx_enh_clr_errblk_count_c10</ipxact:name> + <ipxact:displayName>Enable rx_enh_clr_errblk_count port (10GBASE-R)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_tx_64b66b_enable" type="int"> + <ipxact:name>enh_tx_64b66b_enable</ipxact:name> + <ipxact:displayName>Enable TX 64b/66b encoder</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_rx_64b66b_enable" type="int"> + <ipxact:name>enh_rx_64b66b_enable</ipxact:name> + <ipxact:displayName>Enable RX 64b/66b decoder</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_tx_sh_err" type="int"> + <ipxact:name>enh_tx_sh_err</ipxact:name> + <ipxact:displayName>Enable TX sync header error insertion</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_tx_scram_enable" type="int"> + <ipxact:name>enh_tx_scram_enable</ipxact:name> + <ipxact:displayName>Enable TX scrambler (10GBASE-R/Interlaken)</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_tx_scram_seed" type="longint"> + <ipxact:name>enh_tx_scram_seed</ipxact:name> + <ipxact:displayName>TX scrambler seed (10GBASE-R/Interlaken)</ipxact:displayName> + <ipxact:value>288230376151711743</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_rx_descram_enable" type="int"> + <ipxact:name>enh_rx_descram_enable</ipxact:name> + <ipxact:displayName>Enable RX descrambler (10GBASE-R/Interlaken)</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_tx_dispgen_enable" type="int"> + <ipxact:name>enh_tx_dispgen_enable</ipxact:name> + <ipxact:displayName>Enable Interlaken TX disparity generator</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_rx_dispchk_enable" type="int"> + <ipxact:name>enh_rx_dispchk_enable</ipxact:name> + <ipxact:displayName>Enable Interlaken RX disparity checker</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_tx_randomdispbit_enable" type="int"> + <ipxact:name>enh_tx_randomdispbit_enable</ipxact:name> + <ipxact:displayName>Enable Interlaken TX random disparity bit</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_rx_blksync_enable" type="int"> + <ipxact:name>enh_rx_blksync_enable</ipxact:name> + <ipxact:displayName>Enable RX block synchronizer</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_blk_lock" type="int"> + <ipxact:name>enable_port_rx_enh_blk_lock</ipxact:name> + <ipxact:displayName>Enable rx_enh_blk_lock port</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_tx_bitslip_enable" type="int"> + <ipxact:name>enh_tx_bitslip_enable</ipxact:name> + <ipxact:displayName>Enable TX data bitslip</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_tx_polinv_enable" type="int"> + <ipxact:name>enh_tx_polinv_enable</ipxact:name> + <ipxact:displayName>Enable TX data polarity inversion</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_rx_bitslip_enable" type="int"> + <ipxact:name>enh_rx_bitslip_enable</ipxact:name> + <ipxact:displayName>Enable RX data bitslip</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_rx_polinv_enable" type="int"> + <ipxact:name>enh_rx_polinv_enable</ipxact:name> + <ipxact:displayName>Enable RX data polarity inversion</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_enh_bitslip" type="int"> + <ipxact:name>enable_port_tx_enh_bitslip</ipxact:name> + <ipxact:displayName>Enable tx_enh_bitslip port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_bitslip" type="int"> + <ipxact:name>enable_port_rx_enh_bitslip</ipxact:name> + <ipxact:displayName>Enable rx_bitslip port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_rx_krfec_err_mark_enable" type="int"> + <ipxact:name>enh_rx_krfec_err_mark_enable</ipxact:name> + <ipxact:displayName>Enable RX KR-FEC error marking</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_rx_krfec_err_mark_type" type="string"> + <ipxact:name>enh_rx_krfec_err_mark_type</ipxact:name> + <ipxact:displayName>Error marking type</ipxact:displayName> + <ipxact:value>10G</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_tx_krfec_burst_err_enable" type="int"> + <ipxact:name>enh_tx_krfec_burst_err_enable</ipxact:name> + <ipxact:displayName>Enable KR-FEC TX error insertion</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_tx_krfec_burst_err_len" type="int"> + <ipxact:name>enh_tx_krfec_burst_err_len</ipxact:name> + <ipxact:displayName>KR-FEC TX error insertion spacing</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_krfec_tx_enh_frame" type="int"> + <ipxact:name>enable_port_krfec_tx_enh_frame</ipxact:name> + <ipxact:displayName>Enable tx_enh_frame port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_krfec_rx_enh_frame" type="int"> + <ipxact:name>enable_port_krfec_rx_enh_frame</ipxact:name> + <ipxact:displayName>Enable rx_enh_frame port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_krfec_rx_enh_frame_diag_status" type="int"> + <ipxact:name>enable_port_krfec_rx_enh_frame_diag_status</ipxact:name> + <ipxact:displayName>Enable rx_enh_frame_diag_status port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pcs_direct_width" type="int"> + <ipxact:name>pcs_direct_width</ipxact:name> + <ipxact:displayName>PCS Direct interface width</ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="generate_docs" type="int"> + <ipxact:name>generate_docs</ipxact:name> + <ipxact:displayName>Generate parameter documentation file</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="generate_add_hdl_instance_example" type="int"> + <ipxact:name>generate_add_hdl_instance_example</ipxact:name> + <ipxact:displayName>Generate '_hw.tcl' 'add_hdl_instance' example file</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="validation_rule_select" type="string"> + <ipxact:name>validation_rule_select</ipxact:name> + <ipxact:displayName>View validation rule for parameter</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_advanced_options" type="int"> + <ipxact:name>enable_advanced_options</ipxact:name> + <ipxact:displayName>enable_advanced_options</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_physical_bonding_clocks" type="int"> + <ipxact:name>enable_physical_bonding_clocks</ipxact:name> + <ipxact:displayName>enable_physical_bonding_clocks</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_debug_options" type="int"> + <ipxact:name>enable_debug_options</ipxact:name> + <ipxact:displayName>enable_debug_options</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_advanced_avmm_options" type="int"> + <ipxact:name>enable_advanced_avmm_options</ipxact:name> + <ipxact:displayName>enable_advanced_avmm_options</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_odi_accelerator" type="int"> + <ipxact:name>enable_odi_accelerator</ipxact:name> + <ipxact:displayName>enable_odi_accelerator</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_advanced_upi_options" type="int"> + <ipxact:name>enable_advanced_upi_options</ipxact:name> + <ipxact:displayName>enable_advanced_upi_options</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_channels" type="int"> + <ipxact:name>l_channels</ipxact:name> + <ipxact:displayName>l_channels</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="tx_enable" type="int"> + <ipxact:name>tx_enable</ipxact:name> + <ipxact:displayName>tx_enable</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="datapath_select" type="string"> + <ipxact:name>datapath_select</ipxact:name> + <ipxact:displayName>datapath_select</ipxact:displayName> + <ipxact:value>Enhanced</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rx_enable" type="int"> + <ipxact:name>rx_enable</ipxact:name> + <ipxact:displayName>rx_enable</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_split_iface" type="int"> + <ipxact:name>l_split_iface</ipxact:name> + <ipxact:displayName>l_split_iface</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_pcs_pma_width" type="int"> + <ipxact:name>l_pcs_pma_width</ipxact:name> + <ipxact:displayName>l_pcs_pma_width</ipxact:displayName> + <ipxact:value>32</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_tx_pld_pcs_width" type="int"> + <ipxact:name>l_tx_pld_pcs_width</ipxact:name> + <ipxact:displayName>l_tx_pld_pcs_width</ipxact:displayName> + <ipxact:value>66</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_rx_pld_pcs_width" type="int"> + <ipxact:name>l_rx_pld_pcs_width</ipxact:name> + <ipxact:displayName>l_rx_pld_pcs_width</ipxact:displayName> + <ipxact:value>66</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_pll_settings" type="string"> + <ipxact:name>l_pll_settings</ipxact:name> + <ipxact:displayName>l_pll_settings</ipxact:displayName> + <ipxact:value>343.750000 {refclk 343.750000 m 15 n 1 lpfd 1 lpd 1 fvco 5156.25} 687.500000 {refclk 687.500000 m 15 n 2 lpfd 1 lpd 1 fvco 5156.25} 322.265625 {refclk 322.265625 m 16 n 1 lpfd 1 lpd 1 fvco 5156.25} 644.531250 {refclk 644.531250 m 16 n 2 lpfd 1 lpd 1 fvco 5156.25} 303.308824 {refclk 303.308824 m 17 n 1 lpfd 1 lpd 1 fvco 5156.25} 606.617647 {refclk 606.617647 m 17 n 2 lpfd 1 lpd 1 fvco 5156.25} 286.458333 {refclk 286.458333 m 18 n 1 lpfd 1 lpd 1 fvco 5156.25} 572.916667 {refclk 572.916667 m 18 n 2 lpfd 1 lpd 1 fvco 5156.25} 271.381579 {refclk 271.381579 m 19 n 1 lpfd 1 lpd 1 fvco 5156.25} 542.763158 {refclk 542.763158 m 19 n 2 lpfd 1 lpd 1 fvco 5156.25} 257.812500 {refclk 257.812500 m 20 n 1 lpfd 1 lpd 1 fvco 5156.25} 515.625000 {refclk 515.625000 m 20 n 2 lpfd 1 lpd 1 fvco 5156.25} 245.535714 {refclk 245.535714 m 21 n 1 lpfd 1 lpd 1 fvco 5156.25} 491.071429 {refclk 491.071429 m 21 n 2 lpfd 1 lpd 1 fvco 5156.25} 234.375000 {refclk 234.375000 m 22 n 1 lpfd 1 lpd 1 fvco 5156.25} 468.750000 {refclk 468.750000 m 22 n 2 lpfd 1 lpd 1 fvco 5156.25} 224.184783 {refclk 224.184783 m 23 n 1 lpfd 1 lpd 1 fvco 5156.25} 448.369565 {refclk 448.369565 m 23 n 2 lpfd 1 lpd 1 fvco 5156.25} 214.843750 {refclk 214.843750 m 24 n 1 lpfd 1 lpd 1 fvco 5156.25} 429.687500 {refclk 429.687500 m 24 n 2 lpfd 1 lpd 1 fvco 5156.25} 206.250000 {refclk 206.250000 m 25 n 1 lpfd 1 lpd 1 fvco 5156.25} 412.500000 {refclk 412.500000 m 25 n 2 lpfd 1 lpd 1 fvco 5156.25} 198.317308 {refclk 198.317308 m 26 n 1 lpfd 1 lpd 1 fvco 5156.25} 396.634615 {refclk 396.634615 m 26 n 2 lpfd 1 lpd 1 fvco 5156.25} 793.269231 {refclk 793.269231 m 26 n 4 lpfd 1 lpd 1 fvco 5156.25} 190.972222 {refclk 190.972222 m 27 n 1 lpfd 1 lpd 1 fvco 5156.25} 381.944444 {refclk 381.944444 m 27 n 2 lpfd 1 lpd 1 fvco 5156.25} 763.888889 {refclk 763.888889 m 27 n 4 lpfd 1 lpd 1 fvco 5156.25} 184.151786 {refclk 184.151786 m 28 n 1 lpfd 1 lpd 1 fvco 5156.25} 368.303571 {refclk 368.303571 m 28 n 2 lpfd 1 lpd 1 fvco 5156.25} 736.607143 {refclk 736.607143 m 28 n 4 lpfd 1 lpd 1 fvco 5156.25} 177.801724 {refclk 177.801724 m 29 n 1 lpfd 1 lpd 1 fvco 5156.25} 355.603448 {refclk 355.603448 m 29 n 2 lpfd 1 lpd 1 fvco 5156.25} 711.206897 {refclk 711.206897 m 29 n 4 lpfd 1 lpd 1 fvco 5156.25} 171.875000 {refclk 171.875000 m 30 n 1 lpfd 1 lpd 1 fvco 5156.25} 166.330645 {refclk 166.330645 m 31 n 1 lpfd 1 lpd 1 fvco 5156.25} 332.661290 {refclk 332.661290 m 31 n 2 lpfd 1 lpd 1 fvco 5156.25} 665.322581 {refclk 665.322581 m 31 n 4 lpfd 1 lpd 1 fvco 5156.25} 161.132812 {refclk 161.132812 m 32 n 1 lpfd 1 lpd 1 fvco 5156.25} 156.250000 {refclk 156.250000 m 33 n 1 lpfd 1 lpd 1 fvco 5156.25} 312.500000 {refclk 312.500000 m 33 n 2 lpfd 1 lpd 1 fvco 5156.25} 625.000000 {refclk 625.000000 m 33 n 4 lpfd 1 lpd 1 fvco 5156.25} 151.654412 {refclk 151.654412 m 34 n 1 lpfd 1 lpd 1 fvco 5156.25} 147.321429 {refclk 147.321429 m 35 n 1 lpfd 1 lpd 1 fvco 5156.25} 294.642857 {refclk 294.642857 m 35 n 2 lpfd 1 lpd 1 fvco 5156.25} 589.285714 {refclk 589.285714 m 35 n 4 lpfd 1 lpd 1 fvco 5156.25} 143.229167 {refclk 143.229167 m 36 n 1 lpfd 1 lpd 1 fvco 5156.25} 139.358108 {refclk 139.358108 m 37 n 1 lpfd 1 lpd 1 fvco 5156.25} 278.716216 {refclk 278.716216 m 37 n 2 lpfd 1 lpd 1 fvco 5156.25} 557.432432 {refclk 557.432432 m 37 n 4 lpfd 1 lpd 1 fvco 5156.25} 135.690789 {refclk 135.690789 m 38 n 1 lpfd 1 lpd 1 fvco 5156.25} 132.211538 {refclk 132.211538 m 39 n 1 lpfd 1 lpd 1 fvco 5156.25} 264.423077 {refclk 264.423077 m 39 n 2 lpfd 1 lpd 1 fvco 5156.25} 528.846154 {refclk 528.846154 m 39 n 4 lpfd 1 lpd 1 fvco 5156.25} 128.906250 {refclk 128.906250 m 40 n 1 lpfd 1 lpd 1 fvco 5156.25} 125.762195 {refclk 125.762195 m 41 n 1 lpfd 1 lpd 1 fvco 5156.25} 251.524390 {refclk 251.524390 m 41 n 2 lpfd 1 lpd 1 fvco 5156.25} 503.048780 {refclk 503.048780 m 41 n 4 lpfd 1 lpd 1 fvco 5156.25} 122.767857 {refclk 122.767857 m 42 n 1 lpfd 1 lpd 1 fvco 5156.25} 119.912791 {refclk 119.912791 m 43 n 1 lpfd 1 lpd 1 fvco 5156.25} 239.825581 {refclk 239.825581 m 43 n 2 lpfd 1 lpd 1 fvco 5156.25} 479.651163 {refclk 479.651163 m 43 n 4 lpfd 1 lpd 1 fvco 5156.25} 117.187500 {refclk 117.187500 m 44 n 1 lpfd 1 lpd 1 fvco 5156.25} 114.583333 {refclk 114.583333 m 45 n 1 lpfd 1 lpd 1 fvco 5156.25} 229.166667 {refclk 229.166667 m 45 n 2 lpfd 1 lpd 1 fvco 5156.25} 458.333333 {refclk 458.333333 m 45 n 4 lpfd 1 lpd 1 fvco 5156.25} 112.092391 {refclk 112.092391 m 46 n 1 lpfd 1 lpd 1 fvco 5156.25} 109.707447 {refclk 109.707447 m 47 n 1 lpfd 1 lpd 1 fvco 5156.25} 219.414894 {refclk 219.414894 m 47 n 2 lpfd 1 lpd 1 fvco 5156.25} 438.829787 {refclk 438.829787 m 47 n 4 lpfd 1 lpd 1 fvco 5156.25} 107.421875 {refclk 107.421875 m 48 n 1 lpfd 1 lpd 1 fvco 5156.25} 105.229592 {refclk 105.229592 m 49 n 1 lpfd 1 lpd 1 fvco 5156.25} 210.459184 {refclk 210.459184 m 49 n 2 lpfd 1 lpd 1 fvco 5156.25} 420.918367 {refclk 420.918367 m 49 n 4 lpfd 1 lpd 1 fvco 5156.25} 103.125000 {refclk 103.125000 m 50 n 1 lpfd 1 lpd 1 fvco 5156.25} 101.102941 {refclk 101.102941 m 51 n 1 lpfd 1 lpd 1 fvco 5156.25} 202.205882 {refclk 202.205882 m 51 n 2 lpfd 1 lpd 1 fvco 5156.25} 404.411765 {refclk 404.411765 m 51 n 4 lpfd 1 lpd 1 fvco 5156.25} 99.158654 {refclk 99.158654 m 52 n 1 lpfd 1 lpd 1 fvco 5156.25} 97.287736 {refclk 97.287736 m 53 n 1 lpfd 1 lpd 1 fvco 5156.25} 194.575472 {refclk 194.575472 m 53 n 2 lpfd 1 lpd 1 fvco 5156.25} 389.150943 {refclk 389.150943 m 53 n 4 lpfd 1 lpd 1 fvco 5156.25} 778.301887 {refclk 778.301887 m 53 n 8 lpfd 1 lpd 1 fvco 5156.25} 95.486111 {refclk 95.486111 m 54 n 1 lpfd 1 lpd 1 fvco 5156.25} 93.750000 {refclk 93.750000 m 55 n 1 lpfd 1 lpd 1 fvco 5156.25} 187.500000 {refclk 187.500000 m 55 n 2 lpfd 1 lpd 1 fvco 5156.25} 375.000000 {refclk 375.000000 m 55 n 4 lpfd 1 lpd 1 fvco 5156.25} 750.000000 {refclk 750.000000 m 55 n 8 lpfd 1 lpd 1 fvco 5156.25} 92.075893 {refclk 92.075893 m 56 n 1 lpfd 1 lpd 1 fvco 5156.25} 90.460526 {refclk 90.460526 m 57 n 1 lpfd 1 lpd 1 fvco 5156.25} 180.921053 {refclk 180.921053 m 57 n 2 lpfd 1 lpd 1 fvco 5156.25} 361.842105 {refclk 361.842105 m 57 n 4 lpfd 1 lpd 1 fvco 5156.25} 723.684211 {refclk 723.684211 m 57 n 8 lpfd 1 lpd 1 fvco 5156.25} 88.900862 {refclk 88.900862 m 58 n 1 lpfd 1 lpd 1 fvco 5156.25} 87.394068 {refclk 87.394068 m 59 n 1 lpfd 1 lpd 1 fvco 5156.25} 174.788136 {refclk 174.788136 m 59 n 2 lpfd 1 lpd 1 fvco 5156.25} 349.576271 {refclk 349.576271 m 59 n 4 lpfd 1 lpd 1 fvco 5156.25} 699.152542 {refclk 699.152542 m 59 n 8 lpfd 1 lpd 1 fvco 5156.25} 85.937500 {refclk 85.937500 m 60 n 1 lpfd 1 lpd 1 fvco 5156.25} 84.528689 {refclk 84.528689 m 61 n 1 lpfd 1 lpd 1 fvco 5156.25} 169.057377 {refclk 169.057377 m 61 n 2 lpfd 1 lpd 1 fvco 5156.25} 338.114754 {refclk 338.114754 m 61 n 4 lpfd 1 lpd 1 fvco 5156.25} 676.229508 {refclk 676.229508 m 61 n 8 lpfd 1 lpd 1 fvco 5156.25} 83.165323 {refclk 83.165323 m 62 n 1 lpfd 1 lpd 1 fvco 5156.25} 81.845238 {refclk 81.845238 m 63 n 1 lpfd 1 lpd 1 fvco 5156.25} 163.690476 {refclk 163.690476 m 63 n 2 lpfd 1 lpd 1 fvco 5156.25} 327.380952 {refclk 327.380952 m 63 n 4 lpfd 1 lpd 1 fvco 5156.25} 654.761905 {refclk 654.761905 m 63 n 8 lpfd 1 lpd 1 fvco 5156.25} 80.566406 {refclk 80.566406 m 64 n 1 lpfd 1 lpd 1 fvco 5156.25} 79.326923 {refclk 79.326923 m 65 n 1 lpfd 1 lpd 1 fvco 5156.25} 158.653846 {refclk 158.653846 m 65 n 2 lpfd 1 lpd 1 fvco 5156.25} 317.307692 {refclk 317.307692 m 65 n 4 lpfd 1 lpd 1 fvco 5156.25} 634.615385 {refclk 634.615385 m 65 n 8 lpfd 1 lpd 1 fvco 5156.25} 78.125000 {refclk 78.125000 m 66 n 1 lpfd 1 lpd 1 fvco 5156.25} 76.958955 {refclk 76.958955 m 67 n 1 lpfd 1 lpd 1 fvco 5156.25} 153.917910 {refclk 153.917910 m 67 n 2 lpfd 1 lpd 1 fvco 5156.25} 307.835821 {refclk 307.835821 m 67 n 4 lpfd 1 lpd 1 fvco 5156.25} 615.671642 {refclk 615.671642 m 67 n 8 lpfd 1 lpd 1 fvco 5156.25} 75.827206 {refclk 75.827206 m 68 n 1 lpfd 1 lpd 1 fvco 5156.25} 74.728261 {refclk 74.728261 m 69 n 1 lpfd 1 lpd 1 fvco 5156.25} 149.456522 {refclk 149.456522 m 69 n 2 lpfd 1 lpd 1 fvco 5156.25} 298.913043 {refclk 298.913043 m 69 n 4 lpfd 1 lpd 1 fvco 5156.25} 597.826087 {refclk 597.826087 m 69 n 8 lpfd 1 lpd 1 fvco 5156.25} 73.660714 {refclk 73.660714 m 70 n 1 lpfd 1 lpd 1 fvco 5156.25} 72.623239 {refclk 72.623239 m 71 n 1 lpfd 1 lpd 1 fvco 5156.25} 145.246479 {refclk 145.246479 m 71 n 2 lpfd 1 lpd 1 fvco 5156.25} 290.492958 {refclk 290.492958 m 71 n 4 lpfd 1 lpd 1 fvco 5156.25} 580.985915 {refclk 580.985915 m 71 n 8 lpfd 1 lpd 1 fvco 5156.25} 71.614583 {refclk 71.614583 m 72 n 1 lpfd 1 lpd 1 fvco 5156.25} 70.633562 {refclk 70.633562 m 73 n 1 lpfd 1 lpd 1 fvco 5156.25} 141.267123 {refclk 141.267123 m 73 n 2 lpfd 1 lpd 1 fvco 5156.25} 282.534247 {refclk 282.534247 m 73 n 4 lpfd 1 lpd 1 fvco 5156.25} 565.068493 {refclk 565.068493 m 73 n 8 lpfd 1 lpd 1 fvco 5156.25} 69.679054 {refclk 69.679054 m 74 n 1 lpfd 1 lpd 1 fvco 5156.25} 68.750000 {refclk 68.750000 m 75 n 1 lpfd 1 lpd 1 fvco 5156.25} 137.500000 {refclk 137.500000 m 75 n 2 lpfd 1 lpd 1 fvco 5156.25} 275.000000 {refclk 275.000000 m 75 n 4 lpfd 1 lpd 1 fvco 5156.25} 550.000000 {refclk 550.000000 m 75 n 8 lpfd 1 lpd 1 fvco 5156.25} 67.845395 {refclk 67.845395 m 76 n 1 lpfd 1 lpd 1 fvco 5156.25} 66.964286 {refclk 66.964286 m 77 n 1 lpfd 1 lpd 1 fvco 5156.25} 133.928571 {refclk 133.928571 m 77 n 2 lpfd 1 lpd 1 fvco 5156.25} 267.857143 {refclk 267.857143 m 77 n 4 lpfd 1 lpd 1 fvco 5156.25} 535.714286 {refclk 535.714286 m 77 n 8 lpfd 1 lpd 1 fvco 5156.25} 66.105769 {refclk 66.105769 m 78 n 1 lpfd 1 lpd 1 fvco 5156.25} 65.268987 {refclk 65.268987 m 79 n 1 lpfd 1 lpd 1 fvco 5156.25} 130.537975 {refclk 130.537975 m 79 n 2 lpfd 1 lpd 1 fvco 5156.25} 261.075949 {refclk 261.075949 m 79 n 4 lpfd 1 lpd 1 fvco 5156.25} 522.151899 {refclk 522.151899 m 79 n 8 lpfd 1 lpd 1 fvco 5156.25} 64.453125 {refclk 64.453125 m 80 n 1 lpfd 1 lpd 1 fvco 5156.25} 63.657407 {refclk 63.657407 m 81 n 1 lpfd 1 lpd 1 fvco 5156.25} 127.314815 {refclk 127.314815 m 81 n 2 lpfd 1 lpd 1 fvco 5156.25} 254.629630 {refclk 254.629630 m 81 n 4 lpfd 1 lpd 1 fvco 5156.25} 509.259259 {refclk 509.259259 m 81 n 8 lpfd 1 lpd 1 fvco 5156.25} 62.881098 {refclk 62.881098 m 82 n 1 lpfd 1 lpd 1 fvco 5156.25} 62.123494 {refclk 62.123494 m 83 n 1 lpfd 1 lpd 1 fvco 5156.25} 124.246988 {refclk 124.246988 m 83 n 2 lpfd 1 lpd 1 fvco 5156.25} 248.493976 {refclk 248.493976 m 83 n 4 lpfd 1 lpd 1 fvco 5156.25} 496.987952 {refclk 496.987952 m 83 n 8 lpfd 1 lpd 1 fvco 5156.25} 61.383929 {refclk 61.383929 m 84 n 1 lpfd 1 lpd 1 fvco 5156.25} 60.661765 {refclk 60.661765 m 85 n 1 lpfd 1 lpd 1 fvco 5156.25} 121.323529 {refclk 121.323529 m 85 n 2 lpfd 1 lpd 1 fvco 5156.25} 242.647059 {refclk 242.647059 m 85 n 4 lpfd 1 lpd 1 fvco 5156.25} 485.294118 {refclk 485.294118 m 85 n 8 lpfd 1 lpd 1 fvco 5156.25} 59.956395 {refclk 59.956395 m 86 n 1 lpfd 1 lpd 1 fvco 5156.25} 59.267241 {refclk 59.267241 m 87 n 1 lpfd 1 lpd 1 fvco 5156.25} 118.534483 {refclk 118.534483 m 87 n 2 lpfd 1 lpd 1 fvco 5156.25} 237.068966 {refclk 237.068966 m 87 n 4 lpfd 1 lpd 1 fvco 5156.25} 474.137931 {refclk 474.137931 m 87 n 8 lpfd 1 lpd 1 fvco 5156.25} 58.593750 {refclk 58.593750 m 88 n 1 lpfd 1 lpd 1 fvco 5156.25} 57.935393 {refclk 57.935393 m 89 n 1 lpfd 1 lpd 1 fvco 5156.25} 115.870787 {refclk 115.870787 m 89 n 2 lpfd 1 lpd 1 fvco 5156.25} 231.741573 {refclk 231.741573 m 89 n 4 lpfd 1 lpd 1 fvco 5156.25} 463.483146 {refclk 463.483146 m 89 n 8 lpfd 1 lpd 1 fvco 5156.25} 57.291667 {refclk 57.291667 m 90 n 1 lpfd 1 lpd 1 fvco 5156.25} 56.662088 {refclk 56.662088 m 91 n 1 lpfd 1 lpd 1 fvco 5156.25} 113.324176 {refclk 113.324176 m 91 n 2 lpfd 1 lpd 1 fvco 5156.25} 226.648352 {refclk 226.648352 m 91 n 4 lpfd 1 lpd 1 fvco 5156.25} 453.296703 {refclk 453.296703 m 91 n 8 lpfd 1 lpd 1 fvco 5156.25} 56.046196 {refclk 56.046196 m 92 n 1 lpfd 1 lpd 1 fvco 5156.25} 55.443548 {refclk 55.443548 m 93 n 1 lpfd 1 lpd 1 fvco 5156.25} 110.887097 {refclk 110.887097 m 93 n 2 lpfd 1 lpd 1 fvco 5156.25} 221.774194 {refclk 221.774194 m 93 n 4 lpfd 1 lpd 1 fvco 5156.25} 443.548387 {refclk 443.548387 m 93 n 8 lpfd 1 lpd 1 fvco 5156.25} 54.853723 {refclk 54.853723 m 94 n 1 lpfd 1 lpd 1 fvco 5156.25} 54.276316 {refclk 54.276316 m 95 n 1 lpfd 1 lpd 1 fvco 5156.25} 108.552632 {refclk 108.552632 m 95 n 2 lpfd 1 lpd 1 fvco 5156.25} 217.105263 {refclk 217.105263 m 95 n 4 lpfd 1 lpd 1 fvco 5156.25} 434.210526 {refclk 434.210526 m 95 n 8 lpfd 1 lpd 1 fvco 5156.25} 53.710938 {refclk 53.710938 m 96 n 1 lpfd 1 lpd 1 fvco 5156.25} 53.157216 {refclk 53.157216 m 97 n 1 lpfd 1 lpd 1 fvco 5156.25} 106.314433 {refclk 106.314433 m 97 n 2 lpfd 1 lpd 1 fvco 5156.25} 212.628866 {refclk 212.628866 m 97 n 4 lpfd 1 lpd 1 fvco 5156.25} 425.257732 {refclk 425.257732 m 97 n 8 lpfd 1 lpd 1 fvco 5156.25} 52.614796 {refclk 52.614796 m 98 n 1 lpfd 1 lpd 1 fvco 5156.25} 52.083333 {refclk 52.083333 m 99 n 1 lpfd 1 lpd 1 fvco 5156.25} 104.166667 {refclk 104.166667 m 99 n 2 lpfd 1 lpd 1 fvco 5156.25} 208.333333 {refclk 208.333333 m 99 n 4 lpfd 1 lpd 1 fvco 5156.25} 416.666667 {refclk 416.666667 m 99 n 8 lpfd 1 lpd 1 fvco 5156.25} 51.562500 {refclk 51.562500 m 100 n 1 lpfd 1 lpd 1 fvco 5156.25} 51.051980 {refclk 51.051980 m 101 n 1 lpfd 1 lpd 1 fvco 5156.25} 102.103960 {refclk 102.103960 m 101 n 2 lpfd 1 lpd 1 fvco 5156.25} 204.207921 {refclk 204.207921 m 101 n 4 lpfd 1 lpd 1 fvco 5156.25} 408.415842 {refclk 408.415842 m 101 n 8 lpfd 1 lpd 1 fvco 5156.25} 50.551471 {refclk 50.551471 m 102 n 1 lpfd 1 lpd 1 fvco 5156.25} 50.060680 {refclk 50.060680 m 103 n 1 lpfd 1 lpd 1 fvco 5156.25} 100.121359 {refclk 100.121359 m 103 n 2 lpfd 1 lpd 1 fvco 5156.25} 200.242718 {refclk 200.242718 m 103 n 4 lpfd 1 lpd 1 fvco 5156.25} 400.485437 {refclk 400.485437 m 103 n 8 lpfd 1 lpd 1 fvco 5156.25} allowed_ranges {50.060680 50.551471 51.051980 51.562500 52.083333 52.614796 53.157216 53.710938 54.276316 54.853723 55.443548 56.046196 56.662088 57.291667 57.935393 58.593750 59.267241 59.956395 60.661765 61.383929 62.123494 62.881098 63.657407 64.453125 65.268987 66.105769 66.964286 67.845395 68.750000 69.679054 70.633562 71.614583 72.623239 73.660714 74.728261 75.827206 76.958955 78.125000 79.326923 80.566406 81.845238 83.165323 84.528689 85.937500 87.394068 88.900862 90.460526 92.075893 93.750000 95.486111 97.287736 99.158654 100.121359 101.102941 102.103960 103.125000 104.166667 105.229592 106.314433 107.421875 108.552632 109.707447 110.887097 112.092391 113.324176 114.583333 115.870787 117.187500 118.534483 119.912791 121.323529 122.767857 124.246988 125.762195 127.314815 128.906250 130.537975 132.211538 133.928571 135.690789 137.500000 139.358108 141.267123 143.229167 145.246479 147.321429 149.456522 151.654412 153.917910 156.250000 158.653846 161.132812 163.690476 166.330645 169.057377 171.875000 174.788136 177.801724 180.921053 184.151786 187.500000 190.972222 194.575472 198.317308 200.242718 202.205882 204.207921 206.250000 208.333333 210.459184 212.628866 214.843750 217.105263 219.414894 221.774194 224.184783 226.648352 229.166667 231.741573 234.375000 237.068966 239.825581 242.647059 245.535714 248.493976 251.524390 254.629630 257.812500 261.075949 264.423077 267.857143 271.381579 275.000000 278.716216 282.534247 286.458333 290.492958 294.642857 298.913043 303.308824 307.835821 312.500000 317.307692 322.265625 327.380952 332.661290 338.114754 343.750000 349.576271 355.603448 361.842105 368.303571 375.000000 381.944444 389.150943 396.634615 400.485437 404.411765 408.415842 412.500000 416.666667 420.918367 425.257732 429.687500 434.210526 438.829787 443.548387 448.369565 453.296703 458.333333 463.483146 468.750000 474.137931 479.651163 485.294118 491.071429 496.987952 503.048780 509.259259 515.625000 522.151899 528.846154 535.714286 542.763158 550.000000 557.432432 565.068493 572.916667 580.985915 589.285714 597.826087 606.617647 615.671642 625.000000 634.615385 644.531250 654.761905 665.322581 676.229508 687.500000 699.152542 711.206897 723.684211 736.607143 750.000000 763.888889 778.301887 793.269231}</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_pll_settings_key" type="string"> + <ipxact:name>l_pll_settings_key</ipxact:name> + <ipxact:displayName>l_pll_settings_key</ipxact:displayName> + <ipxact:value>644.531250</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_enable_pma_bonding" type="int"> + <ipxact:name>l_enable_pma_bonding</ipxact:name> + <ipxact:displayName>l_enable_pma_bonding</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_enable_reve_support" type="int"> + <ipxact:name>l_enable_reve_support</ipxact:name> + <ipxact:displayName>l_enable_reve_support</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_std" type="int"> + <ipxact:name>enable_std</ipxact:name> + <ipxact:displayName>enable_std</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_enable_std_pipe" type="int"> + <ipxact:name>l_enable_std_pipe</ipxact:name> + <ipxact:displayName>l_enable_std_pipe</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_enable_tx_std" type="int"> + <ipxact:name>l_enable_tx_std</ipxact:name> + <ipxact:displayName>l_enable_tx_std</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_enable_rx_std" type="int"> + <ipxact:name>l_enable_rx_std</ipxact:name> + <ipxact:displayName>l_enable_rx_std</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_enable_tx_std_iface" type="int"> + <ipxact:name>l_enable_tx_std_iface</ipxact:name> + <ipxact:displayName>l_enable_tx_std_iface</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_enable_rx_std_iface" type="int"> + <ipxact:name>l_enable_rx_std_iface</ipxact:name> + <ipxact:displayName>l_enable_rx_std_iface</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_std_tx_word_count" type="int"> + <ipxact:name>l_std_tx_word_count</ipxact:name> + <ipxact:displayName>l_std_tx_word_count</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_std_tx_word_width" type="int"> + <ipxact:name>l_std_tx_word_width</ipxact:name> + <ipxact:displayName>l_std_tx_word_width</ipxact:displayName> + <ipxact:value>10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_std_tx_field_width" type="int"> + <ipxact:name>l_std_tx_field_width</ipxact:name> + <ipxact:displayName>l_std_tx_field_width</ipxact:displayName> + <ipxact:value>11</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_std_rx_word_count" type="int"> + <ipxact:name>l_std_rx_word_count</ipxact:name> + <ipxact:displayName>l_std_rx_word_count</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_std_rx_word_width" type="int"> + <ipxact:name>l_std_rx_word_width</ipxact:name> + <ipxact:displayName>l_std_rx_word_width</ipxact:displayName> + <ipxact:value>10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_std_rx_field_width" type="int"> + <ipxact:name>l_std_rx_field_width</ipxact:name> + <ipxact:displayName>l_std_rx_field_width</ipxact:displayName> + <ipxact:value>16</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_std_tx_pld_pcs_width" type="int"> + <ipxact:name>l_std_tx_pld_pcs_width</ipxact:name> + <ipxact:displayName>l_std_tx_pld_pcs_width</ipxact:displayName> + <ipxact:value>10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_std_rx_pld_pcs_width" type="int"> + <ipxact:name>l_std_rx_pld_pcs_width</ipxact:name> + <ipxact:displayName>l_std_rx_pld_pcs_width</ipxact:displayName> + <ipxact:value>10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_std_data_mask_count_multi" type="int"> + <ipxact:name>l_std_data_mask_count_multi</ipxact:name> + <ipxact:displayName>l_std_data_mask_count_multi</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_enh" type="int"> + <ipxact:name>enable_enh</ipxact:name> + <ipxact:displayName>enable_enh</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_enable_tx_enh" type="int"> + <ipxact:name>l_enable_tx_enh</ipxact:name> + <ipxact:displayName>l_enable_tx_enh</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_enable_rx_enh" type="int"> + <ipxact:name>l_enable_rx_enh</ipxact:name> + <ipxact:displayName>l_enable_rx_enh</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_enable_tx_enh_iface" type="int"> + <ipxact:name>l_enable_tx_enh_iface</ipxact:name> + <ipxact:displayName>l_enable_tx_enh_iface</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_enable_rx_enh_iface" type="int"> + <ipxact:name>l_enable_rx_enh_iface</ipxact:name> + <ipxact:displayName>l_enable_rx_enh_iface</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_pcs_dir" type="int"> + <ipxact:name>enable_pcs_dir</ipxact:name> + <ipxact:displayName>enable_pcs_dir</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_enable_tx_pcs_dir" type="int"> + <ipxact:name>l_enable_tx_pcs_dir</ipxact:name> + <ipxact:displayName>l_enable_tx_pcs_dir</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_enable_rx_pcs_dir" type="int"> + <ipxact:name>l_enable_rx_pcs_dir</ipxact:name> + <ipxact:displayName>l_enable_rx_pcs_dir</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_rcfg_ifaces" type="int"> + <ipxact:name>l_rcfg_ifaces</ipxact:name> + <ipxact:displayName>l_rcfg_ifaces</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_rcfg_addr_bits" type="int"> + <ipxact:name>l_rcfg_addr_bits</ipxact:name> + <ipxact:displayName>l_rcfg_addr_bits</ipxact:displayName> + <ipxact:value>11</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="is_c10" type="int"> + <ipxact:name>is_c10</ipxact:name> + <ipxact:displayName>is_c10</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_enable" type="int"> + <ipxact:name>rcfg_enable</ipxact:name> + <ipxact:displayName>Enable dynamic reconfiguration</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_shared" type="int"> + <ipxact:name>rcfg_shared</ipxact:name> + <ipxact:displayName>Share reconfiguration interface</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_jtag_enable" type="int"> + <ipxact:name>rcfg_jtag_enable</ipxact:name> + <ipxact:displayName>Enable Native PHY Debug Master Endpoint</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_separate_avmm_busy" type="int"> + <ipxact:name>rcfg_separate_avmm_busy</ipxact:name> + <ipxact:displayName>Separate reconfig_waitrequest from the status of AVMM arbitration with PreSICE</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_enable_avmm_busy_port" type="int"> + <ipxact:name>rcfg_enable_avmm_busy_port</ipxact:name> + <ipxact:displayName>Enable avmm_busy port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adme_prot_mode" type="string"> + <ipxact:name>adme_prot_mode</ipxact:name> + <ipxact:displayName>adme_prot_mode</ipxact:displayName> + <ipxact:value>teng_baser_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adme_pma_mode" type="string"> + <ipxact:name>adme_pma_mode</ipxact:name> + <ipxact:displayName>adme_pma_mode</ipxact:displayName> + <ipxact:value>basic</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adme_tx_power_mode" type="string"> + <ipxact:name>adme_tx_power_mode</ipxact:name> + <ipxact:displayName>adme_tx_power_mode</ipxact:displayName> + <ipxact:value>mid_power</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adme_data_rate" type="string"> + <ipxact:name>adme_data_rate</ipxact:name> + <ipxact:displayName>adme_data_rate</ipxact:displayName> + <ipxact:value>10312500000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_pcie_dfe_ip" type="bit"> + <ipxact:name>enable_pcie_dfe_ip</ipxact:name> + <ipxact:displayName>Enable PICe DFE IP</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="sim_reduced_counters" type="bit"> + <ipxact:name>sim_reduced_counters</ipxact:name> + <ipxact:displayName>Enable fast sim</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="disable_continuous_dfe" type="bit"> + <ipxact:name>disable_continuous_dfe</ipxact:name> + <ipxact:displayName>Disable DFE Continuous</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_embedded_debug_enable" type="int"> + <ipxact:name>set_embedded_debug_enable</ipxact:name> + <ipxact:displayName>Enable embedded debug</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_capability_reg_enable" type="int"> + <ipxact:name>set_capability_reg_enable</ipxact:name> + <ipxact:displayName>Enable capability registers</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_user_identifier" type="int"> + <ipxact:name>set_user_identifier</ipxact:name> + <ipxact:displayName>Set user-defined IP identifier</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_csr_soft_logic_enable" type="int"> + <ipxact:name>set_csr_soft_logic_enable</ipxact:name> + <ipxact:displayName>Enable control and status registers</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_prbs_soft_logic_enable" type="int"> + <ipxact:name>set_prbs_soft_logic_enable</ipxact:name> + <ipxact:displayName>Enable PRBS soft accumulators</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_odi_soft_logic_enable" type="int"> + <ipxact:name>set_odi_soft_logic_enable</ipxact:name> + <ipxact:displayName>Enable ODI acceleration logic</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="dbg_embedded_debug_enable" type="int"> + <ipxact:name>dbg_embedded_debug_enable</ipxact:name> + <ipxact:displayName>dbg_embedded_debug_enable</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="dbg_capability_reg_enable" type="int"> + <ipxact:name>dbg_capability_reg_enable</ipxact:name> + <ipxact:displayName>dbg_capability_reg_enable</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="dbg_user_identifier" type="int"> + <ipxact:name>dbg_user_identifier</ipxact:name> + <ipxact:displayName>dbg_user_identifier</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="dbg_stat_soft_logic_enable" type="int"> + <ipxact:name>dbg_stat_soft_logic_enable</ipxact:name> + <ipxact:displayName>dbg_stat_soft_logic_enable</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="dbg_ctrl_soft_logic_enable" type="int"> + <ipxact:name>dbg_ctrl_soft_logic_enable</ipxact:name> + <ipxact:displayName>dbg_ctrl_soft_logic_enable</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="dbg_prbs_soft_logic_enable" type="int"> + <ipxact:name>dbg_prbs_soft_logic_enable</ipxact:name> + <ipxact:displayName>dbg_prbs_soft_logic_enable</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="dbg_odi_soft_logic_enable" type="int"> + <ipxact:name>dbg_odi_soft_logic_enable</ipxact:name> + <ipxact:displayName>dbg_odi_soft_logic_enable</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_file_prefix" type="string"> + <ipxact:name>rcfg_file_prefix</ipxact:name> + <ipxact:displayName>Configuration file prefix</ipxact:displayName> + <ipxact:value>altera_xcvr_native_a10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_sv_file_enable" type="int"> + <ipxact:name>rcfg_sv_file_enable</ipxact:name> + <ipxact:displayName>Generate SystemVerilog package file</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_h_file_enable" type="int"> + <ipxact:name>rcfg_h_file_enable</ipxact:name> + <ipxact:displayName>Generate C header file</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_mif_file_enable" type="int"> + <ipxact:name>rcfg_mif_file_enable</ipxact:name> + <ipxact:displayName>Generate MIF (Memory Initialization File)</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_multi_enable" type="int"> + <ipxact:name>rcfg_multi_enable</ipxact:name> + <ipxact:displayName>Enable multiple reconfiguration profiles</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_rcfg_emb_strm_enable" type="int"> + <ipxact:name>set_rcfg_emb_strm_enable</ipxact:name> + <ipxact:displayName>Enable embedded reconfiguration streamer</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_emb_strm_enable" type="int"> + <ipxact:name>rcfg_emb_strm_enable</ipxact:name> + <ipxact:displayName>rcfg_emb_strm_enable</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_reduced_files_enable" type="int"> + <ipxact:name>rcfg_reduced_files_enable</ipxact:name> + <ipxact:displayName>Generate reduced reconfiguration files</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_profile_cnt" type="int"> + <ipxact:name>rcfg_profile_cnt</ipxact:name> + <ipxact:displayName>Number of reconfiguration profiles</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_profile_select" type="int"> + <ipxact:name>rcfg_profile_select</ipxact:name> + <ipxact:displayName>Selected reconfiguration profile</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_profile_data0" type="string"> + <ipxact:name>rcfg_profile_data0</ipxact:name> + <ipxact:displayName>rcfg_profile_data0</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_profile_data1" type="string"> + <ipxact:name>rcfg_profile_data1</ipxact:name> + <ipxact:displayName>rcfg_profile_data1</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_profile_data2" type="string"> + <ipxact:name>rcfg_profile_data2</ipxact:name> + <ipxact:displayName>rcfg_profile_data2</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_profile_data3" type="string"> + <ipxact:name>rcfg_profile_data3</ipxact:name> + <ipxact:displayName>rcfg_profile_data3</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_profile_data4" type="string"> + <ipxact:name>rcfg_profile_data4</ipxact:name> + <ipxact:displayName>rcfg_profile_data4</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_profile_data5" type="string"> + <ipxact:name>rcfg_profile_data5</ipxact:name> + <ipxact:displayName>rcfg_profile_data5</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_profile_data6" type="string"> + <ipxact:name>rcfg_profile_data6</ipxact:name> + <ipxact:displayName>rcfg_profile_data6</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_profile_data7" type="string"> + <ipxact:name>rcfg_profile_data7</ipxact:name> + <ipxact:displayName>rcfg_profile_data7</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_params" type="string"> + <ipxact:name>rcfg_params</ipxact:name> + <ipxact:displayName>rcfg_params</ipxact:displayName> + <ipxact:value>anlg_voltage,anlg_link,support_mode,protocol_mode,pma_mode,duplex_mode,channels,set_data_rate,rcfg_iface_enable,enable_simple_interface,enable_split_interface,set_enable_calibration,enable_parallel_loopback,enable_upi_pipeline_options,pcs_tx_delay1_ctrl,pcs_tx_delay1_data_sel,pcs_tx_delay2_ctrl,bonded_mode,set_pcs_bonding_master,tx_pma_clk_div,plls,pll_select,enable_port_tx_analog_reset_ack,enable_port_tx_pma_clkout,enable_port_tx_pma_div_clkout,tx_pma_div_clkout_divider,enable_port_tx_pma_iqtxrx_clkout,enable_port_tx_pma_elecidle,enable_port_tx_pma_qpipullup,enable_port_tx_pma_qpipulldn,enable_port_tx_pma_txdetectrx,enable_port_tx_pma_rxfound,enable_port_rx_seriallpbken_tx,number_physical_bonding_clocks,cdr_refclk_cnt,cdr_refclk_select,set_cdr_refclk_freq,rx_ppm_detect_threshold,rx_pma_ctle_adaptation_mode,rx_pma_dfe_adaptation_mode,rx_pma_dfe_fixed_taps,enable_ports_adaptation,enable_port_rx_analog_reset_ack,enable_port_rx_pma_clkout,enable_port_rx_pma_div_clkout,rx_pma_div_clkout_divider,enable_port_rx_pma_iqtxrx_clkout,enable_port_rx_pma_clkslip,enable_port_rx_pma_qpipulldn,enable_port_rx_is_lockedtodata,enable_port_rx_is_lockedtoref,enable_ports_rx_manual_cdr_mode,enable_ports_rx_manual_ppm,enable_port_rx_signaldetect,enable_port_rx_seriallpbken,enable_ports_rx_prbs,std_pcs_pma_width,std_low_latency_bypass_enable,enable_hip,enable_hard_reset,set_hip_cal_en,std_tx_pcfifo_mode,std_rx_pcfifo_mode,enable_port_tx_std_pcfifo_full,enable_port_tx_std_pcfifo_empty,enable_port_rx_std_pcfifo_full,enable_port_rx_std_pcfifo_empty,std_tx_byte_ser_mode,std_rx_byte_deser_mode,std_tx_8b10b_enable,std_tx_8b10b_disp_ctrl_enable,std_rx_8b10b_enable,std_rx_rmfifo_mode,std_rx_rmfifo_pattern_n,std_rx_rmfifo_pattern_p,enable_port_rx_std_rmfifo_full,enable_port_rx_std_rmfifo_empty,pcie_rate_match,std_tx_bitslip_enable,enable_port_tx_std_bitslipboundarysel,std_rx_word_aligner_mode,std_rx_word_aligner_pattern_len,std_rx_word_aligner_pattern,std_rx_word_aligner_rknumber,std_rx_word_aligner_renumber,std_rx_word_aligner_rgnumber,std_rx_word_aligner_fast_sync_status_enable,enable_port_rx_std_wa_patternalign,enable_port_rx_std_wa_a1a2size,enable_port_rx_std_bitslipboundarysel,enable_port_rx_std_bitslip,std_tx_bitrev_enable,std_tx_byterev_enable,std_tx_polinv_enable,enable_port_tx_polinv,std_rx_bitrev_enable,enable_port_rx_std_bitrev_ena,std_rx_byterev_enable,enable_port_rx_std_byterev_ena,std_rx_polinv_enable,enable_port_rx_polinv,enable_port_rx_std_signaldetect,enable_ports_pipe_sw,enable_ports_pipe_hclk,enable_ports_pipe_g3_analog,enable_ports_pipe_rx_elecidle,enable_port_pipe_rx_polarity,enh_pcs_pma_width,enh_pld_pcs_width,enh_low_latency_enable,enh_rxtxfifo_double_width,enh_txfifo_mode,enh_txfifo_pfull,enh_txfifo_pempty,enable_port_tx_enh_fifo_full,enable_port_tx_enh_fifo_pfull,enable_port_tx_enh_fifo_empty,enable_port_tx_enh_fifo_pempty,enable_port_tx_enh_fifo_cnt,enh_rxfifo_mode,enh_rxfifo_pfull,enh_rxfifo_pempty,enh_rxfifo_align_del,enh_rxfifo_control_del,enable_port_rx_enh_data_valid,enable_port_rx_enh_fifo_full,enable_port_rx_enh_fifo_pfull,enable_port_rx_enh_fifo_empty,enable_port_rx_enh_fifo_pempty,enable_port_rx_enh_fifo_cnt,enable_port_rx_enh_fifo_del,enable_port_rx_enh_fifo_insert,enable_port_rx_enh_fifo_rd_en,enable_port_rx_enh_fifo_align_val,enable_port_rx_enh_fifo_align_clr,enh_tx_frmgen_enable,enh_tx_frmgen_mfrm_length,enh_tx_frmgen_burst_enable,enable_port_tx_enh_frame,enable_port_tx_enh_frame_diag_status,enable_port_tx_enh_frame_burst_en,enh_rx_frmsync_enable,enh_rx_frmsync_mfrm_length,enable_port_rx_enh_frame,enable_port_rx_enh_frame_lock,enable_port_rx_enh_frame_diag_status,enh_tx_crcgen_enable,enh_tx_crcerr_enable,enh_rx_crcchk_enable,enable_port_rx_enh_crc32_err,enable_port_rx_enh_highber,enable_port_rx_enh_highber_clr_cnt,enable_port_rx_enh_clr_errblk_count,enable_port_rx_enh_clr_errblk_count_c10,enh_tx_64b66b_enable,enh_rx_64b66b_enable,enh_tx_sh_err,enh_tx_scram_enable,enh_tx_scram_seed,enh_rx_descram_enable,enh_tx_dispgen_enable,enh_rx_dispchk_enable,enh_tx_randomdispbit_enable,enh_rx_blksync_enable,enable_port_rx_enh_blk_lock,enh_tx_bitslip_enable,enh_tx_polinv_enable,enh_rx_bitslip_enable,enh_rx_polinv_enable,enable_port_tx_enh_bitslip,enable_port_rx_enh_bitslip,enh_rx_krfec_err_mark_enable,enh_rx_krfec_err_mark_type,enh_tx_krfec_burst_err_enable,enh_tx_krfec_burst_err_len,enable_port_krfec_tx_enh_frame,enable_port_krfec_rx_enh_frame,enable_port_krfec_rx_enh_frame_diag_status,pcs_direct_width,enable_analog_settings,anlg_tx_analog_mode,anlg_enable_tx_default_ovr,anlg_tx_vod_output_swing_ctrl,anlg_tx_pre_emp_sign_pre_tap_1t,anlg_tx_pre_emp_switching_ctrl_pre_tap_1t,anlg_tx_pre_emp_sign_pre_tap_2t,anlg_tx_pre_emp_switching_ctrl_pre_tap_2t,anlg_tx_pre_emp_sign_1st_post_tap,anlg_tx_pre_emp_switching_ctrl_1st_post_tap,anlg_tx_pre_emp_sign_2nd_post_tap,anlg_tx_pre_emp_switching_ctrl_2nd_post_tap,anlg_tx_slew_rate_ctrl,anlg_tx_compensation_en,anlg_tx_term_sel,anlg_enable_rx_default_ovr,anlg_rx_one_stage_enable,anlg_rx_eq_dc_gain_trim,anlg_rx_adp_ctle_acgain_4s,anlg_rx_adp_ctle_eqz_1s_sel,anlg_rx_adp_vga_sel,anlg_rx_adp_dfe_fxtap1,anlg_rx_adp_dfe_fxtap2,anlg_rx_adp_dfe_fxtap3,anlg_rx_adp_dfe_fxtap4,anlg_rx_adp_dfe_fxtap5,anlg_rx_adp_dfe_fxtap6,anlg_rx_adp_dfe_fxtap7,anlg_rx_adp_dfe_fxtap8,anlg_rx_adp_dfe_fxtap9,anlg_rx_adp_dfe_fxtap10,anlg_rx_adp_dfe_fxtap11,anlg_rx_term_sel</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_param_labels" type="string"> + <ipxact:name>rcfg_param_labels</ipxact:name> + <ipxact:displayName>IP Parameters</ipxact:displayName> + <ipxact:value>VCCR_GXB and VCCT_GXB supply voltage for the Transceiver,Tranceiver Link Type,Protocol support mode,Transceiver configuration rules,PMA configuration rules,Transceiver mode,Number of data channels,Data rate,Enable datapath and interface reconfiguration,Enable simplified data interface,Provide separate interface for each channel,Enable calibration,Enable parallel loopback,Enable UPI Pipeline Options,Delay1 setting,Delay1 mode,Delay2 setting,TX channel bonding mode,PCS TX channel bonding master,TX local clock division factor,Number of TX PLL clock inputs per channel,Initial TX PLL clock input selection,Enable tx_analog_reset_ack port,Enable tx_pma_clkout port,Enable tx_pma_div_clkout port,tx_pma_div_clkout division factor,Enable tx_pma_iqtxrx_clkout port,Enable tx_pma_elecidle port,Enable tx_pma_qpipullup port (QPI),Enable tx_pma_qpipulldn port (QPI),Enable tx_pma_txdetectrx port (QPI),Enable tx_pma_rxfound port (QPI),Enable rx_seriallpbken port,Number of physical bonding clock ports to use.,Number of CDR reference clocks,Selected CDR reference clock,Selected CDR reference clock frequency,PPM detector threshold,CTLE mode,DFE mode,Number of fixed dfe taps,Enable adaptation control ports,Enable rx_analog_reset_ack port,Enable rx_pma_clkout port,Enable rx_pma_div_clkout port,rx_pma_div_clkout division factor,Enable rx_pma_iqtxrx_clkout port,Enable rx_pma_clkslip port,Enable rx_pma_qpipulldn port (QPI),Enable rx_is_lockedtodata port,Enable rx_is_lockedtoref port,Enable rx_set_locktodata and rx_set_locktoref ports,Enable rx_fref and rx_clklow ports,Enable rx_signaldetect port,Enable rx_seriallpbken port,Enable PRBS verifier control and status ports,Standard PCS / PMA interface width,Enable 'Standard PCS' low latency mode,Enable PCIe hard IP support,Enable hard reset controller (HIP),Enable PCIe hard IP calibration,TX FIFO mode,RX FIFO mode,Enable tx_std_pcfifo_full port,Enable tx_std_pcfifo_empty port,Enable rx_std_pcfifo_full port,Enable rx_std_pcfifo_empty port,TX byte serializer mode,RX byte deserializer mode,Enable TX 8B/10B encoder,Enable TX 8B/10B disparity control,Enable RX 8B/10B decoder,RX rate match FIFO mode,RX rate match insert/delete -ve pattern (hex),RX rate match insert/delete +ve pattern (hex),Enable rx_std_rmfifo_full port,Enable rx_std_rmfifo_empty port,PCI Express Gen 3 rate match FIFO mode,Enable TX bitslip,Enable tx_std_bitslipboundarysel port,RX word aligner mode,RX word aligner pattern length,RX word aligner pattern (hex),Number of word alignment patterns to achieve sync,Number of invalid data words to lose sync,Number of valid data words to decrement error count,Enable fast sync status reporting for deterministic latency SM,Enable rx_std_wa_patternalign port,Enable rx_std_wa_a1a2size port,Enable rx_std_bitslipboundarysel port,Enable rx_bitslip port,Enable TX bit reversal,Enable TX byte reversal,Enable TX polarity inversion,Enable tx_polinv port,Enable RX bit reversal,Enable rx_std_bitrev_ena port,Enable RX byte reversal,Enable rx_std_byterev_ena port,Enable RX polarity inversion,Enable rx_polinv port,Enable rx_std_signaldetect port,Enable PCIe dynamic datarate switch ports,Enable PCIe pipe_hclk_in and pipe_hclk_out ports,Enable PCIe Gen 3 analog control ports,Enable PCIe electrical idle control and status ports,Enable PCIe pipe_rx_polarity port,Enhanced PCS / PMA interface width,FPGA fabric / Enhanced PCS interface width,Enable 'Enhanced PCS' low latency mode,Enable RX/TX FIFO double width mode,TX FIFO mode,TX FIFO partially full threshold,TX FIFO partially empty threshold,Enable tx_enh_fifo_full port,Enable tx_enh_fifo_pfull port,Enable tx_enh_fifo_empty port,Enable tx_enh_fifo_pempty port,Enable tx_enh_fifo_cnt port,RX FIFO mode,RX FIFO partially full threshold,RX FIFO partially empty threshold,Enable RX FIFO alignment word deletion (Interlaken),Enable RX FIFO control word deletion (Interlaken),Enable rx_enh_data_valid port,Enable rx_enh_fifo_full port,Enable rx_enh_fifo_pfull port,Enable rx_enh_fifo_empty port,Enable rx_enh_fifo_pempty port,Enable rx_enh_fifo_cnt port,Enable rx_enh_fifo_del port (10GBASE-R),Enable rx_enh_fifo_insert port (10GBASE-R),Enable rx_enh_fifo_rd_en port,Enable rx_enh_fifo_align_val port (Interlaken),Enable rx_enh_fifo_align_clr port (Interlaken),Enable Interlaken frame generator,Frame generator metaframe length,Enable frame generator burst control,Enable tx_enh_frame port,Enable tx_enh_frame_diag_status port,Enable tx_enh_frame_burst_en port,Enable Interlaken frame synchronizer,Frame synchronizer metaframe length,Enable rx_enh_frame port,Enable rx_enh_frame_lock port,Enable rx_enh_frame_diag_status port,Enable Interlaken TX CRC-32 generator,Enable Interlaken TX CRC-32 generator error insertion,Enable Interlaken RX CRC-32 checker,Enable rx_enh_crc32_err port,Enable rx_enh_highber port (10GBASE-R),Enable rx_enh_highber_clr_cnt port (10GBASE-R),Enable rx_enh_clr_errblk_count port (10GBASE-R & FEC),Enable rx_enh_clr_errblk_count port (10GBASE-R),Enable TX 64b/66b encoder,Enable RX 64b/66b decoder,Enable TX sync header error insertion,Enable TX scrambler (10GBASE-R/Interlaken),TX scrambler seed (10GBASE-R/Interlaken),Enable RX descrambler (10GBASE-R/Interlaken),Enable Interlaken TX disparity generator,Enable Interlaken RX disparity checker,Enable Interlaken TX random disparity bit,Enable RX block synchronizer,Enable rx_enh_blk_lock port,Enable TX data bitslip,Enable TX data polarity inversion,Enable RX data bitslip,Enable RX data polarity inversion,Enable tx_enh_bitslip port,Enable rx_bitslip port,Enable RX KR-FEC error marking,Error marking type,Enable KR-FEC TX error insertion,KR-FEC TX error insertion spacing,Enable tx_enh_frame port,Enable rx_enh_frame port,Enable rx_enh_frame_diag_status port,PCS Direct interface width,Include PMA analog settings in configuration files,Analog Mode (Intel-recommended Default Setting Rules),Override Intel-recommended Analog Mode Default Settings,Output Swing Level (VOD),Pre-Emphasis First Pre-Tap Polarity,Pre-Emphasis First Pre-Tap Magnitude,Pre-Emphasis Second Pre-Tap Polarity,Pre-Emphasis Second Pre-Tap Magnitude,Pre-Emphasis First Post-Tap Polarity,Pre-Emphasis First Post-Tap Magnitude,Pre-Emphasis Second Post-Tap Polarity,Pre-Emphasis Second Post-Tap Magnitude,Slew Rate Control,High-Speed Compensation,On-Chip Termination,Override Intel-recommended Default Settings,CTLE (Continuous Time Linear Equalizer) mode,DC Gain Control of High Gain Mode CTLE,AC Gain Control of High Gain Mode CTLE,AC Gain Control of High Data Rate Mode CTLE,Variable Gain Amplifier (VGA) Voltage Swing Select,Decision Feedback Equalizer (DFE) Fixed Tap 1 Co-efficient,Decision Feedback Equalizer (DFE) Fixed Tap 2 Co-efficient,Decision Feedback Equalizer (DFE) Fixed Tap 3 Co-efficient,Decision Feedback Equalizer (DFE) Fixed Tap 4 Co-efficient,Decision Feedback Equalizer (DFE) Fixed Tap 5 Co-efficient,Decision Feedback Equalizer (DFE) Fixed Tap 6 Co-efficient,Decision Feedback Equalizer (DFE) Fixed Tap 7 Co-efficient,Decision Feedback Equalizer (DFE) Fixed Tap 8 Co-efficient,Decision Feedback Equalizer (DFE) Fixed Tap 9 Co-efficient,Decision Feedback Equalizer (DFE) Fixed Tap 10 Co-efficient,Decision Feedback Equalizer (DFE) Fixed Tap 11 Co-efficient,On-Chip Termination</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_param_vals0" type="string"> + <ipxact:name>rcfg_param_vals0</ipxact:name> + <ipxact:displayName>Profile 0</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_param_vals1" type="string"> + <ipxact:name>rcfg_param_vals1</ipxact:name> + <ipxact:displayName>Profile 1</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_param_vals2" type="string"> + <ipxact:name>rcfg_param_vals2</ipxact:name> + <ipxact:displayName>Profile 2</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_param_vals3" type="string"> + <ipxact:name>rcfg_param_vals3</ipxact:name> + <ipxact:displayName>Profile 3</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_param_vals4" type="string"> + <ipxact:name>rcfg_param_vals4</ipxact:name> + <ipxact:displayName>Profile 4</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_param_vals5" type="string"> + <ipxact:name>rcfg_param_vals5</ipxact:name> + <ipxact:displayName>Profile 5</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_param_vals6" type="string"> + <ipxact:name>rcfg_param_vals6</ipxact:name> + <ipxact:displayName>Profile 6</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_param_vals7" type="string"> + <ipxact:name>rcfg_param_vals7</ipxact:name> + <ipxact:displayName>Profile 7</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_rcfg_datapath_message" type="int"> + <ipxact:name>l_rcfg_datapath_message</ipxact:name> + <ipxact:displayName>l_rcfg_datapath_message</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_analog_settings" type="int"> + <ipxact:name>enable_analog_settings</ipxact:name> + <ipxact:displayName>Include PMA analog settings in configuration files</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_tx_analog_mode" type="string"> + <ipxact:name>anlg_tx_analog_mode</ipxact:name> + <ipxact:displayName>Analog Mode (Intel-recommended Default Setting Rules)</ipxact:displayName> + <ipxact:value>user_custom</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_enable_tx_default_ovr" type="int"> + <ipxact:name>anlg_enable_tx_default_ovr</ipxact:name> + <ipxact:displayName>Override Intel-recommended Analog Mode Default Settings</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_tx_vod_output_swing_ctrl" type="int"> + <ipxact:name>anlg_tx_vod_output_swing_ctrl</ipxact:name> + <ipxact:displayName>Output Swing Level (VOD)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_tx_pre_emp_sign_pre_tap_1t" type="string"> + <ipxact:name>anlg_tx_pre_emp_sign_pre_tap_1t</ipxact:name> + <ipxact:displayName>Pre-Emphasis First Pre-Tap Polarity</ipxact:displayName> + <ipxact:value>fir_pre_1t_neg</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_tx_pre_emp_switching_ctrl_pre_tap_1t" type="int"> + <ipxact:name>anlg_tx_pre_emp_switching_ctrl_pre_tap_1t</ipxact:name> + <ipxact:displayName>Pre-Emphasis First Pre-Tap Magnitude</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_tx_pre_emp_sign_pre_tap_2t" type="string"> + <ipxact:name>anlg_tx_pre_emp_sign_pre_tap_2t</ipxact:name> + <ipxact:displayName>Pre-Emphasis Second Pre-Tap Polarity</ipxact:displayName> + <ipxact:value>fir_pre_2t_neg</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_tx_pre_emp_switching_ctrl_pre_tap_2t" type="int"> + <ipxact:name>anlg_tx_pre_emp_switching_ctrl_pre_tap_2t</ipxact:name> + <ipxact:displayName>Pre-Emphasis Second Pre-Tap Magnitude</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_tx_pre_emp_sign_1st_post_tap" type="string"> + <ipxact:name>anlg_tx_pre_emp_sign_1st_post_tap</ipxact:name> + <ipxact:displayName>Pre-Emphasis First Post-Tap Polarity</ipxact:displayName> + <ipxact:value>fir_post_1t_neg</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_tx_pre_emp_switching_ctrl_1st_post_tap" type="int"> + <ipxact:name>anlg_tx_pre_emp_switching_ctrl_1st_post_tap</ipxact:name> + <ipxact:displayName>Pre-Emphasis First Post-Tap Magnitude</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_tx_pre_emp_sign_2nd_post_tap" type="string"> + <ipxact:name>anlg_tx_pre_emp_sign_2nd_post_tap</ipxact:name> + <ipxact:displayName>Pre-Emphasis Second Post-Tap Polarity</ipxact:displayName> + <ipxact:value>fir_post_2t_neg</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_tx_pre_emp_switching_ctrl_2nd_post_tap" type="int"> + <ipxact:name>anlg_tx_pre_emp_switching_ctrl_2nd_post_tap</ipxact:name> + <ipxact:displayName>Pre-Emphasis Second Post-Tap Magnitude</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_tx_slew_rate_ctrl" type="string"> + <ipxact:name>anlg_tx_slew_rate_ctrl</ipxact:name> + <ipxact:displayName>Slew Rate Control</ipxact:displayName> + <ipxact:value>slew_r7</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_tx_compensation_en" type="string"> + <ipxact:name>anlg_tx_compensation_en</ipxact:name> + <ipxact:displayName>High-Speed Compensation</ipxact:displayName> + <ipxact:value>enable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_tx_term_sel" type="string"> + <ipxact:name>anlg_tx_term_sel</ipxact:name> + <ipxact:displayName>On-Chip Termination</ipxact:displayName> + <ipxact:value>r_r1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_enable_rx_default_ovr" type="int"> + <ipxact:name>anlg_enable_rx_default_ovr</ipxact:name> + <ipxact:displayName>Override Intel-recommended Default Settings</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_rx_one_stage_enable" type="string"> + <ipxact:name>anlg_rx_one_stage_enable</ipxact:name> + <ipxact:displayName>CTLE (Continuous Time Linear Equalizer) mode</ipxact:displayName> + <ipxact:value>s1_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_rx_eq_dc_gain_trim" type="string"> + <ipxact:name>anlg_rx_eq_dc_gain_trim</ipxact:name> + <ipxact:displayName>DC Gain Control of High Gain Mode CTLE</ipxact:displayName> + <ipxact:value>stg2_gain7</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_rx_adp_ctle_acgain_4s" type="string"> + <ipxact:name>anlg_rx_adp_ctle_acgain_4s</ipxact:name> + <ipxact:displayName>AC Gain Control of High Gain Mode CTLE</ipxact:displayName> + <ipxact:value>radp_ctle_acgain_4s_1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_rx_adp_ctle_eqz_1s_sel" type="string"> + <ipxact:name>anlg_rx_adp_ctle_eqz_1s_sel</ipxact:name> + <ipxact:displayName>AC Gain Control of High Data Rate Mode CTLE</ipxact:displayName> + <ipxact:value>radp_ctle_eqz_1s_sel_3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_rx_adp_vga_sel" type="string"> + <ipxact:name>anlg_rx_adp_vga_sel</ipxact:name> + <ipxact:displayName>Variable Gain Amplifier (VGA) Voltage Swing Select</ipxact:displayName> + <ipxact:value>radp_vga_sel_2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_rx_adp_dfe_fxtap1" type="string"> + <ipxact:name>anlg_rx_adp_dfe_fxtap1</ipxact:name> + <ipxact:displayName>Decision Feedback Equalizer (DFE) Fixed Tap 1 Co-efficient</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap1_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_rx_adp_dfe_fxtap2" type="string"> + <ipxact:name>anlg_rx_adp_dfe_fxtap2</ipxact:name> + <ipxact:displayName>Decision Feedback Equalizer (DFE) Fixed Tap 2 Co-efficient</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap2_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_rx_adp_dfe_fxtap3" type="string"> + <ipxact:name>anlg_rx_adp_dfe_fxtap3</ipxact:name> + <ipxact:displayName>Decision Feedback Equalizer (DFE) Fixed Tap 3 Co-efficient</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap3_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_rx_adp_dfe_fxtap4" type="string"> + <ipxact:name>anlg_rx_adp_dfe_fxtap4</ipxact:name> + <ipxact:displayName>Decision Feedback Equalizer (DFE) Fixed Tap 4 Co-efficient</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap4_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_rx_adp_dfe_fxtap5" type="string"> + <ipxact:name>anlg_rx_adp_dfe_fxtap5</ipxact:name> + <ipxact:displayName>Decision Feedback Equalizer (DFE) Fixed Tap 5 Co-efficient</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap5_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_rx_adp_dfe_fxtap6" type="string"> + <ipxact:name>anlg_rx_adp_dfe_fxtap6</ipxact:name> + <ipxact:displayName>Decision Feedback Equalizer (DFE) Fixed Tap 6 Co-efficient</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap6_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_rx_adp_dfe_fxtap7" type="string"> + <ipxact:name>anlg_rx_adp_dfe_fxtap7</ipxact:name> + <ipxact:displayName>Decision Feedback Equalizer (DFE) Fixed Tap 7 Co-efficient</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap7_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_rx_adp_dfe_fxtap8" type="string"> + <ipxact:name>anlg_rx_adp_dfe_fxtap8</ipxact:name> + <ipxact:displayName>Decision Feedback Equalizer (DFE) Fixed Tap 8 Co-efficient</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap8_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_rx_adp_dfe_fxtap9" type="string"> + <ipxact:name>anlg_rx_adp_dfe_fxtap9</ipxact:name> + <ipxact:displayName>Decision Feedback Equalizer (DFE) Fixed Tap 9 Co-efficient</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap9_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_rx_adp_dfe_fxtap10" type="string"> + <ipxact:name>anlg_rx_adp_dfe_fxtap10</ipxact:name> + <ipxact:displayName>Decision Feedback Equalizer (DFE) Fixed Tap 10 Co-efficient</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap10_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_rx_adp_dfe_fxtap11" type="string"> + <ipxact:name>anlg_rx_adp_dfe_fxtap11</ipxact:name> + <ipxact:displayName>Decision Feedback Equalizer (DFE) Fixed Tap 11 Co-efficient</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap11_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_rx_term_sel" type="string"> + <ipxact:name>anlg_rx_term_sel</ipxact:name> + <ipxact:displayName>On-Chip Termination</ipxact:displayName> + <ipxact:value>r_r1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_anlg_tx_enable" type="int"> + <ipxact:name>l_anlg_tx_enable</ipxact:name> + <ipxact:displayName>l_anlg_tx_enable</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_anlg_rx_enable" type="int"> + <ipxact:name>l_anlg_rx_enable</ipxact:name> + <ipxact:displayName>l_anlg_rx_enable</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_rx_pcs_block_sync" type="string"> + <ipxact:name>hssi_gen3_rx_pcs_block_sync</ipxact:name> + <ipxact:displayName>hssi_gen3_rx_pcs_block_sync</ipxact:displayName> + <ipxact:value>bypass_block_sync</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_rx_pcs_block_sync_sm" type="string"> + <ipxact:name>hssi_gen3_rx_pcs_block_sync_sm</ipxact:name> + <ipxact:displayName>hssi_gen3_rx_pcs_block_sync_sm</ipxact:displayName> + <ipxact:value>disable_blk_sync_sm</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_rx_pcs_cdr_ctrl_force_unalgn" type="string"> + <ipxact:name>hssi_gen3_rx_pcs_cdr_ctrl_force_unalgn</ipxact:name> + <ipxact:displayName>hssi_gen3_rx_pcs_cdr_ctrl_force_unalgn</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_rx_pcs_lpbk_force" type="string"> + <ipxact:name>hssi_gen3_rx_pcs_lpbk_force</ipxact:name> + <ipxact:displayName>hssi_gen3_rx_pcs_lpbk_force</ipxact:displayName> + <ipxact:value>lpbk_frce_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_rx_pcs_mode" type="string"> + <ipxact:name>hssi_gen3_rx_pcs_mode</ipxact:name> + <ipxact:displayName>hssi_gen3_rx_pcs_mode</ipxact:displayName> + <ipxact:value>disable_pcs</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_rx_pcs_rate_match_fifo" type="string"> + <ipxact:name>hssi_gen3_rx_pcs_rate_match_fifo</ipxact:name> + <ipxact:displayName>hssi_gen3_rx_pcs_rate_match_fifo</ipxact:displayName> + <ipxact:value>bypass_rm_fifo</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_rx_pcs_rate_match_fifo_latency" type="string"> + <ipxact:name>hssi_gen3_rx_pcs_rate_match_fifo_latency</ipxact:name> + <ipxact:displayName>hssi_gen3_rx_pcs_rate_match_fifo_latency</ipxact:displayName> + <ipxact:value>low_latency</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_rx_pcs_reverse_lpbk" type="string"> + <ipxact:name>hssi_gen3_rx_pcs_reverse_lpbk</ipxact:name> + <ipxact:displayName>hssi_gen3_rx_pcs_reverse_lpbk</ipxact:displayName> + <ipxact:value>rev_lpbk_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_rx_pcs_rx_b4gb_par_lpbk" type="string"> + <ipxact:name>hssi_gen3_rx_pcs_rx_b4gb_par_lpbk</ipxact:name> + <ipxact:displayName>hssi_gen3_rx_pcs_rx_b4gb_par_lpbk</ipxact:displayName> + <ipxact:value>b4gb_par_lpbk_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_rx_pcs_rx_force_balign" type="string"> + <ipxact:name>hssi_gen3_rx_pcs_rx_force_balign</ipxact:name> + <ipxact:displayName>hssi_gen3_rx_pcs_rx_force_balign</ipxact:displayName> + <ipxact:value>dis_force_balign</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_rx_pcs_rx_ins_del_one_skip" type="string"> + <ipxact:name>hssi_gen3_rx_pcs_rx_ins_del_one_skip</ipxact:name> + <ipxact:displayName>hssi_gen3_rx_pcs_rx_ins_del_one_skip</ipxact:displayName> + <ipxact:value>ins_del_one_skip_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_rx_pcs_rx_num_fixed_pat" type="int"> + <ipxact:name>hssi_gen3_rx_pcs_rx_num_fixed_pat</ipxact:name> + <ipxact:displayName>hssi_gen3_rx_pcs_rx_num_fixed_pat</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_rx_pcs_rx_test_out_sel" type="string"> + <ipxact:name>hssi_gen3_rx_pcs_rx_test_out_sel</ipxact:name> + <ipxact:displayName>hssi_gen3_rx_pcs_rx_test_out_sel</ipxact:displayName> + <ipxact:value>rx_test_out0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_rx_pcs_sup_mode" type="string"> + <ipxact:name>hssi_gen3_rx_pcs_sup_mode</ipxact:name> + <ipxact:displayName>hssi_gen3_rx_pcs_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_rx_pcs_silicon_rev" type="string"> + <ipxact:name>hssi_gen3_rx_pcs_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_gen3_rx_pcs_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_rx_pcs_reconfig_settings" type="string"> + <ipxact:name>hssi_gen3_rx_pcs_reconfig_settings</ipxact:name> + <ipxact:displayName>hssi_gen3_rx_pcs_reconfig_settings</ipxact:displayName> + <ipxact:value>{}</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_tx_pcs_mode" type="string"> + <ipxact:name>hssi_gen3_tx_pcs_mode</ipxact:name> + <ipxact:displayName>hssi_gen3_tx_pcs_mode</ipxact:displayName> + <ipxact:value>disable_pcs</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_tx_pcs_reverse_lpbk" type="string"> + <ipxact:name>hssi_gen3_tx_pcs_reverse_lpbk</ipxact:name> + <ipxact:displayName>hssi_gen3_tx_pcs_reverse_lpbk</ipxact:displayName> + <ipxact:value>rev_lpbk_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_tx_pcs_sup_mode" type="string"> + <ipxact:name>hssi_gen3_tx_pcs_sup_mode</ipxact:name> + <ipxact:displayName>hssi_gen3_tx_pcs_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_tx_pcs_tx_bitslip" type="int"> + <ipxact:name>hssi_gen3_tx_pcs_tx_bitslip</ipxact:name> + <ipxact:displayName>hssi_gen3_tx_pcs_tx_bitslip</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_tx_pcs_tx_gbox_byp" type="string"> + <ipxact:name>hssi_gen3_tx_pcs_tx_gbox_byp</ipxact:name> + <ipxact:displayName>hssi_gen3_tx_pcs_tx_gbox_byp</ipxact:displayName> + <ipxact:value>bypass_gbox</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_tx_pcs_silicon_rev" type="string"> + <ipxact:name>hssi_gen3_tx_pcs_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_gen3_tx_pcs_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_blksync_cor_en" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_blksync_cor_en</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_blksync_cor_en</ipxact:displayName> + <ipxact:value>detect</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_bypass_gb" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_bypass_gb</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_bypass_gb</ipxact:displayName> + <ipxact:value>bypass_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_clr_ctrl" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_clr_ctrl</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_clr_ctrl</ipxact:displayName> + <ipxact:value>both_enabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_ctrl_bit_reverse" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_ctrl_bit_reverse</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_ctrl_bit_reverse</ipxact:displayName> + <ipxact:value>ctrl_bit_reverse_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_data_bit_reverse" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_data_bit_reverse</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_data_bit_reverse</ipxact:displayName> + <ipxact:value>data_bit_reverse_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_dv_start" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_dv_start</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_dv_start</ipxact:displayName> + <ipxact:value>with_blklock</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_err_mark_type" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_err_mark_type</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_err_mark_type</ipxact:displayName> + <ipxact:value>err_mark_10g</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_error_marking_en" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_error_marking_en</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_error_marking_en</ipxact:displayName> + <ipxact:value>err_mark_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_low_latency_en" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_low_latency_en</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_low_latency_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_lpbk_mode" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_lpbk_mode</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_lpbk_mode</ipxact:displayName> + <ipxact:value>lpbk_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_parity_invalid_enum" type="int"> + <ipxact:name>hssi_krfec_rx_pcs_parity_invalid_enum</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_parity_invalid_enum</ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_parity_valid_num" type="int"> + <ipxact:name>hssi_krfec_rx_pcs_parity_valid_num</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_parity_valid_num</ipxact:displayName> + <ipxact:value>4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_pipeln_blksync" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_pipeln_blksync</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_pipeln_blksync</ipxact:displayName> + <ipxact:value>enable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_pipeln_descrm" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_pipeln_descrm</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_pipeln_descrm</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_pipeln_errcorrect" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_pipeln_errcorrect</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_pipeln_errcorrect</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_pipeln_errtrap_ind" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_pipeln_errtrap_ind</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_pipeln_errtrap_ind</ipxact:displayName> + <ipxact:value>enable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_pipeln_errtrap_lfsr" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_pipeln_errtrap_lfsr</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_pipeln_errtrap_lfsr</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_pipeln_errtrap_loc" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_pipeln_errtrap_loc</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_pipeln_errtrap_loc</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_pipeln_errtrap_pat" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_pipeln_errtrap_pat</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_pipeln_errtrap_pat</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_pipeln_gearbox" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_pipeln_gearbox</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_pipeln_gearbox</ipxact:displayName> + <ipxact:value>enable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_pipeln_syndrm" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_pipeln_syndrm</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_pipeln_syndrm</ipxact:displayName> + <ipxact:value>enable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_pipeln_trans_dec" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_pipeln_trans_dec</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_pipeln_trans_dec</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_prot_mode" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_prot_mode</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_prot_mode</ipxact:displayName> + <ipxact:value>disable_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_receive_order" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_receive_order</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_receive_order</ipxact:displayName> + <ipxact:value>receive_lsb</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_rx_testbus_sel" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_rx_testbus_sel</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_rx_testbus_sel</ipxact:displayName> + <ipxact:value>overall</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_signal_ok_en" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_signal_ok_en</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_signal_ok_en</ipxact:displayName> + <ipxact:value>sig_ok_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_sup_mode" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_sup_mode</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_silicon_rev" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_reconfig_settings" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_reconfig_settings</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_reconfig_settings</ipxact:displayName> + <ipxact:value>{}</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_tx_pcs_burst_err" type="string"> + <ipxact:name>hssi_krfec_tx_pcs_burst_err</ipxact:name> + <ipxact:displayName>hssi_krfec_tx_pcs_burst_err</ipxact:displayName> + <ipxact:value>burst_err_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_tx_pcs_burst_err_len" type="string"> + <ipxact:name>hssi_krfec_tx_pcs_burst_err_len</ipxact:name> + <ipxact:displayName>hssi_krfec_tx_pcs_burst_err_len</ipxact:displayName> + <ipxact:value>burst_err_len1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_tx_pcs_ctrl_bit_reverse" type="string"> + <ipxact:name>hssi_krfec_tx_pcs_ctrl_bit_reverse</ipxact:name> + <ipxact:displayName>hssi_krfec_tx_pcs_ctrl_bit_reverse</ipxact:displayName> + <ipxact:value>ctrl_bit_reverse_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_tx_pcs_data_bit_reverse" type="string"> + <ipxact:name>hssi_krfec_tx_pcs_data_bit_reverse</ipxact:name> + <ipxact:displayName>hssi_krfec_tx_pcs_data_bit_reverse</ipxact:displayName> + <ipxact:value>data_bit_reverse_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_tx_pcs_enc_frame_query" type="string"> + <ipxact:name>hssi_krfec_tx_pcs_enc_frame_query</ipxact:name> + <ipxact:displayName>hssi_krfec_tx_pcs_enc_frame_query</ipxact:displayName> + <ipxact:value>enc_query_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_tx_pcs_low_latency_en" type="string"> + <ipxact:name>hssi_krfec_tx_pcs_low_latency_en</ipxact:name> + <ipxact:displayName>hssi_krfec_tx_pcs_low_latency_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_tx_pcs_pipeln_encoder" type="string"> + <ipxact:name>hssi_krfec_tx_pcs_pipeln_encoder</ipxact:name> + <ipxact:displayName>hssi_krfec_tx_pcs_pipeln_encoder</ipxact:displayName> + <ipxact:value>enable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_tx_pcs_pipeln_scrambler" type="string"> + <ipxact:name>hssi_krfec_tx_pcs_pipeln_scrambler</ipxact:name> + <ipxact:displayName>hssi_krfec_tx_pcs_pipeln_scrambler</ipxact:displayName> + <ipxact:value>enable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_tx_pcs_prot_mode" type="string"> + <ipxact:name>hssi_krfec_tx_pcs_prot_mode</ipxact:name> + <ipxact:displayName>hssi_krfec_tx_pcs_prot_mode</ipxact:displayName> + <ipxact:value>disable_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_tx_pcs_sup_mode" type="string"> + <ipxact:name>hssi_krfec_tx_pcs_sup_mode</ipxact:name> + <ipxact:displayName>hssi_krfec_tx_pcs_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_tx_pcs_transcode_err" type="string"> + <ipxact:name>hssi_krfec_tx_pcs_transcode_err</ipxact:name> + <ipxact:displayName>hssi_krfec_tx_pcs_transcode_err</ipxact:displayName> + <ipxact:value>trans_err_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_tx_pcs_transmit_order" type="string"> + <ipxact:name>hssi_krfec_tx_pcs_transmit_order</ipxact:name> + <ipxact:displayName>hssi_krfec_tx_pcs_transmit_order</ipxact:displayName> + <ipxact:value>transmit_lsb</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_tx_pcs_tx_testbus_sel" type="string"> + <ipxact:name>hssi_krfec_tx_pcs_tx_testbus_sel</ipxact:name> + <ipxact:displayName>hssi_krfec_tx_pcs_tx_testbus_sel</ipxact:displayName> + <ipxact:value>overall</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_tx_pcs_silicon_rev" type="string"> + <ipxact:name>hssi_krfec_tx_pcs_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_krfec_tx_pcs_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_align_del" type="string"> + <ipxact:name>hssi_10g_rx_pcs_align_del</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_align_del</ipxact:displayName> + <ipxact:value>align_del_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_ber_bit_err_total_cnt" type="string"> + <ipxact:name>hssi_10g_rx_pcs_ber_bit_err_total_cnt</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_ber_bit_err_total_cnt</ipxact:displayName> + <ipxact:value>bit_err_total_cnt_10g</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_ber_clken" type="string"> + <ipxact:name>hssi_10g_rx_pcs_ber_clken</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_ber_clken</ipxact:displayName> + <ipxact:value>ber_clk_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_ber_xus_timer_window" type="int"> + <ipxact:name>hssi_10g_rx_pcs_ber_xus_timer_window</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_ber_xus_timer_window</ipxact:displayName> + <ipxact:value>19530</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_bitslip_mode" type="string"> + <ipxact:name>hssi_10g_rx_pcs_bitslip_mode</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_bitslip_mode</ipxact:displayName> + <ipxact:value>bitslip_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_blksync_bitslip_type" type="string"> + <ipxact:name>hssi_10g_rx_pcs_blksync_bitslip_type</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_blksync_bitslip_type</ipxact:displayName> + <ipxact:value>bitslip_comb</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_blksync_bitslip_wait_cnt" type="int"> + <ipxact:name>hssi_10g_rx_pcs_blksync_bitslip_wait_cnt</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_blksync_bitslip_wait_cnt</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_blksync_bitslip_wait_type" type="string"> + <ipxact:name>hssi_10g_rx_pcs_blksync_bitslip_wait_type</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_blksync_bitslip_wait_type</ipxact:displayName> + <ipxact:value>bitslip_cnt</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_blksync_bypass" type="string"> + <ipxact:name>hssi_10g_rx_pcs_blksync_bypass</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_blksync_bypass</ipxact:displayName> + <ipxact:value>blksync_bypass_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_blksync_clken" type="string"> + <ipxact:name>hssi_10g_rx_pcs_blksync_clken</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_blksync_clken</ipxact:displayName> + <ipxact:value>blksync_clk_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_blksync_enum_invalid_sh_cnt" type="string"> + <ipxact:name>hssi_10g_rx_pcs_blksync_enum_invalid_sh_cnt</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_blksync_enum_invalid_sh_cnt</ipxact:displayName> + <ipxact:value>enum_invalid_sh_cnt_10g</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_blksync_knum_sh_cnt_postlock" type="string"> + <ipxact:name>hssi_10g_rx_pcs_blksync_knum_sh_cnt_postlock</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_blksync_knum_sh_cnt_postlock</ipxact:displayName> + <ipxact:value>knum_sh_cnt_postlock_10g</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_blksync_knum_sh_cnt_prelock" type="string"> + <ipxact:name>hssi_10g_rx_pcs_blksync_knum_sh_cnt_prelock</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_blksync_knum_sh_cnt_prelock</ipxact:displayName> + <ipxact:value>knum_sh_cnt_prelock_10g</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_blksync_pipeln" type="string"> + <ipxact:name>hssi_10g_rx_pcs_blksync_pipeln</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_blksync_pipeln</ipxact:displayName> + <ipxact:value>blksync_pipeln_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_clr_errblk_cnt_en" type="string"> + <ipxact:name>hssi_10g_rx_pcs_clr_errblk_cnt_en</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_clr_errblk_cnt_en</ipxact:displayName> + <ipxact:value>enable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_control_del" type="string"> + <ipxact:name>hssi_10g_rx_pcs_control_del</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_control_del</ipxact:displayName> + <ipxact:value>control_del_none</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_crcchk_bypass" type="string"> + <ipxact:name>hssi_10g_rx_pcs_crcchk_bypass</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_crcchk_bypass</ipxact:displayName> + <ipxact:value>crcchk_bypass_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_crcchk_clken" type="string"> + <ipxact:name>hssi_10g_rx_pcs_crcchk_clken</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_crcchk_clken</ipxact:displayName> + <ipxact:value>crcchk_clk_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_crcchk_inv" type="string"> + <ipxact:name>hssi_10g_rx_pcs_crcchk_inv</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_crcchk_inv</ipxact:displayName> + <ipxact:value>crcchk_inv_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_crcchk_pipeln" type="string"> + <ipxact:name>hssi_10g_rx_pcs_crcchk_pipeln</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_crcchk_pipeln</ipxact:displayName> + <ipxact:value>crcchk_pipeln_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_crcflag_pipeln" type="string"> + <ipxact:name>hssi_10g_rx_pcs_crcflag_pipeln</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_crcflag_pipeln</ipxact:displayName> + <ipxact:value>crcflag_pipeln_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_ctrl_bit_reverse" type="string"> + <ipxact:name>hssi_10g_rx_pcs_ctrl_bit_reverse</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_ctrl_bit_reverse</ipxact:displayName> + <ipxact:value>ctrl_bit_reverse_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_data_bit_reverse" type="string"> + <ipxact:name>hssi_10g_rx_pcs_data_bit_reverse</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_data_bit_reverse</ipxact:displayName> + <ipxact:value>data_bit_reverse_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_dec_64b66b_rxsm_bypass" type="string"> + <ipxact:name>hssi_10g_rx_pcs_dec_64b66b_rxsm_bypass</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_dec_64b66b_rxsm_bypass</ipxact:displayName> + <ipxact:value>dec_64b66b_rxsm_bypass_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_dec64b66b_clken" type="string"> + <ipxact:name>hssi_10g_rx_pcs_dec64b66b_clken</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_dec64b66b_clken</ipxact:displayName> + <ipxact:value>dec64b66b_clk_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_descrm_bypass" type="string"> + <ipxact:name>hssi_10g_rx_pcs_descrm_bypass</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_descrm_bypass</ipxact:displayName> + <ipxact:value>descrm_bypass_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_descrm_clken" type="string"> + <ipxact:name>hssi_10g_rx_pcs_descrm_clken</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_descrm_clken</ipxact:displayName> + <ipxact:value>descrm_clk_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_descrm_mode" type="string"> + <ipxact:name>hssi_10g_rx_pcs_descrm_mode</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_descrm_mode</ipxact:displayName> + <ipxact:value>async</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_descrm_pipeln" type="string"> + <ipxact:name>hssi_10g_rx_pcs_descrm_pipeln</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_descrm_pipeln</ipxact:displayName> + <ipxact:value>enable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_dft_clk_out_sel" type="string"> + <ipxact:name>hssi_10g_rx_pcs_dft_clk_out_sel</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_dft_clk_out_sel</ipxact:displayName> + <ipxact:value>rx_master_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_dis_signal_ok" type="string"> + <ipxact:name>hssi_10g_rx_pcs_dis_signal_ok</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_dis_signal_ok</ipxact:displayName> + <ipxact:value>dis_signal_ok_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_dispchk_bypass" type="string"> + <ipxact:name>hssi_10g_rx_pcs_dispchk_bypass</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_dispchk_bypass</ipxact:displayName> + <ipxact:value>dispchk_bypass_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_empty_flag_type" type="string"> + <ipxact:name>hssi_10g_rx_pcs_empty_flag_type</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_empty_flag_type</ipxact:displayName> + <ipxact:value>empty_rd_side</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_fast_path" type="string"> + <ipxact:name>hssi_10g_rx_pcs_fast_path</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_fast_path</ipxact:displayName> + <ipxact:value>fast_path_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_fec_clken" type="string"> + <ipxact:name>hssi_10g_rx_pcs_fec_clken</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_fec_clken</ipxact:displayName> + <ipxact:value>fec_clk_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_fec_enable" type="string"> + <ipxact:name>hssi_10g_rx_pcs_fec_enable</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_fec_enable</ipxact:displayName> + <ipxact:value>fec_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_fifo_double_read" type="string"> + <ipxact:name>hssi_10g_rx_pcs_fifo_double_read</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_fifo_double_read</ipxact:displayName> + <ipxact:value>fifo_double_read_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_fifo_stop_rd" type="string"> + <ipxact:name>hssi_10g_rx_pcs_fifo_stop_rd</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_fifo_stop_rd</ipxact:displayName> + <ipxact:value>n_rd_empty</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_fifo_stop_wr" type="string"> + <ipxact:name>hssi_10g_rx_pcs_fifo_stop_wr</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_fifo_stop_wr</ipxact:displayName> + <ipxact:value>n_wr_full</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_force_align" type="string"> + <ipxact:name>hssi_10g_rx_pcs_force_align</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_force_align</ipxact:displayName> + <ipxact:value>force_align_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_frmsync_bypass" type="string"> + <ipxact:name>hssi_10g_rx_pcs_frmsync_bypass</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_frmsync_bypass</ipxact:displayName> + <ipxact:value>frmsync_bypass_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_frmsync_clken" type="string"> + <ipxact:name>hssi_10g_rx_pcs_frmsync_clken</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_frmsync_clken</ipxact:displayName> + <ipxact:value>frmsync_clk_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_frmsync_enum_scrm" type="string"> + <ipxact:name>hssi_10g_rx_pcs_frmsync_enum_scrm</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_frmsync_enum_scrm</ipxact:displayName> + <ipxact:value>enum_scrm_default</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_frmsync_enum_sync" type="string"> + <ipxact:name>hssi_10g_rx_pcs_frmsync_enum_sync</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_frmsync_enum_sync</ipxact:displayName> + <ipxact:value>enum_sync_default</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_frmsync_flag_type" type="string"> + <ipxact:name>hssi_10g_rx_pcs_frmsync_flag_type</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_frmsync_flag_type</ipxact:displayName> + <ipxact:value>location_only</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_frmsync_knum_sync" type="string"> + <ipxact:name>hssi_10g_rx_pcs_frmsync_knum_sync</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_frmsync_knum_sync</ipxact:displayName> + <ipxact:value>knum_sync_default</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_frmsync_mfrm_length" type="int"> + <ipxact:name>hssi_10g_rx_pcs_frmsync_mfrm_length</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_frmsync_mfrm_length</ipxact:displayName> + <ipxact:value>2048</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_frmsync_pipeln" type="string"> + <ipxact:name>hssi_10g_rx_pcs_frmsync_pipeln</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_frmsync_pipeln</ipxact:displayName> + <ipxact:value>frmsync_pipeln_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_full_flag_type" type="string"> + <ipxact:name>hssi_10g_rx_pcs_full_flag_type</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_full_flag_type</ipxact:displayName> + <ipxact:value>full_wr_side</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_gb_rx_idwidth" type="string"> + <ipxact:name>hssi_10g_rx_pcs_gb_rx_idwidth</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_gb_rx_idwidth</ipxact:displayName> + <ipxact:value>width_32</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_gb_rx_odwidth" type="string"> + <ipxact:name>hssi_10g_rx_pcs_gb_rx_odwidth</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_gb_rx_odwidth</ipxact:displayName> + <ipxact:value>width_66</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_gbexp_clken" type="string"> + <ipxact:name>hssi_10g_rx_pcs_gbexp_clken</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_gbexp_clken</ipxact:displayName> + <ipxact:value>gbexp_clk_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_low_latency_en" type="string"> + <ipxact:name>hssi_10g_rx_pcs_low_latency_en</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_low_latency_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_lpbk_mode" type="string"> + <ipxact:name>hssi_10g_rx_pcs_lpbk_mode</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_lpbk_mode</ipxact:displayName> + <ipxact:value>lpbk_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_master_clk_sel" type="string"> + <ipxact:name>hssi_10g_rx_pcs_master_clk_sel</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_master_clk_sel</ipxact:displayName> + <ipxact:value>master_rx_pma_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_pempty_flag_type" type="string"> + <ipxact:name>hssi_10g_rx_pcs_pempty_flag_type</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_pempty_flag_type</ipxact:displayName> + <ipxact:value>pempty_rd_side</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_pfull_flag_type" type="string"> + <ipxact:name>hssi_10g_rx_pcs_pfull_flag_type</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_pfull_flag_type</ipxact:displayName> + <ipxact:value>pfull_wr_side</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_phcomp_rd_del" type="string"> + <ipxact:name>hssi_10g_rx_pcs_phcomp_rd_del</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_phcomp_rd_del</ipxact:displayName> + <ipxact:value>phcomp_rd_del2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_pld_if_type" type="string"> + <ipxact:name>hssi_10g_rx_pcs_pld_if_type</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_pld_if_type</ipxact:displayName> + <ipxact:value>fifo</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_prot_mode" type="string"> + <ipxact:name>hssi_10g_rx_pcs_prot_mode</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_prot_mode</ipxact:displayName> + <ipxact:value>teng_baser_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_rand_clken" type="string"> + <ipxact:name>hssi_10g_rx_pcs_rand_clken</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_rand_clken</ipxact:displayName> + <ipxact:value>rand_clk_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_rd_clk_sel" type="string"> + <ipxact:name>hssi_10g_rx_pcs_rd_clk_sel</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_rd_clk_sel</ipxact:displayName> + <ipxact:value>rd_rx_pld_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_rdfifo_clken" type="string"> + <ipxact:name>hssi_10g_rx_pcs_rdfifo_clken</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_rdfifo_clken</ipxact:displayName> + <ipxact:value>rdfifo_clk_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_rx_fifo_write_ctrl" type="string"> + <ipxact:name>hssi_10g_rx_pcs_rx_fifo_write_ctrl</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_rx_fifo_write_ctrl</ipxact:displayName> + <ipxact:value>blklock_stops</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_rx_scrm_width" type="string"> + <ipxact:name>hssi_10g_rx_pcs_rx_scrm_width</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_rx_scrm_width</ipxact:displayName> + <ipxact:value>bit64</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_rx_sh_location" type="string"> + <ipxact:name>hssi_10g_rx_pcs_rx_sh_location</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_rx_sh_location</ipxact:displayName> + <ipxact:value>lsb</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_rx_signal_ok_sel" type="string"> + <ipxact:name>hssi_10g_rx_pcs_rx_signal_ok_sel</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_rx_signal_ok_sel</ipxact:displayName> + <ipxact:value>synchronized_ver</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_rx_sm_bypass" type="string"> + <ipxact:name>hssi_10g_rx_pcs_rx_sm_bypass</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_rx_sm_bypass</ipxact:displayName> + <ipxact:value>rx_sm_bypass_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_rx_sm_hiber" type="string"> + <ipxact:name>hssi_10g_rx_pcs_rx_sm_hiber</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_rx_sm_hiber</ipxact:displayName> + <ipxact:value>rx_sm_hiber_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_rx_sm_pipeln" type="string"> + <ipxact:name>hssi_10g_rx_pcs_rx_sm_pipeln</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_rx_sm_pipeln</ipxact:displayName> + <ipxact:value>rx_sm_pipeln_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_rx_testbus_sel" type="string"> + <ipxact:name>hssi_10g_rx_pcs_rx_testbus_sel</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_rx_testbus_sel</ipxact:displayName> + <ipxact:value>rx_fifo_testbus1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_rx_true_b2b" type="string"> + <ipxact:name>hssi_10g_rx_pcs_rx_true_b2b</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_rx_true_b2b</ipxact:displayName> + <ipxact:value>b2b</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_rxfifo_empty" type="string"> + <ipxact:name>hssi_10g_rx_pcs_rxfifo_empty</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_rxfifo_empty</ipxact:displayName> + <ipxact:value>empty_default</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_rxfifo_full" type="string"> + <ipxact:name>hssi_10g_rx_pcs_rxfifo_full</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_rxfifo_full</ipxact:displayName> + <ipxact:value>full_default</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_rxfifo_mode" type="string"> + <ipxact:name>hssi_10g_rx_pcs_rxfifo_mode</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_rxfifo_mode</ipxact:displayName> + <ipxact:value>clk_comp_10g</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_rxfifo_pempty" type="int"> + <ipxact:name>hssi_10g_rx_pcs_rxfifo_pempty</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_rxfifo_pempty</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_rxfifo_pfull" type="int"> + <ipxact:name>hssi_10g_rx_pcs_rxfifo_pfull</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_rxfifo_pfull</ipxact:displayName> + <ipxact:value>23</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_stretch_num_stages" type="string"> + <ipxact:name>hssi_10g_rx_pcs_stretch_num_stages</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_stretch_num_stages</ipxact:displayName> + <ipxact:value>two_stage</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_sup_mode" type="string"> + <ipxact:name>hssi_10g_rx_pcs_sup_mode</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_test_mode" type="string"> + <ipxact:name>hssi_10g_rx_pcs_test_mode</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_test_mode</ipxact:displayName> + <ipxact:value>test_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_wrfifo_clken" type="string"> + <ipxact:name>hssi_10g_rx_pcs_wrfifo_clken</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_wrfifo_clken</ipxact:displayName> + <ipxact:value>wrfifo_clk_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_advanced_user_mode" type="string"> + <ipxact:name>hssi_10g_rx_pcs_advanced_user_mode</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_advanced_user_mode</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_silicon_rev" type="string"> + <ipxact:name>hssi_10g_rx_pcs_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_reconfig_settings" type="string"> + <ipxact:name>hssi_10g_rx_pcs_reconfig_settings</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_reconfig_settings</ipxact:displayName> + <ipxact:value>{}</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_bitslip_en" type="string"> + <ipxact:name>hssi_10g_tx_pcs_bitslip_en</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_bitslip_en</ipxact:displayName> + <ipxact:value>bitslip_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_bonding_dft_en" type="string"> + <ipxact:name>hssi_10g_tx_pcs_bonding_dft_en</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_bonding_dft_en</ipxact:displayName> + <ipxact:value>dft_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_bonding_dft_val" type="string"> + <ipxact:name>hssi_10g_tx_pcs_bonding_dft_val</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_bonding_dft_val</ipxact:displayName> + <ipxact:value>dft_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_comp_cnt" type="int"> + <ipxact:name>hssi_10g_tx_pcs_comp_cnt</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_comp_cnt</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_compin_sel" type="string"> + <ipxact:name>hssi_10g_tx_pcs_compin_sel</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_compin_sel</ipxact:displayName> + <ipxact:value>compin_master</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_crcgen_bypass" type="string"> + <ipxact:name>hssi_10g_tx_pcs_crcgen_bypass</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_crcgen_bypass</ipxact:displayName> + <ipxact:value>crcgen_bypass_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_crcgen_clken" type="string"> + <ipxact:name>hssi_10g_tx_pcs_crcgen_clken</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_crcgen_clken</ipxact:displayName> + <ipxact:value>crcgen_clk_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_crcgen_err" type="string"> + <ipxact:name>hssi_10g_tx_pcs_crcgen_err</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_crcgen_err</ipxact:displayName> + <ipxact:value>crcgen_err_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_crcgen_inv" type="string"> + <ipxact:name>hssi_10g_tx_pcs_crcgen_inv</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_crcgen_inv</ipxact:displayName> + <ipxact:value>crcgen_inv_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_ctrl_bit_reverse" type="string"> + <ipxact:name>hssi_10g_tx_pcs_ctrl_bit_reverse</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_ctrl_bit_reverse</ipxact:displayName> + <ipxact:value>ctrl_bit_reverse_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_ctrl_plane_bonding" type="string"> + <ipxact:name>hssi_10g_tx_pcs_ctrl_plane_bonding</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_ctrl_plane_bonding</ipxact:displayName> + <ipxact:value>individual</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_data_bit_reverse" type="string"> + <ipxact:name>hssi_10g_tx_pcs_data_bit_reverse</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_data_bit_reverse</ipxact:displayName> + <ipxact:value>data_bit_reverse_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_dft_clk_out_sel" type="string"> + <ipxact:name>hssi_10g_tx_pcs_dft_clk_out_sel</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_dft_clk_out_sel</ipxact:displayName> + <ipxact:value>tx_master_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_dispgen_bypass" type="string"> + <ipxact:name>hssi_10g_tx_pcs_dispgen_bypass</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_dispgen_bypass</ipxact:displayName> + <ipxact:value>dispgen_bypass_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_dispgen_clken" type="string"> + <ipxact:name>hssi_10g_tx_pcs_dispgen_clken</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_dispgen_clken</ipxact:displayName> + <ipxact:value>dispgen_clk_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_dispgen_err" type="string"> + <ipxact:name>hssi_10g_tx_pcs_dispgen_err</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_dispgen_err</ipxact:displayName> + <ipxact:value>dispgen_err_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_dispgen_pipeln" type="string"> + <ipxact:name>hssi_10g_tx_pcs_dispgen_pipeln</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_dispgen_pipeln</ipxact:displayName> + <ipxact:value>dispgen_pipeln_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_distdwn_bypass_pipeln" type="string"> + <ipxact:name>hssi_10g_tx_pcs_distdwn_bypass_pipeln</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_distdwn_bypass_pipeln</ipxact:displayName> + <ipxact:value>distdwn_bypass_pipeln_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_distdwn_master" type="string"> + <ipxact:name>hssi_10g_tx_pcs_distdwn_master</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_distdwn_master</ipxact:displayName> + <ipxact:value>distdwn_master_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_distup_bypass_pipeln" type="string"> + <ipxact:name>hssi_10g_tx_pcs_distup_bypass_pipeln</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_distup_bypass_pipeln</ipxact:displayName> + <ipxact:value>distup_bypass_pipeln_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_distup_master" type="string"> + <ipxact:name>hssi_10g_tx_pcs_distup_master</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_distup_master</ipxact:displayName> + <ipxact:value>distup_master_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_dv_bond" type="string"> + <ipxact:name>hssi_10g_tx_pcs_dv_bond</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_dv_bond</ipxact:displayName> + <ipxact:value>dv_bond_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_empty_flag_type" type="string"> + <ipxact:name>hssi_10g_tx_pcs_empty_flag_type</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_empty_flag_type</ipxact:displayName> + <ipxact:value>empty_rd_side</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_enc_64b66b_txsm_bypass" type="string"> + <ipxact:name>hssi_10g_tx_pcs_enc_64b66b_txsm_bypass</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_enc_64b66b_txsm_bypass</ipxact:displayName> + <ipxact:value>enc_64b66b_txsm_bypass_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_enc64b66b_txsm_clken" type="string"> + <ipxact:name>hssi_10g_tx_pcs_enc64b66b_txsm_clken</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_enc64b66b_txsm_clken</ipxact:displayName> + <ipxact:value>enc64b66b_txsm_clk_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_fastpath" type="string"> + <ipxact:name>hssi_10g_tx_pcs_fastpath</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_fastpath</ipxact:displayName> + <ipxact:value>fastpath_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_fec_clken" type="string"> + <ipxact:name>hssi_10g_tx_pcs_fec_clken</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_fec_clken</ipxact:displayName> + <ipxact:value>fec_clk_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_fec_enable" type="string"> + <ipxact:name>hssi_10g_tx_pcs_fec_enable</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_fec_enable</ipxact:displayName> + <ipxact:value>fec_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_fifo_double_write" type="string"> + <ipxact:name>hssi_10g_tx_pcs_fifo_double_write</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_fifo_double_write</ipxact:displayName> + <ipxact:value>fifo_double_write_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_fifo_reg_fast" type="string"> + <ipxact:name>hssi_10g_tx_pcs_fifo_reg_fast</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_fifo_reg_fast</ipxact:displayName> + <ipxact:value>fifo_reg_fast_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_fifo_stop_rd" type="string"> + <ipxact:name>hssi_10g_tx_pcs_fifo_stop_rd</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_fifo_stop_rd</ipxact:displayName> + <ipxact:value>rd_empty</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_fifo_stop_wr" type="string"> + <ipxact:name>hssi_10g_tx_pcs_fifo_stop_wr</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_fifo_stop_wr</ipxact:displayName> + <ipxact:value>n_wr_full</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_frmgen_burst" type="string"> + <ipxact:name>hssi_10g_tx_pcs_frmgen_burst</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_frmgen_burst</ipxact:displayName> + <ipxact:value>frmgen_burst_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_frmgen_bypass" type="string"> + <ipxact:name>hssi_10g_tx_pcs_frmgen_bypass</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_frmgen_bypass</ipxact:displayName> + <ipxact:value>frmgen_bypass_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_frmgen_clken" type="string"> + <ipxact:name>hssi_10g_tx_pcs_frmgen_clken</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_frmgen_clken</ipxact:displayName> + <ipxact:value>frmgen_clk_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_frmgen_mfrm_length" type="int"> + <ipxact:name>hssi_10g_tx_pcs_frmgen_mfrm_length</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_frmgen_mfrm_length</ipxact:displayName> + <ipxact:value>2048</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_frmgen_pipeln" type="string"> + <ipxact:name>hssi_10g_tx_pcs_frmgen_pipeln</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_frmgen_pipeln</ipxact:displayName> + <ipxact:value>frmgen_pipeln_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_frmgen_pyld_ins" type="string"> + <ipxact:name>hssi_10g_tx_pcs_frmgen_pyld_ins</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_frmgen_pyld_ins</ipxact:displayName> + <ipxact:value>frmgen_pyld_ins_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_frmgen_wordslip" type="string"> + <ipxact:name>hssi_10g_tx_pcs_frmgen_wordslip</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_frmgen_wordslip</ipxact:displayName> + <ipxact:value>frmgen_wordslip_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_full_flag_type" type="string"> + <ipxact:name>hssi_10g_tx_pcs_full_flag_type</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_full_flag_type</ipxact:displayName> + <ipxact:value>full_wr_side</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_gb_pipeln_bypass" type="string"> + <ipxact:name>hssi_10g_tx_pcs_gb_pipeln_bypass</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_gb_pipeln_bypass</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_gb_tx_idwidth" type="string"> + <ipxact:name>hssi_10g_tx_pcs_gb_tx_idwidth</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_gb_tx_idwidth</ipxact:displayName> + <ipxact:value>width_66</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_gb_tx_odwidth" type="string"> + <ipxact:name>hssi_10g_tx_pcs_gb_tx_odwidth</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_gb_tx_odwidth</ipxact:displayName> + <ipxact:value>width_32</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_gbred_clken" type="string"> + <ipxact:name>hssi_10g_tx_pcs_gbred_clken</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_gbred_clken</ipxact:displayName> + <ipxact:value>gbred_clk_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_indv" type="string"> + <ipxact:name>hssi_10g_tx_pcs_indv</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_indv</ipxact:displayName> + <ipxact:value>indv_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_low_latency_en" type="string"> + <ipxact:name>hssi_10g_tx_pcs_low_latency_en</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_low_latency_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_master_clk_sel" type="string"> + <ipxact:name>hssi_10g_tx_pcs_master_clk_sel</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_master_clk_sel</ipxact:displayName> + <ipxact:value>master_tx_pma_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_pempty_flag_type" type="string"> + <ipxact:name>hssi_10g_tx_pcs_pempty_flag_type</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_pempty_flag_type</ipxact:displayName> + <ipxact:value>pempty_rd_side</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_pfull_flag_type" type="string"> + <ipxact:name>hssi_10g_tx_pcs_pfull_flag_type</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_pfull_flag_type</ipxact:displayName> + <ipxact:value>pfull_wr_side</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_phcomp_rd_del" type="string"> + <ipxact:name>hssi_10g_tx_pcs_phcomp_rd_del</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_phcomp_rd_del</ipxact:displayName> + <ipxact:value>phcomp_rd_del4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_pld_if_type" type="string"> + <ipxact:name>hssi_10g_tx_pcs_pld_if_type</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_pld_if_type</ipxact:displayName> + <ipxact:value>fifo</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_prot_mode" type="string"> + <ipxact:name>hssi_10g_tx_pcs_prot_mode</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_prot_mode</ipxact:displayName> + <ipxact:value>teng_baser_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_pseudo_random" type="string"> + <ipxact:name>hssi_10g_tx_pcs_pseudo_random</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_pseudo_random</ipxact:displayName> + <ipxact:value>all_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_pseudo_seed_a" type="string"> + <ipxact:name>hssi_10g_tx_pcs_pseudo_seed_a</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_pseudo_seed_a</ipxact:displayName> + <ipxact:value>288230376151711743</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_pseudo_seed_b" type="string"> + <ipxact:name>hssi_10g_tx_pcs_pseudo_seed_b</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_pseudo_seed_b</ipxact:displayName> + <ipxact:value>288230376151711743</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_random_disp" type="string"> + <ipxact:name>hssi_10g_tx_pcs_random_disp</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_random_disp</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_rdfifo_clken" type="string"> + <ipxact:name>hssi_10g_tx_pcs_rdfifo_clken</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_rdfifo_clken</ipxact:displayName> + <ipxact:value>rdfifo_clk_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_scrm_bypass" type="string"> + <ipxact:name>hssi_10g_tx_pcs_scrm_bypass</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_scrm_bypass</ipxact:displayName> + <ipxact:value>scrm_bypass_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_scrm_clken" type="string"> + <ipxact:name>hssi_10g_tx_pcs_scrm_clken</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_scrm_clken</ipxact:displayName> + <ipxact:value>scrm_clk_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_scrm_mode" type="string"> + <ipxact:name>hssi_10g_tx_pcs_scrm_mode</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_scrm_mode</ipxact:displayName> + <ipxact:value>async</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_scrm_pipeln" type="string"> + <ipxact:name>hssi_10g_tx_pcs_scrm_pipeln</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_scrm_pipeln</ipxact:displayName> + <ipxact:value>enable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_sh_err" type="string"> + <ipxact:name>hssi_10g_tx_pcs_sh_err</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_sh_err</ipxact:displayName> + <ipxact:value>sh_err_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_sop_mark" type="string"> + <ipxact:name>hssi_10g_tx_pcs_sop_mark</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_sop_mark</ipxact:displayName> + <ipxact:value>sop_mark_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_stretch_num_stages" type="string"> + <ipxact:name>hssi_10g_tx_pcs_stretch_num_stages</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_stretch_num_stages</ipxact:displayName> + <ipxact:value>two_stage</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_sup_mode" type="string"> + <ipxact:name>hssi_10g_tx_pcs_sup_mode</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_test_mode" type="string"> + <ipxact:name>hssi_10g_tx_pcs_test_mode</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_test_mode</ipxact:displayName> + <ipxact:value>test_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_tx_scrm_err" type="string"> + <ipxact:name>hssi_10g_tx_pcs_tx_scrm_err</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_tx_scrm_err</ipxact:displayName> + <ipxact:value>scrm_err_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_tx_scrm_width" type="string"> + <ipxact:name>hssi_10g_tx_pcs_tx_scrm_width</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_tx_scrm_width</ipxact:displayName> + <ipxact:value>bit64</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_tx_sh_location" type="string"> + <ipxact:name>hssi_10g_tx_pcs_tx_sh_location</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_tx_sh_location</ipxact:displayName> + <ipxact:value>lsb</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_tx_sm_bypass" type="string"> + <ipxact:name>hssi_10g_tx_pcs_tx_sm_bypass</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_tx_sm_bypass</ipxact:displayName> + <ipxact:value>tx_sm_bypass_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_tx_sm_pipeln" type="string"> + <ipxact:name>hssi_10g_tx_pcs_tx_sm_pipeln</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_tx_sm_pipeln</ipxact:displayName> + <ipxact:value>tx_sm_pipeln_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_tx_testbus_sel" type="string"> + <ipxact:name>hssi_10g_tx_pcs_tx_testbus_sel</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_tx_testbus_sel</ipxact:displayName> + <ipxact:value>tx_fifo_testbus1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_txfifo_empty" type="string"> + <ipxact:name>hssi_10g_tx_pcs_txfifo_empty</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_txfifo_empty</ipxact:displayName> + <ipxact:value>empty_default</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_txfifo_full" type="string"> + <ipxact:name>hssi_10g_tx_pcs_txfifo_full</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_txfifo_full</ipxact:displayName> + <ipxact:value>full_default</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_txfifo_mode" type="string"> + <ipxact:name>hssi_10g_tx_pcs_txfifo_mode</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_txfifo_mode</ipxact:displayName> + <ipxact:value>phase_comp</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_txfifo_pempty" type="int"> + <ipxact:name>hssi_10g_tx_pcs_txfifo_pempty</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_txfifo_pempty</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_txfifo_pfull" type="int"> + <ipxact:name>hssi_10g_tx_pcs_txfifo_pfull</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_txfifo_pfull</ipxact:displayName> + <ipxact:value>11</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_wr_clk_sel" type="string"> + <ipxact:name>hssi_10g_tx_pcs_wr_clk_sel</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_wr_clk_sel</ipxact:displayName> + <ipxact:value>wr_tx_pld_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_wrfifo_clken" type="string"> + <ipxact:name>hssi_10g_tx_pcs_wrfifo_clken</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_wrfifo_clken</ipxact:displayName> + <ipxact:value>wrfifo_clk_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_advanced_user_mode" type="string"> + <ipxact:name>hssi_10g_tx_pcs_advanced_user_mode</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_advanced_user_mode</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_silicon_rev" type="string"> + <ipxact:name>hssi_10g_tx_pcs_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_reconfig_settings" type="string"> + <ipxact:name>hssi_10g_tx_pcs_reconfig_settings</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_reconfig_settings</ipxact:displayName> + <ipxact:value>{}</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_auto_error_replacement" type="string"> + <ipxact:name>hssi_8g_rx_pcs_auto_error_replacement</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_auto_error_replacement</ipxact:displayName> + <ipxact:value>dis_err_replace</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_auto_speed_nego" type="string"> + <ipxact:name>hssi_8g_rx_pcs_auto_speed_nego</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_auto_speed_nego</ipxact:displayName> + <ipxact:value>dis_asn</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_bit_reversal" type="string"> + <ipxact:name>hssi_8g_rx_pcs_bit_reversal</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_bit_reversal</ipxact:displayName> + <ipxact:value>dis_bit_reversal</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_bonding_dft_en" type="string"> + <ipxact:name>hssi_8g_rx_pcs_bonding_dft_en</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_bonding_dft_en</ipxact:displayName> + <ipxact:value>dft_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_bonding_dft_val" type="string"> + <ipxact:name>hssi_8g_rx_pcs_bonding_dft_val</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_bonding_dft_val</ipxact:displayName> + <ipxact:value>dft_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_bypass_pipeline_reg" type="string"> + <ipxact:name>hssi_8g_rx_pcs_bypass_pipeline_reg</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_bypass_pipeline_reg</ipxact:displayName> + <ipxact:value>dis_bypass_pipeline</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_byte_deserializer" type="string"> + <ipxact:name>hssi_8g_rx_pcs_byte_deserializer</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_byte_deserializer</ipxact:displayName> + <ipxact:value>dis_bds</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_cdr_ctrl_rxvalid_mask" type="string"> + <ipxact:name>hssi_8g_rx_pcs_cdr_ctrl_rxvalid_mask</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_cdr_ctrl_rxvalid_mask</ipxact:displayName> + <ipxact:value>dis_rxvalid_mask</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_clkcmp_pattern_n" type="int"> + <ipxact:name>hssi_8g_rx_pcs_clkcmp_pattern_n</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_clkcmp_pattern_n</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_clkcmp_pattern_p" type="int"> + <ipxact:name>hssi_8g_rx_pcs_clkcmp_pattern_p</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_clkcmp_pattern_p</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_clock_gate_bds_dec_asn" type="string"> + <ipxact:name>hssi_8g_rx_pcs_clock_gate_bds_dec_asn</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_clock_gate_bds_dec_asn</ipxact:displayName> + <ipxact:value>en_bds_dec_asn_clk_gating</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_clock_gate_cdr_eidle" type="string"> + <ipxact:name>hssi_8g_rx_pcs_clock_gate_cdr_eidle</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_clock_gate_cdr_eidle</ipxact:displayName> + <ipxact:value>en_cdr_eidle_clk_gating</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_clock_gate_dw_pc_wrclk" type="string"> + <ipxact:name>hssi_8g_rx_pcs_clock_gate_dw_pc_wrclk</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_clock_gate_dw_pc_wrclk</ipxact:displayName> + <ipxact:value>en_dw_pc_wrclk_gating</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_clock_gate_dw_rm_rd" type="string"> + <ipxact:name>hssi_8g_rx_pcs_clock_gate_dw_rm_rd</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_clock_gate_dw_rm_rd</ipxact:displayName> + <ipxact:value>en_dw_rm_rdclk_gating</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_clock_gate_dw_rm_wr" type="string"> + <ipxact:name>hssi_8g_rx_pcs_clock_gate_dw_rm_wr</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_clock_gate_dw_rm_wr</ipxact:displayName> + <ipxact:value>en_dw_rm_wrclk_gating</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_clock_gate_dw_wa" type="string"> + <ipxact:name>hssi_8g_rx_pcs_clock_gate_dw_wa</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_clock_gate_dw_wa</ipxact:displayName> + <ipxact:value>en_dw_wa_clk_gating</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_clock_gate_pc_rdclk" type="string"> + <ipxact:name>hssi_8g_rx_pcs_clock_gate_pc_rdclk</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_clock_gate_pc_rdclk</ipxact:displayName> + <ipxact:value>en_pc_rdclk_gating</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_clock_gate_sw_pc_wrclk" type="string"> + <ipxact:name>hssi_8g_rx_pcs_clock_gate_sw_pc_wrclk</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_clock_gate_sw_pc_wrclk</ipxact:displayName> + <ipxact:value>en_sw_pc_wrclk_gating</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_clock_gate_sw_rm_rd" type="string"> + <ipxact:name>hssi_8g_rx_pcs_clock_gate_sw_rm_rd</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_clock_gate_sw_rm_rd</ipxact:displayName> + <ipxact:value>en_sw_rm_rdclk_gating</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_clock_gate_sw_rm_wr" type="string"> + <ipxact:name>hssi_8g_rx_pcs_clock_gate_sw_rm_wr</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_clock_gate_sw_rm_wr</ipxact:displayName> + <ipxact:value>en_sw_rm_wrclk_gating</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_clock_gate_sw_wa" type="string"> + <ipxact:name>hssi_8g_rx_pcs_clock_gate_sw_wa</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_clock_gate_sw_wa</ipxact:displayName> + <ipxact:value>en_sw_wa_clk_gating</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_clock_observation_in_pld_core" type="string"> + <ipxact:name>hssi_8g_rx_pcs_clock_observation_in_pld_core</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_clock_observation_in_pld_core</ipxact:displayName> + <ipxact:value>internal_sw_wa_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_ctrl_plane_bonding_compensation" type="string"> + <ipxact:name>hssi_8g_rx_pcs_ctrl_plane_bonding_compensation</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_ctrl_plane_bonding_compensation</ipxact:displayName> + <ipxact:value>dis_compensation</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_ctrl_plane_bonding_consumption" type="string"> + <ipxact:name>hssi_8g_rx_pcs_ctrl_plane_bonding_consumption</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_ctrl_plane_bonding_consumption</ipxact:displayName> + <ipxact:value>individual</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_ctrl_plane_bonding_distribution" type="string"> + <ipxact:name>hssi_8g_rx_pcs_ctrl_plane_bonding_distribution</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_ctrl_plane_bonding_distribution</ipxact:displayName> + <ipxact:value>not_master_chnl_distr</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_eidle_entry_eios" type="string"> + <ipxact:name>hssi_8g_rx_pcs_eidle_entry_eios</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_eidle_entry_eios</ipxact:displayName> + <ipxact:value>dis_eidle_eios</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_eidle_entry_iei" type="string"> + <ipxact:name>hssi_8g_rx_pcs_eidle_entry_iei</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_eidle_entry_iei</ipxact:displayName> + <ipxact:value>dis_eidle_iei</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_eidle_entry_sd" type="string"> + <ipxact:name>hssi_8g_rx_pcs_eidle_entry_sd</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_eidle_entry_sd</ipxact:displayName> + <ipxact:value>dis_eidle_sd</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_eightb_tenb_decoder" type="string"> + <ipxact:name>hssi_8g_rx_pcs_eightb_tenb_decoder</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_eightb_tenb_decoder</ipxact:displayName> + <ipxact:value>en_8b10b_ibm</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_err_flags_sel" type="string"> + <ipxact:name>hssi_8g_rx_pcs_err_flags_sel</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_err_flags_sel</ipxact:displayName> + <ipxact:value>err_flags_wa</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_fixed_pat_det" type="string"> + <ipxact:name>hssi_8g_rx_pcs_fixed_pat_det</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_fixed_pat_det</ipxact:displayName> + <ipxact:value>dis_fixed_patdet</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_fixed_pat_num" type="int"> + <ipxact:name>hssi_8g_rx_pcs_fixed_pat_num</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_fixed_pat_num</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_force_signal_detect" type="string"> + <ipxact:name>hssi_8g_rx_pcs_force_signal_detect</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_force_signal_detect</ipxact:displayName> + <ipxact:value>en_force_signal_detect</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_gen3_clk_en" type="string"> + <ipxact:name>hssi_8g_rx_pcs_gen3_clk_en</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_gen3_clk_en</ipxact:displayName> + <ipxact:value>disable_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_gen3_rx_clk_sel" type="string"> + <ipxact:name>hssi_8g_rx_pcs_gen3_rx_clk_sel</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_gen3_rx_clk_sel</ipxact:displayName> + <ipxact:value>rcvd_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_gen3_tx_clk_sel" type="string"> + <ipxact:name>hssi_8g_rx_pcs_gen3_tx_clk_sel</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_gen3_tx_clk_sel</ipxact:displayName> + <ipxact:value>tx_pma_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_hip_mode" type="string"> + <ipxact:name>hssi_8g_rx_pcs_hip_mode</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_hip_mode</ipxact:displayName> + <ipxact:value>dis_hip</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_ibm_invalid_code" type="string"> + <ipxact:name>hssi_8g_rx_pcs_ibm_invalid_code</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_ibm_invalid_code</ipxact:displayName> + <ipxact:value>dis_ibm_invalid_code</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_invalid_code_flag_only" type="string"> + <ipxact:name>hssi_8g_rx_pcs_invalid_code_flag_only</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_invalid_code_flag_only</ipxact:displayName> + <ipxact:value>dis_invalid_code_only</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_pad_or_edb_error_replace" type="string"> + <ipxact:name>hssi_8g_rx_pcs_pad_or_edb_error_replace</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_pad_or_edb_error_replace</ipxact:displayName> + <ipxact:value>replace_edb</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_pcs_bypass" type="string"> + <ipxact:name>hssi_8g_rx_pcs_pcs_bypass</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_pcs_bypass</ipxact:displayName> + <ipxact:value>dis_pcs_bypass</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_phase_comp_rdptr" type="string"> + <ipxact:name>hssi_8g_rx_pcs_phase_comp_rdptr</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_phase_comp_rdptr</ipxact:displayName> + <ipxact:value>disable_rdptr</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_phase_compensation_fifo" type="string"> + <ipxact:name>hssi_8g_rx_pcs_phase_compensation_fifo</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_phase_compensation_fifo</ipxact:displayName> + <ipxact:value>low_latency</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_pipe_if_enable" type="string"> + <ipxact:name>hssi_8g_rx_pcs_pipe_if_enable</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_pipe_if_enable</ipxact:displayName> + <ipxact:value>dis_pipe_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_pma_dw" type="string"> + <ipxact:name>hssi_8g_rx_pcs_pma_dw</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_pma_dw</ipxact:displayName> + <ipxact:value>ten_bit</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_polinv_8b10b_dec" type="string"> + <ipxact:name>hssi_8g_rx_pcs_polinv_8b10b_dec</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_polinv_8b10b_dec</ipxact:displayName> + <ipxact:value>dis_polinv_8b10b_dec</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_prot_mode" type="string"> + <ipxact:name>hssi_8g_rx_pcs_prot_mode</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_prot_mode</ipxact:displayName> + <ipxact:value>disabled_prot_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_rate_match" type="string"> + <ipxact:name>hssi_8g_rx_pcs_rate_match</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_rate_match</ipxact:displayName> + <ipxact:value>dis_rm</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_rate_match_del_thres" type="string"> + <ipxact:name>hssi_8g_rx_pcs_rate_match_del_thres</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_rate_match_del_thres</ipxact:displayName> + <ipxact:value>dis_rm_del_thres</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_rate_match_empty_thres" type="string"> + <ipxact:name>hssi_8g_rx_pcs_rate_match_empty_thres</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_rate_match_empty_thres</ipxact:displayName> + <ipxact:value>dis_rm_empty_thres</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_rate_match_full_thres" type="string"> + <ipxact:name>hssi_8g_rx_pcs_rate_match_full_thres</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_rate_match_full_thres</ipxact:displayName> + <ipxact:value>dis_rm_full_thres</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_rate_match_ins_thres" type="string"> + <ipxact:name>hssi_8g_rx_pcs_rate_match_ins_thres</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_rate_match_ins_thres</ipxact:displayName> + <ipxact:value>dis_rm_ins_thres</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_rate_match_start_thres" type="string"> + <ipxact:name>hssi_8g_rx_pcs_rate_match_start_thres</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_rate_match_start_thres</ipxact:displayName> + <ipxact:value>dis_rm_start_thres</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_rx_clk_free_running" type="string"> + <ipxact:name>hssi_8g_rx_pcs_rx_clk_free_running</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_rx_clk_free_running</ipxact:displayName> + <ipxact:value>en_rx_clk_free_run</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_rx_clk2" type="string"> + <ipxact:name>hssi_8g_rx_pcs_rx_clk2</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_rx_clk2</ipxact:displayName> + <ipxact:value>rcvd_clk_clk2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_rx_pcs_urst" type="string"> + <ipxact:name>hssi_8g_rx_pcs_rx_pcs_urst</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_rx_pcs_urst</ipxact:displayName> + <ipxact:value>en_rx_pcs_urst</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_rx_rcvd_clk" type="string"> + <ipxact:name>hssi_8g_rx_pcs_rx_rcvd_clk</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_rx_rcvd_clk</ipxact:displayName> + <ipxact:value>rcvd_clk_rcvd_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_rx_rd_clk" type="string"> + <ipxact:name>hssi_8g_rx_pcs_rx_rd_clk</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_rx_rd_clk</ipxact:displayName> + <ipxact:value>pld_rx_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_rx_refclk" type="string"> + <ipxact:name>hssi_8g_rx_pcs_rx_refclk</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_rx_refclk</ipxact:displayName> + <ipxact:value>dis_refclk_sel</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_rx_wr_clk" type="string"> + <ipxact:name>hssi_8g_rx_pcs_rx_wr_clk</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_rx_wr_clk</ipxact:displayName> + <ipxact:value>rx_clk2_div_1_2_4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_sup_mode" type="string"> + <ipxact:name>hssi_8g_rx_pcs_sup_mode</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_symbol_swap" type="string"> + <ipxact:name>hssi_8g_rx_pcs_symbol_swap</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_symbol_swap</ipxact:displayName> + <ipxact:value>dis_symbol_swap</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_sync_sm_idle_eios" type="string"> + <ipxact:name>hssi_8g_rx_pcs_sync_sm_idle_eios</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_sync_sm_idle_eios</ipxact:displayName> + <ipxact:value>dis_syncsm_idle</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_test_bus_sel" type="string"> + <ipxact:name>hssi_8g_rx_pcs_test_bus_sel</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_test_bus_sel</ipxact:displayName> + <ipxact:value>tx_testbus</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_tx_rx_parallel_loopback" type="string"> + <ipxact:name>hssi_8g_rx_pcs_tx_rx_parallel_loopback</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_tx_rx_parallel_loopback</ipxact:displayName> + <ipxact:value>dis_plpbk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_wa_boundary_lock_ctrl" type="string"> + <ipxact:name>hssi_8g_rx_pcs_wa_boundary_lock_ctrl</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_wa_boundary_lock_ctrl</ipxact:displayName> + <ipxact:value>sync_sm</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_wa_clk_slip_spacing" type="int"> + <ipxact:name>hssi_8g_rx_pcs_wa_clk_slip_spacing</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_wa_clk_slip_spacing</ipxact:displayName> + <ipxact:value>16</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_wa_det_latency_sync_status_beh" type="string"> + <ipxact:name>hssi_8g_rx_pcs_wa_det_latency_sync_status_beh</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_wa_det_latency_sync_status_beh</ipxact:displayName> + <ipxact:value>dont_care_assert_sync</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_wa_disp_err_flag" type="string"> + <ipxact:name>hssi_8g_rx_pcs_wa_disp_err_flag</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_wa_disp_err_flag</ipxact:displayName> + <ipxact:value>en_disp_err_flag</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_wa_kchar" type="string"> + <ipxact:name>hssi_8g_rx_pcs_wa_kchar</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_wa_kchar</ipxact:displayName> + <ipxact:value>dis_kchar</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_wa_pd" type="string"> + <ipxact:name>hssi_8g_rx_pcs_wa_pd</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_wa_pd</ipxact:displayName> + <ipxact:value>wa_pd_10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_wa_pd_data" type="string"> + <ipxact:name>hssi_8g_rx_pcs_wa_pd_data</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_wa_pd_data</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_wa_pd_polarity" type="string"> + <ipxact:name>hssi_8g_rx_pcs_wa_pd_polarity</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_wa_pd_polarity</ipxact:displayName> + <ipxact:value>dont_care_both_pol</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_wa_pld_controlled" type="string"> + <ipxact:name>hssi_8g_rx_pcs_wa_pld_controlled</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_wa_pld_controlled</ipxact:displayName> + <ipxact:value>dis_pld_ctrl</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_wa_renumber_data" type="int"> + <ipxact:name>hssi_8g_rx_pcs_wa_renumber_data</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_wa_renumber_data</ipxact:displayName> + <ipxact:value>3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_wa_rgnumber_data" type="int"> + <ipxact:name>hssi_8g_rx_pcs_wa_rgnumber_data</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_wa_rgnumber_data</ipxact:displayName> + <ipxact:value>3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_wa_rknumber_data" type="int"> + <ipxact:name>hssi_8g_rx_pcs_wa_rknumber_data</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_wa_rknumber_data</ipxact:displayName> + <ipxact:value>3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_wa_rosnumber_data" type="int"> + <ipxact:name>hssi_8g_rx_pcs_wa_rosnumber_data</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_wa_rosnumber_data</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_wa_rvnumber_data" type="int"> + <ipxact:name>hssi_8g_rx_pcs_wa_rvnumber_data</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_wa_rvnumber_data</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_wa_sync_sm_ctrl" type="string"> + <ipxact:name>hssi_8g_rx_pcs_wa_sync_sm_ctrl</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_wa_sync_sm_ctrl</ipxact:displayName> + <ipxact:value>gige_sync_sm</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_wait_cnt" type="int"> + <ipxact:name>hssi_8g_rx_pcs_wait_cnt</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_wait_cnt</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_silicon_rev" type="string"> + <ipxact:name>hssi_8g_rx_pcs_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_reconfig_settings" type="string"> + <ipxact:name>hssi_8g_rx_pcs_reconfig_settings</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_reconfig_settings</ipxact:displayName> + <ipxact:value>{}</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_auto_speed_nego_gen2" type="string"> + <ipxact:name>hssi_8g_tx_pcs_auto_speed_nego_gen2</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_auto_speed_nego_gen2</ipxact:displayName> + <ipxact:value>dis_asn_g2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_bit_reversal" type="string"> + <ipxact:name>hssi_8g_tx_pcs_bit_reversal</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_bit_reversal</ipxact:displayName> + <ipxact:value>dis_bit_reversal</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_bonding_dft_en" type="string"> + <ipxact:name>hssi_8g_tx_pcs_bonding_dft_en</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_bonding_dft_en</ipxact:displayName> + <ipxact:value>dft_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_bonding_dft_val" type="string"> + <ipxact:name>hssi_8g_tx_pcs_bonding_dft_val</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_bonding_dft_val</ipxact:displayName> + <ipxact:value>dft_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_bypass_pipeline_reg" type="string"> + <ipxact:name>hssi_8g_tx_pcs_bypass_pipeline_reg</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_bypass_pipeline_reg</ipxact:displayName> + <ipxact:value>dis_bypass_pipeline</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_byte_serializer" type="string"> + <ipxact:name>hssi_8g_tx_pcs_byte_serializer</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_byte_serializer</ipxact:displayName> + <ipxact:value>dis_bs</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_clock_gate_bs_enc" type="string"> + <ipxact:name>hssi_8g_tx_pcs_clock_gate_bs_enc</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_clock_gate_bs_enc</ipxact:displayName> + <ipxact:value>en_bs_enc_clk_gating</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_clock_gate_dw_fifowr" type="string"> + <ipxact:name>hssi_8g_tx_pcs_clock_gate_dw_fifowr</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_clock_gate_dw_fifowr</ipxact:displayName> + <ipxact:value>en_dw_fifowr_clk_gating</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_clock_gate_fiford" type="string"> + <ipxact:name>hssi_8g_tx_pcs_clock_gate_fiford</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_clock_gate_fiford</ipxact:displayName> + <ipxact:value>en_fiford_clk_gating</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_clock_gate_sw_fifowr" type="string"> + <ipxact:name>hssi_8g_tx_pcs_clock_gate_sw_fifowr</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_clock_gate_sw_fifowr</ipxact:displayName> + <ipxact:value>en_sw_fifowr_clk_gating</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_clock_observation_in_pld_core" type="string"> + <ipxact:name>hssi_8g_tx_pcs_clock_observation_in_pld_core</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_clock_observation_in_pld_core</ipxact:displayName> + <ipxact:value>internal_refclk_b</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_ctrl_plane_bonding_compensation" type="string"> + <ipxact:name>hssi_8g_tx_pcs_ctrl_plane_bonding_compensation</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_ctrl_plane_bonding_compensation</ipxact:displayName> + <ipxact:value>dis_compensation</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_ctrl_plane_bonding_consumption" type="string"> + <ipxact:name>hssi_8g_tx_pcs_ctrl_plane_bonding_consumption</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_ctrl_plane_bonding_consumption</ipxact:displayName> + <ipxact:value>individual</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_ctrl_plane_bonding_distribution" type="string"> + <ipxact:name>hssi_8g_tx_pcs_ctrl_plane_bonding_distribution</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_ctrl_plane_bonding_distribution</ipxact:displayName> + <ipxact:value>not_master_chnl_distr</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_data_selection_8b10b_encoder_input" type="string"> + <ipxact:name>hssi_8g_tx_pcs_data_selection_8b10b_encoder_input</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_data_selection_8b10b_encoder_input</ipxact:displayName> + <ipxact:value>normal_data_path</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_dynamic_clk_switch" type="string"> + <ipxact:name>hssi_8g_tx_pcs_dynamic_clk_switch</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_dynamic_clk_switch</ipxact:displayName> + <ipxact:value>dis_dyn_clk_switch</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_eightb_tenb_disp_ctrl" type="string"> + <ipxact:name>hssi_8g_tx_pcs_eightb_tenb_disp_ctrl</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_eightb_tenb_disp_ctrl</ipxact:displayName> + <ipxact:value>dis_disp_ctrl</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_eightb_tenb_encoder" type="string"> + <ipxact:name>hssi_8g_tx_pcs_eightb_tenb_encoder</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_eightb_tenb_encoder</ipxact:displayName> + <ipxact:value>en_8b10b_ibm</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_force_echar" type="string"> + <ipxact:name>hssi_8g_tx_pcs_force_echar</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_force_echar</ipxact:displayName> + <ipxact:value>dis_force_echar</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_force_kchar" type="string"> + <ipxact:name>hssi_8g_tx_pcs_force_kchar</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_force_kchar</ipxact:displayName> + <ipxact:value>dis_force_kchar</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_gen3_tx_clk_sel" type="string"> + <ipxact:name>hssi_8g_tx_pcs_gen3_tx_clk_sel</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_gen3_tx_clk_sel</ipxact:displayName> + <ipxact:value>dis_tx_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_gen3_tx_pipe_clk_sel" type="string"> + <ipxact:name>hssi_8g_tx_pcs_gen3_tx_pipe_clk_sel</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_gen3_tx_pipe_clk_sel</ipxact:displayName> + <ipxact:value>dis_tx_pipe_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_hip_mode" type="string"> + <ipxact:name>hssi_8g_tx_pcs_hip_mode</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_hip_mode</ipxact:displayName> + <ipxact:value>dis_hip</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_pcs_bypass" type="string"> + <ipxact:name>hssi_8g_tx_pcs_pcs_bypass</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_pcs_bypass</ipxact:displayName> + <ipxact:value>dis_pcs_bypass</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_phase_comp_rdptr" type="string"> + <ipxact:name>hssi_8g_tx_pcs_phase_comp_rdptr</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_phase_comp_rdptr</ipxact:displayName> + <ipxact:value>disable_rdptr</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_phase_compensation_fifo" type="string"> + <ipxact:name>hssi_8g_tx_pcs_phase_compensation_fifo</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_phase_compensation_fifo</ipxact:displayName> + <ipxact:value>low_latency</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_phfifo_write_clk_sel" type="string"> + <ipxact:name>hssi_8g_tx_pcs_phfifo_write_clk_sel</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_phfifo_write_clk_sel</ipxact:displayName> + <ipxact:value>pld_tx_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_pma_dw" type="string"> + <ipxact:name>hssi_8g_tx_pcs_pma_dw</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_pma_dw</ipxact:displayName> + <ipxact:value>ten_bit</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_prot_mode" type="string"> + <ipxact:name>hssi_8g_tx_pcs_prot_mode</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_prot_mode</ipxact:displayName> + <ipxact:value>disabled_prot_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_refclk_b_clk_sel" type="string"> + <ipxact:name>hssi_8g_tx_pcs_refclk_b_clk_sel</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_refclk_b_clk_sel</ipxact:displayName> + <ipxact:value>tx_pma_clock</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_revloop_back_rm" type="string"> + <ipxact:name>hssi_8g_tx_pcs_revloop_back_rm</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_revloop_back_rm</ipxact:displayName> + <ipxact:value>dis_rev_loopback_rx_rm</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_sup_mode" type="string"> + <ipxact:name>hssi_8g_tx_pcs_sup_mode</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_symbol_swap" type="string"> + <ipxact:name>hssi_8g_tx_pcs_symbol_swap</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_symbol_swap</ipxact:displayName> + <ipxact:value>dis_symbol_swap</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_tx_bitslip" type="string"> + <ipxact:name>hssi_8g_tx_pcs_tx_bitslip</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_tx_bitslip</ipxact:displayName> + <ipxact:value>dis_tx_bitslip</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_tx_compliance_controlled_disparity" type="string"> + <ipxact:name>hssi_8g_tx_pcs_tx_compliance_controlled_disparity</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_tx_compliance_controlled_disparity</ipxact:displayName> + <ipxact:value>dis_txcompliance</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_tx_fast_pld_reg" type="string"> + <ipxact:name>hssi_8g_tx_pcs_tx_fast_pld_reg</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_tx_fast_pld_reg</ipxact:displayName> + <ipxact:value>dis_tx_fast_pld_reg</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_txclk_freerun" type="string"> + <ipxact:name>hssi_8g_tx_pcs_txclk_freerun</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_txclk_freerun</ipxact:displayName> + <ipxact:value>en_freerun_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_txpcs_urst" type="string"> + <ipxact:name>hssi_8g_tx_pcs_txpcs_urst</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_txpcs_urst</ipxact:displayName> + <ipxact:value>en_txpcs_urst</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_silicon_rev" type="string"> + <ipxact:name>hssi_8g_tx_pcs_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_reconfig_settings" type="string"> + <ipxact:name>hssi_8g_tx_pcs_reconfig_settings</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_reconfig_settings</ipxact:displayName> + <ipxact:value>{}</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_hip_en" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_hip_en</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_hip_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_hrdrstctl_en" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_hrdrstctl_en</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_hrdrstctl_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_prot_mode_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_prot_mode_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_prot_mode_tx</ipxact:displayName> + <ipxact:value>teng_baser_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_ctrl_plane_bonding_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_ctrl_plane_bonding_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_ctrl_plane_bonding_tx</ipxact:displayName> + <ipxact:value>individual_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_pma_dw_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_pma_dw_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_pma_dw_tx</ipxact:displayName> + <ipxact:value>pma_32b_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_pld_fifo_mode_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_pld_fifo_mode_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_pld_fifo_mode_tx</ipxact:displayName> + <ipxact:value>fifo_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_shared_fifo_width_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_shared_fifo_width_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_shared_fifo_width_tx</ipxact:displayName> + <ipxact:value>single_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_low_latency_en_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_low_latency_en_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_low_latency_en_tx</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_func_mode" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_func_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_func_mode</ipxact:displayName> + <ipxact:value>enable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_sup_mode" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_sup_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_channel_operation_mode" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_channel_operation_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_channel_operation_mode</ipxact:displayName> + <ipxact:value>tx_rx_pair_enabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_lpbk_en" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_lpbk_en</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_lpbk_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_frequency_rules_en" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_frequency_rules_en</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_frequency_rules_en</ipxact:displayName> + <ipxact:value>enable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_speed_grade" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_speed_grade</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_speed_grade</ipxact:displayName> + <ipxact:value>e3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_pma_tx_clk_hz" type="int"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_pma_tx_clk_hz</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_pma_tx_clk_hz</ipxact:displayName> + <ipxact:value>322265625</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_pld_tx_clk_hz" type="int"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_pld_tx_clk_hz</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_pld_tx_clk_hz</ipxact:displayName> + <ipxact:value>156250000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_pld_uhsif_tx_clk_hz" type="int"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_pld_uhsif_tx_clk_hz</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_pld_uhsif_tx_clk_hz</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_hclk_clk_hz" type="int"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_hclk_clk_hz</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_hclk_clk_hz</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_pld_pcs_refclk_dig_nonatpg_mode_clk_hz" type="int"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_pld_pcs_refclk_dig_nonatpg_mode_clk_hz</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_pld_pcs_refclk_dig_nonatpg_mode_clk_hz</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_pld_8g_refclk_dig_nonatpg_mode_clk_hz" type="int"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_pld_8g_refclk_dig_nonatpg_mode_clk_hz</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_pld_8g_refclk_dig_nonatpg_mode_clk_hz</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_pcs_tx_ac_pwr_uw_per_mhz" type="int"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_pcs_tx_ac_pwr_uw_per_mhz</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_pcs_tx_ac_pwr_uw_per_mhz</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_pcs_tx_pwr_scaling_clk" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_pcs_tx_pwr_scaling_clk</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_pcs_tx_pwr_scaling_clk</ipxact:displayName> + <ipxact:value>pma_tx_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_fifo_sup_mode" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_fifo_sup_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_fifo_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_fifo_channel_operation_mode" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_fifo_channel_operation_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_fifo_channel_operation_mode</ipxact:displayName> + <ipxact:value>tx_rx_pair_enabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_fifo_prot_mode_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_fifo_prot_mode_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_fifo_prot_mode_tx</ipxact:displayName> + <ipxact:value>teng_mode_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_fifo_shared_fifo_width_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_fifo_shared_fifo_width_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_fifo_shared_fifo_width_tx</ipxact:displayName> + <ipxact:value>single_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_10g_sup_mode" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_10g_sup_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_10g_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_10g_channel_operation_mode" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_10g_channel_operation_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_10g_channel_operation_mode</ipxact:displayName> + <ipxact:value>tx_rx_pair_enabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_10g_lpbk_en" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_10g_lpbk_en</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_10g_lpbk_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_10g_advanced_user_mode_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_10g_advanced_user_mode_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_10g_advanced_user_mode_tx</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_10g_pma_dw_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_10g_pma_dw_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_10g_pma_dw_tx</ipxact:displayName> + <ipxact:value>pma_32b_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_10g_fifo_mode_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_10g_fifo_mode_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_10g_fifo_mode_tx</ipxact:displayName> + <ipxact:value>fifo_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_10g_prot_mode_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_10g_prot_mode_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_10g_prot_mode_tx</ipxact:displayName> + <ipxact:value>teng_baser_mode_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_10g_ctrl_plane_bonding_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_10g_ctrl_plane_bonding_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_10g_ctrl_plane_bonding_tx</ipxact:displayName> + <ipxact:value>individual_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_10g_low_latency_en_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_10g_low_latency_en_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_10g_low_latency_en_tx</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_10g_shared_fifo_width_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_10g_shared_fifo_width_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_10g_shared_fifo_width_tx</ipxact:displayName> + <ipxact:value>single_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_8g_sup_mode" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_8g_sup_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_8g_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_8g_channel_operation_mode" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_8g_channel_operation_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_8g_channel_operation_mode</ipxact:displayName> + <ipxact:value>tx_rx_pair_enabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_8g_lpbk_en" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_8g_lpbk_en</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_8g_lpbk_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_8g_prot_mode_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_8g_prot_mode_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_8g_prot_mode_tx</ipxact:displayName> + <ipxact:value>disabled_prot_mode_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_8g_hip_mode" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_8g_hip_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_8g_hip_mode</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_8g_ctrl_plane_bonding_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_8g_ctrl_plane_bonding_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_8g_ctrl_plane_bonding_tx</ipxact:displayName> + <ipxact:value>ctrl_master_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_8g_pma_dw_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_8g_pma_dw_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_8g_pma_dw_tx</ipxact:displayName> + <ipxact:value>pma_10b_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_8g_fifo_mode_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_8g_fifo_mode_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_8g_fifo_mode_tx</ipxact:displayName> + <ipxact:value>fifo_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_g3_sup_mode" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_g3_sup_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_g3_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_g3_prot_mode" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_g3_prot_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_g3_prot_mode</ipxact:displayName> + <ipxact:value>disabled_prot_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_krfec_sup_mode" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_krfec_sup_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_krfec_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_krfec_channel_operation_mode" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_krfec_channel_operation_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_krfec_channel_operation_mode</ipxact:displayName> + <ipxact:value>tx_rx_pair_enabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_krfec_lpbk_en" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_krfec_lpbk_en</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_krfec_lpbk_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_krfec_prot_mode_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_krfec_prot_mode_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_krfec_prot_mode_tx</ipxact:displayName> + <ipxact:value>disabled_prot_mode_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_krfec_low_latency_en_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_krfec_low_latency_en_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_krfec_low_latency_en_tx</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_pmaif_sup_mode" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_pmaif_sup_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_pmaif_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_pmaif_lpbk_en" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_pmaif_lpbk_en</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_pmaif_lpbk_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_pmaif_channel_operation_mode" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_pmaif_channel_operation_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_pmaif_channel_operation_mode</ipxact:displayName> + <ipxact:value>tx_rx_pair_enabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_pmaif_sim_mode" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_pmaif_sim_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_pmaif_sim_mode</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_pmaif_prot_mode_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_pmaif_prot_mode_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_pmaif_prot_mode_tx</ipxact:displayName> + <ipxact:value>teng_krfec_mode_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_pmaif_ctrl_plane_bonding" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_pmaif_ctrl_plane_bonding</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_pmaif_ctrl_plane_bonding</ipxact:displayName> + <ipxact:value>individual</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_pmaif_pma_dw_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_pmaif_pma_dw_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_pmaif_pma_dw_tx</ipxact:displayName> + <ipxact:value>pma_32b_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_pldif_prot_mode_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_pldif_prot_mode_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_pldif_prot_mode_tx</ipxact:displayName> + <ipxact:value>teng_pld_fifo_mode_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_pldif_hrdrstctl_en" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_pldif_hrdrstctl_en</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_pldif_hrdrstctl_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_pldif_sup_mode" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_pldif_sup_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_pldif_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_pcs_tx_clk_source" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_pcs_tx_clk_source</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_pcs_tx_clk_source</ipxact:displayName> + <ipxact:value>teng</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_pcs_tx_data_source" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_pcs_tx_data_source</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_pcs_tx_data_source</ipxact:displayName> + <ipxact:value>hip_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_pcs_tx_delay1_clk_en" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_pcs_tx_delay1_clk_en</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_pcs_tx_delay1_clk_en</ipxact:displayName> + <ipxact:value>delay1_clk_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_pcs_tx_delay1_clk_sel" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_pcs_tx_delay1_clk_sel</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_pcs_tx_delay1_clk_sel</ipxact:displayName> + <ipxact:value>pcs_tx_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_pcs_tx_delay1_ctrl" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_pcs_tx_delay1_ctrl</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_pcs_tx_delay1_ctrl</ipxact:displayName> + <ipxact:value>delay1_path0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_pcs_tx_delay1_data_sel" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_pcs_tx_delay1_data_sel</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_pcs_tx_delay1_data_sel</ipxact:displayName> + <ipxact:value>one_ff_delay</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_pcs_tx_delay2_clk_en" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_pcs_tx_delay2_clk_en</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_pcs_tx_delay2_clk_en</ipxact:displayName> + <ipxact:value>delay2_clk_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_pcs_tx_delay2_ctrl" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_pcs_tx_delay2_ctrl</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_pcs_tx_delay2_ctrl</ipxact:displayName> + <ipxact:value>delay2_path0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_pcs_tx_output_sel" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_pcs_tx_output_sel</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_pcs_tx_output_sel</ipxact:displayName> + <ipxact:value>teng_output</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_silicon_rev" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_pcs_tx_clk_out_sel" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_pcs_tx_clk_out_sel</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_pcs_tx_clk_out_sel</ipxact:displayName> + <ipxact:value>teng_clk_out</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_reconfig_settings" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_reconfig_settings</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_reconfig_settings</ipxact:displayName> + <ipxact:value>{}</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_hip_en" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_hip_en</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_hip_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_transparent_pcs_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_transparent_pcs_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_transparent_pcs_rx</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_hrdrstctl_en" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_hrdrstctl_en</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_hrdrstctl_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_prot_mode_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_prot_mode_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_prot_mode_rx</ipxact:displayName> + <ipxact:value>teng_baser_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_ctrl_plane_bonding_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_ctrl_plane_bonding_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_ctrl_plane_bonding_rx</ipxact:displayName> + <ipxact:value>individual_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_pma_dw_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_pma_dw_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_pma_dw_rx</ipxact:displayName> + <ipxact:value>pma_32b_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_pld_fifo_mode_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_pld_fifo_mode_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_pld_fifo_mode_rx</ipxact:displayName> + <ipxact:value>fifo_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_shared_fifo_width_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_shared_fifo_width_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_shared_fifo_width_rx</ipxact:displayName> + <ipxact:value>single_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_low_latency_en_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_low_latency_en_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_low_latency_en_rx</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_func_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_func_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_func_mode</ipxact:displayName> + <ipxact:value>enable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_sup_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_sup_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_channel_operation_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_channel_operation_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_channel_operation_mode</ipxact:displayName> + <ipxact:value>tx_rx_pair_enabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_lpbk_en" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_lpbk_en</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_lpbk_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_10g_advanced_user_mode_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_10g_advanced_user_mode_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_10g_advanced_user_mode_rx</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_frequency_rules_en" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_frequency_rules_en</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_frequency_rules_en</ipxact:displayName> + <ipxact:value>enable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_speed_grade" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_speed_grade</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_speed_grade</ipxact:displayName> + <ipxact:value>e3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_pma_rx_clk_hz" type="int"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_pma_rx_clk_hz</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_pma_rx_clk_hz</ipxact:displayName> + <ipxact:value>322265625</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_pld_rx_clk_hz" type="int"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_pld_rx_clk_hz</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_pld_rx_clk_hz</ipxact:displayName> + <ipxact:value>156250000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_fref_clk_hz" type="int"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_fref_clk_hz</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_fref_clk_hz</ipxact:displayName> + <ipxact:value>322265625</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_clklow_clk_hz" type="int"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_clklow_clk_hz</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_clklow_clk_hz</ipxact:displayName> + <ipxact:value>322265625</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_hclk_clk_hz" type="int"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_hclk_clk_hz</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_hclk_clk_hz</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_pld_pcs_refclk_dig_nonatpg_mode_clk_hz" type="int"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_pld_pcs_refclk_dig_nonatpg_mode_clk_hz</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_pld_pcs_refclk_dig_nonatpg_mode_clk_hz</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_pld_8g_refclk_dig_nonatpg_mode_clk_hz" type="int"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_pld_8g_refclk_dig_nonatpg_mode_clk_hz</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_pld_8g_refclk_dig_nonatpg_mode_clk_hz</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_pcs_rx_ac_pwr_uw_per_mhz" type="int"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_pcs_rx_ac_pwr_uw_per_mhz</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_pcs_rx_ac_pwr_uw_per_mhz</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_operating_voltage" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_operating_voltage</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_operating_voltage</ipxact:displayName> + <ipxact:value>standard</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_pcs_ac_pwr_rules_en" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_pcs_ac_pwr_rules_en</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_pcs_ac_pwr_rules_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_pcs_rx_pwr_scaling_clk" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_pcs_rx_pwr_scaling_clk</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_pcs_rx_pwr_scaling_clk</ipxact:displayName> + <ipxact:value>pma_rx_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_pcs_pair_ac_pwr_uw_per_mhz" type="int"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_pcs_pair_ac_pwr_uw_per_mhz</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_pcs_pair_ac_pwr_uw_per_mhz</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_fifo_sup_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_fifo_sup_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_fifo_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_fifo_channel_operation_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_fifo_channel_operation_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_fifo_channel_operation_mode</ipxact:displayName> + <ipxact:value>tx_rx_pair_enabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_fifo_prot_mode_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_fifo_prot_mode_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_fifo_prot_mode_rx</ipxact:displayName> + <ipxact:value>teng_mode_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_fifo_shared_fifo_width_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_fifo_shared_fifo_width_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_fifo_shared_fifo_width_rx</ipxact:displayName> + <ipxact:value>single_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_10g_sup_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_10g_sup_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_10g_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_10g_channel_operation_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_10g_channel_operation_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_10g_channel_operation_mode</ipxact:displayName> + <ipxact:value>tx_rx_pair_enabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_10g_lpbk_en" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_10g_lpbk_en</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_10g_lpbk_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_10g_pma_dw_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_10g_pma_dw_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_10g_pma_dw_rx</ipxact:displayName> + <ipxact:value>pma_32b_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_10g_fifo_mode_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_10g_fifo_mode_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_10g_fifo_mode_rx</ipxact:displayName> + <ipxact:value>fifo_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_10g_prot_mode_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_10g_prot_mode_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_10g_prot_mode_rx</ipxact:displayName> + <ipxact:value>teng_baser_mode_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_10g_ctrl_plane_bonding_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_10g_ctrl_plane_bonding_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_10g_ctrl_plane_bonding_rx</ipxact:displayName> + <ipxact:value>individual_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_10g_low_latency_en_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_10g_low_latency_en_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_10g_low_latency_en_rx</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_10g_shared_fifo_width_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_10g_shared_fifo_width_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_10g_shared_fifo_width_rx</ipxact:displayName> + <ipxact:value>single_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_10g_test_bus_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_10g_test_bus_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_10g_test_bus_mode</ipxact:displayName> + <ipxact:value>rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_8g_sup_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_8g_sup_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_8g_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_8g_channel_operation_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_8g_channel_operation_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_8g_channel_operation_mode</ipxact:displayName> + <ipxact:value>tx_rx_pair_enabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_8g_lpbk_en" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_8g_lpbk_en</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_8g_lpbk_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_8g_prot_mode_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_8g_prot_mode_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_8g_prot_mode_rx</ipxact:displayName> + <ipxact:value>disabled_prot_mode_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_8g_hip_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_8g_hip_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_8g_hip_mode</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_8g_ctrl_plane_bonding_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_8g_ctrl_plane_bonding_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_8g_ctrl_plane_bonding_rx</ipxact:displayName> + <ipxact:value>individual_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_8g_pma_dw_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_8g_pma_dw_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_8g_pma_dw_rx</ipxact:displayName> + <ipxact:value>pma_10b_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_8g_fifo_mode_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_8g_fifo_mode_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_8g_fifo_mode_rx</ipxact:displayName> + <ipxact:value>fifo_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_g3_sup_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_g3_sup_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_g3_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_g3_prot_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_g3_prot_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_g3_prot_mode</ipxact:displayName> + <ipxact:value>disabled_prot_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_krfec_sup_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_krfec_sup_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_krfec_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_krfec_channel_operation_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_krfec_channel_operation_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_krfec_channel_operation_mode</ipxact:displayName> + <ipxact:value>tx_rx_pair_enabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_krfec_lpbk_en" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_krfec_lpbk_en</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_krfec_lpbk_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_krfec_prot_mode_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_krfec_prot_mode_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_krfec_prot_mode_rx</ipxact:displayName> + <ipxact:value>disabled_prot_mode_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_krfec_low_latency_en_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_krfec_low_latency_en_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_krfec_low_latency_en_rx</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_krfec_test_bus_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_krfec_test_bus_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_krfec_test_bus_mode</ipxact:displayName> + <ipxact:value>tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_pmaif_sup_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_pmaif_sup_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_pmaif_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_pmaif_lpbk_en" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_pmaif_lpbk_en</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_pmaif_lpbk_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_pmaif_channel_operation_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_pmaif_channel_operation_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_pmaif_channel_operation_mode</ipxact:displayName> + <ipxact:value>tx_rx_pair_enabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_pmaif_sim_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_pmaif_sim_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_pmaif_sim_mode</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_pmaif_prot_mode_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_pmaif_prot_mode_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_pmaif_prot_mode_rx</ipxact:displayName> + <ipxact:value>teng_krfec_mode_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_pmaif_pma_dw_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_pmaif_pma_dw_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_pmaif_pma_dw_rx</ipxact:displayName> + <ipxact:value>pma_32b_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_pldif_prot_mode_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_pldif_prot_mode_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_pldif_prot_mode_rx</ipxact:displayName> + <ipxact:value>teng_pld_fifo_mode_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_pldif_hrdrstctl_en" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_pldif_hrdrstctl_en</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_pldif_hrdrstctl_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_pldif_sup_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_pldif_sup_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_pldif_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_pcs_rx_block_sel" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_pcs_rx_block_sel</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_pcs_rx_block_sel</ipxact:displayName> + <ipxact:value>teng</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_pcs_rx_clk_sel" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_pcs_rx_clk_sel</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_pcs_rx_clk_sel</ipxact:displayName> + <ipxact:value>pld_rx_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_pcs_rx_hip_clk_en" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_pcs_rx_hip_clk_en</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_pcs_rx_hip_clk_en</ipxact:displayName> + <ipxact:value>hip_rx_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_pcs_rx_output_sel" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_pcs_rx_output_sel</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_pcs_rx_output_sel</ipxact:displayName> + <ipxact:value>teng_output</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_silicon_rev" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_pcs_rx_clk_out_sel" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_pcs_rx_clk_out_sel</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_pcs_rx_clk_out_sel</ipxact:displayName> + <ipxact:value>teng_clk_out</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_reconfig_settings" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_reconfig_settings</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_reconfig_settings</ipxact:displayName> + <ipxact:value>{}</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pld_pcs_interface_dft_clk_out_en" type="string"> + <ipxact:name>hssi_common_pld_pcs_interface_dft_clk_out_en</ipxact:name> + <ipxact:displayName>hssi_common_pld_pcs_interface_dft_clk_out_en</ipxact:displayName> + <ipxact:value>dft_clk_out_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pld_pcs_interface_dft_clk_out_sel" type="string"> + <ipxact:name>hssi_common_pld_pcs_interface_dft_clk_out_sel</ipxact:name> + <ipxact:displayName>hssi_common_pld_pcs_interface_dft_clk_out_sel</ipxact:displayName> + <ipxact:value>teng_rx_dft_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pld_pcs_interface_hrdrstctrl_en" type="string"> + <ipxact:name>hssi_common_pld_pcs_interface_hrdrstctrl_en</ipxact:name> + <ipxact:displayName>hssi_common_pld_pcs_interface_hrdrstctrl_en</ipxact:displayName> + <ipxact:value>hrst_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pld_pcs_interface_pcs_testbus_block_sel" type="string"> + <ipxact:name>hssi_common_pld_pcs_interface_pcs_testbus_block_sel</ipxact:name> + <ipxact:displayName>hssi_common_pld_pcs_interface_pcs_testbus_block_sel</ipxact:displayName> + <ipxact:value>pma_if</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pld_pcs_interface_silicon_rev" type="string"> + <ipxact:name>hssi_common_pld_pcs_interface_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_common_pld_pcs_interface_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pld_pcs_interface_reconfig_settings" type="string"> + <ipxact:name>hssi_common_pld_pcs_interface_reconfig_settings</ipxact:name> + <ipxact:displayName>hssi_common_pld_pcs_interface_reconfig_settings</ipxact:displayName> + <ipxact:value>{}</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_block_sel" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_block_sel</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_block_sel</ipxact:displayName> + <ipxact:value>ten_g_pcs</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_channel_operation_mode" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_channel_operation_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_channel_operation_mode</ipxact:displayName> + <ipxact:value>tx_rx_pair_enabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_clkslip_sel" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_clkslip_sel</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_clkslip_sel</ipxact:displayName> + <ipxact:value>pld</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_lpbk_en" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_lpbk_en</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_lpbk_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_master_clk_sel" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_master_clk_sel</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_master_clk_sel</ipxact:displayName> + <ipxact:value>master_rx_pma_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_pldif_datawidth_mode" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_pldif_datawidth_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_pldif_datawidth_mode</ipxact:displayName> + <ipxact:value>pldif_data_10bit</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_pma_dw_rx" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_pma_dw_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_pma_dw_rx</ipxact:displayName> + <ipxact:value>pma_32b_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_pma_if_dft_en" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_pma_if_dft_en</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_pma_if_dft_en</ipxact:displayName> + <ipxact:value>dft_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_pma_if_dft_val" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_pma_if_dft_val</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_pma_if_dft_val</ipxact:displayName> + <ipxact:value>dft_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_prbs_clken" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_prbs_clken</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_prbs_clken</ipxact:displayName> + <ipxact:value>prbs_clk_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_prbs_ver" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_prbs_ver</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_prbs_ver</ipxact:displayName> + <ipxact:value>prbs_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_prbs9_dwidth" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_prbs9_dwidth</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_prbs9_dwidth</ipxact:displayName> + <ipxact:value>prbs9_64b</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_prot_mode_rx" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_prot_mode_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_prot_mode_rx</ipxact:displayName> + <ipxact:value>teng_krfec_mode_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_rx_dyn_polarity_inversion" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_rx_dyn_polarity_inversion</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_rx_dyn_polarity_inversion</ipxact:displayName> + <ipxact:value>rx_dyn_polinv_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_rx_lpbk_en" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_rx_lpbk_en</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_rx_lpbk_en</ipxact:displayName> + <ipxact:value>lpbk_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_rx_prbs_force_signal_ok" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_rx_prbs_force_signal_ok</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_rx_prbs_force_signal_ok</ipxact:displayName> + <ipxact:value>force_sig_ok</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_rx_prbs_mask" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_rx_prbs_mask</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_rx_prbs_mask</ipxact:displayName> + <ipxact:value>prbsmask128</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_rx_prbs_mode" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_rx_prbs_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_rx_prbs_mode</ipxact:displayName> + <ipxact:value>teng_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_rx_signalok_signaldet_sel" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_rx_signalok_signaldet_sel</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_rx_signalok_signaldet_sel</ipxact:displayName> + <ipxact:value>sel_sig_det</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_rx_static_polarity_inversion" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_rx_static_polarity_inversion</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_rx_static_polarity_inversion</ipxact:displayName> + <ipxact:value>rx_stat_polinv_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_rx_uhsif_lpbk_en" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_rx_uhsif_lpbk_en</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_rx_uhsif_lpbk_en</ipxact:displayName> + <ipxact:value>uhsif_lpbk_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_sup_mode" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_sup_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_silicon_rev" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_reconfig_settings" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_reconfig_settings</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_reconfig_settings</ipxact:displayName> + <ipxact:value>{}</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_bypass_pma_txelecidle" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_bypass_pma_txelecidle</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_bypass_pma_txelecidle</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_channel_operation_mode" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_channel_operation_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_channel_operation_mode</ipxact:displayName> + <ipxact:value>tx_rx_pair_enabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_lpbk_en" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_lpbk_en</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_lpbk_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_master_clk_sel" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_master_clk_sel</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_master_clk_sel</ipxact:displayName> + <ipxact:value>master_tx_pma_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_pcie_sub_prot_mode_tx" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_pcie_sub_prot_mode_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_pcie_sub_prot_mode_tx</ipxact:displayName> + <ipxact:value>other_prot_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_pldif_datawidth_mode" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_pldif_datawidth_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_pldif_datawidth_mode</ipxact:displayName> + <ipxact:value>pldif_data_10bit</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_pma_dw_tx" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_pma_dw_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_pma_dw_tx</ipxact:displayName> + <ipxact:value>pma_32b_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_pma_if_dft_en" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_pma_if_dft_en</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_pma_if_dft_en</ipxact:displayName> + <ipxact:value>dft_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_pmagate_en" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_pmagate_en</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_pmagate_en</ipxact:displayName> + <ipxact:value>pmagate_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_prbs_clken" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_prbs_clken</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_prbs_clken</ipxact:displayName> + <ipxact:value>prbs_clk_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_prbs_gen_pat" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_prbs_gen_pat</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_prbs_gen_pat</ipxact:displayName> + <ipxact:value>prbs_gen_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_prbs9_dwidth" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_prbs9_dwidth</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_prbs9_dwidth</ipxact:displayName> + <ipxact:value>prbs9_64b</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_prot_mode_tx" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_prot_mode_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_prot_mode_tx</ipxact:displayName> + <ipxact:value>teng_krfec_mode_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_sq_wave_num" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_sq_wave_num</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_sq_wave_num</ipxact:displayName> + <ipxact:value>sq_wave_default</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_sqwgen_clken" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_sqwgen_clken</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_sqwgen_clken</ipxact:displayName> + <ipxact:value>sqwgen_clk_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_sup_mode" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_sup_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_tx_dyn_polarity_inversion" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_tx_dyn_polarity_inversion</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_tx_dyn_polarity_inversion</ipxact:displayName> + <ipxact:value>tx_dyn_polinv_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_tx_pma_data_sel" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_tx_pma_data_sel</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_tx_pma_data_sel</ipxact:displayName> + <ipxact:value>ten_g_pcs</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_tx_static_polarity_inversion" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_tx_static_polarity_inversion</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_tx_static_polarity_inversion</ipxact:displayName> + <ipxact:value>tx_stat_polinv_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_cnt_step_filt_before_lock" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_cnt_step_filt_before_lock</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_cnt_step_filt_before_lock</ipxact:displayName> + <ipxact:value>uhsif_filt_stepsz_b4lock_2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_cnt_thresh_filt_after_lock_value" type="int"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_cnt_thresh_filt_after_lock_value</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_cnt_thresh_filt_after_lock_value</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_cnt_thresh_filt_before_lock" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_cnt_thresh_filt_before_lock</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_cnt_thresh_filt_before_lock</ipxact:displayName> + <ipxact:value>uhsif_filt_cntthr_b4lock_8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_dcn_test_update_period" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_dcn_test_update_period</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_dcn_test_update_period</ipxact:displayName> + <ipxact:value>uhsif_dcn_test_period_4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_dcn_testmode_enable" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_dcn_testmode_enable</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_dcn_testmode_enable</ipxact:displayName> + <ipxact:value>uhsif_dcn_test_mode_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_dead_zone_count_thresh" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_dead_zone_count_thresh</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_dead_zone_count_thresh</ipxact:displayName> + <ipxact:value>uhsif_dzt_cnt_thr_2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_dead_zone_detection_enable" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_dead_zone_detection_enable</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_dead_zone_detection_enable</ipxact:displayName> + <ipxact:value>uhsif_dzt_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_dead_zone_obser_window" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_dead_zone_obser_window</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_dead_zone_obser_window</ipxact:displayName> + <ipxact:value>uhsif_dzt_obr_win_16</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_dead_zone_skip_size" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_dead_zone_skip_size</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_dead_zone_skip_size</ipxact:displayName> + <ipxact:value>uhsif_dzt_skipsz_4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_delay_cell_index_sel" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_delay_cell_index_sel</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_delay_cell_index_sel</ipxact:displayName> + <ipxact:value>uhsif_index_cram</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_delay_cell_margin" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_delay_cell_margin</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_delay_cell_margin</ipxact:displayName> + <ipxact:value>uhsif_dcn_margin_2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_delay_cell_static_index_value" type="int"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_delay_cell_static_index_value</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_delay_cell_static_index_value</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_dft_dead_zone_control" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_dft_dead_zone_control</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_dft_dead_zone_control</ipxact:displayName> + <ipxact:value>uhsif_dft_dz_det_val_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_dft_up_filt_control" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_dft_up_filt_control</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_dft_up_filt_control</ipxact:displayName> + <ipxact:value>uhsif_dft_up_val_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_enable" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_enable</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_enable</ipxact:displayName> + <ipxact:value>uhsif_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_lock_det_segsz_after_lock" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_lock_det_segsz_after_lock</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_lock_det_segsz_after_lock</ipxact:displayName> + <ipxact:value>uhsif_lkd_segsz_aflock_512</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_lock_det_segsz_before_lock" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_lock_det_segsz_before_lock</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_lock_det_segsz_before_lock</ipxact:displayName> + <ipxact:value>uhsif_lkd_segsz_b4lock_16</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_lock_det_thresh_cnt_after_lock_value" type="int"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_lock_det_thresh_cnt_after_lock_value</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_lock_det_thresh_cnt_after_lock_value</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_lock_det_thresh_cnt_before_lock_value" type="int"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_lock_det_thresh_cnt_before_lock_value</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_lock_det_thresh_cnt_before_lock_value</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_lock_det_thresh_diff_after_lock_value" type="int"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_lock_det_thresh_diff_after_lock_value</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_lock_det_thresh_diff_after_lock_value</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_lock_det_thresh_diff_before_lock_value" type="int"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_lock_det_thresh_diff_before_lock_value</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_lock_det_thresh_diff_before_lock_value</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_silicon_rev" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_reconfig_settings" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_reconfig_settings</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_reconfig_settings</ipxact:displayName> + <ipxact:value>{}</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_asn_clk_enable" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_asn_clk_enable</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_asn_clk_enable</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_asn_enable" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_asn_enable</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_asn_enable</ipxact:displayName> + <ipxact:value>dis_asn</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_block_sel" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_block_sel</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_block_sel</ipxact:displayName> + <ipxact:value>eight_g_pcs</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_bypass_early_eios" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_bypass_early_eios</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_bypass_early_eios</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_bypass_pcie_switch" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_bypass_pcie_switch</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_bypass_pcie_switch</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_bypass_pma_ltr" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_bypass_pma_ltr</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_bypass_pma_ltr</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_bypass_pma_sw_done" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_bypass_pma_sw_done</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_bypass_pma_sw_done</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_bypass_ppm_lock" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_bypass_ppm_lock</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_bypass_ppm_lock</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_bypass_send_syncp_fbkp" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_bypass_send_syncp_fbkp</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_bypass_send_syncp_fbkp</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_bypass_txdetectrx" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_bypass_txdetectrx</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_bypass_txdetectrx</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_cdr_control" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_cdr_control</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_cdr_control</ipxact:displayName> + <ipxact:value>dis_cdr_ctrl</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_cid_enable" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_cid_enable</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_cid_enable</ipxact:displayName> + <ipxact:value>dis_cid_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_cp_cons_sel" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_cp_cons_sel</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_cp_cons_sel</ipxact:displayName> + <ipxact:value>cp_cons_master</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_cp_dwn_mstr" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_cp_dwn_mstr</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_cp_dwn_mstr</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_cp_up_mstr" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_cp_up_mstr</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_cp_up_mstr</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_ctrl_plane_bonding" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_ctrl_plane_bonding</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_ctrl_plane_bonding</ipxact:displayName> + <ipxact:value>individual</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_data_mask_count" type="int"> + <ipxact:name>hssi_common_pcs_pma_interface_data_mask_count</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_data_mask_count</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_data_mask_count_multi" type="int"> + <ipxact:name>hssi_common_pcs_pma_interface_data_mask_count_multi</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_data_mask_count_multi</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_dft_observation_clock_selection" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_dft_observation_clock_selection</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_dft_observation_clock_selection</ipxact:displayName> + <ipxact:value>dft_clk_obsrv_tx0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_early_eios_counter" type="int"> + <ipxact:name>hssi_common_pcs_pma_interface_early_eios_counter</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_early_eios_counter</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_force_freqdet" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_force_freqdet</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_force_freqdet</ipxact:displayName> + <ipxact:value>force_freqdet_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_free_run_clk_enable" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_free_run_clk_enable</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_free_run_clk_enable</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_ignore_sigdet_g23" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_ignore_sigdet_g23</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_ignore_sigdet_g23</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_pc_en_counter" type="int"> + <ipxact:name>hssi_common_pcs_pma_interface_pc_en_counter</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_pc_en_counter</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_pc_rst_counter" type="int"> + <ipxact:name>hssi_common_pcs_pma_interface_pc_rst_counter</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_pc_rst_counter</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_pcie_hip_mode" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_pcie_hip_mode</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_pcie_hip_mode</ipxact:displayName> + <ipxact:value>hip_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_ph_fifo_reg_mode" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_ph_fifo_reg_mode</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_ph_fifo_reg_mode</ipxact:displayName> + <ipxact:value>phfifo_reg_mode_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_phfifo_flush_wait" type="int"> + <ipxact:name>hssi_common_pcs_pma_interface_phfifo_flush_wait</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_phfifo_flush_wait</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_pipe_if_g3pcs" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_pipe_if_g3pcs</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_pipe_if_g3pcs</ipxact:displayName> + <ipxact:value>pipe_if_8gpcs</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_pma_done_counter" type="int"> + <ipxact:name>hssi_common_pcs_pma_interface_pma_done_counter</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_pma_done_counter</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_pma_if_dft_en" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_pma_if_dft_en</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_pma_if_dft_en</ipxact:displayName> + <ipxact:value>dft_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_pma_if_dft_val" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_pma_if_dft_val</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_pma_if_dft_val</ipxact:displayName> + <ipxact:value>dft_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_ppm_cnt_rst" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_ppm_cnt_rst</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_ppm_cnt_rst</ipxact:displayName> + <ipxact:value>ppm_cnt_rst_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_ppm_deassert_early" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_ppm_deassert_early</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_ppm_deassert_early</ipxact:displayName> + <ipxact:value>deassert_early_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_ppm_gen1_2_cnt" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_ppm_gen1_2_cnt</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_ppm_gen1_2_cnt</ipxact:displayName> + <ipxact:value>cnt_32k</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_ppm_post_eidle_delay" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_ppm_post_eidle_delay</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_ppm_post_eidle_delay</ipxact:displayName> + <ipxact:value>cnt_200_cycles</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_ppmsel" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_ppmsel</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_ppmsel</ipxact:displayName> + <ipxact:value>ppmsel_1000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_prot_mode" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_prot_mode</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_prot_mode</ipxact:displayName> + <ipxact:value>other_protocols</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_rxvalid_mask" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_rxvalid_mask</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_rxvalid_mask</ipxact:displayName> + <ipxact:value>rxvalid_mask_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_sigdet_wait_counter" type="int"> + <ipxact:name>hssi_common_pcs_pma_interface_sigdet_wait_counter</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_sigdet_wait_counter</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_sigdet_wait_counter_multi" type="int"> + <ipxact:name>hssi_common_pcs_pma_interface_sigdet_wait_counter_multi</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_sigdet_wait_counter_multi</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_sim_mode" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_sim_mode</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_sim_mode</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_spd_chg_rst_wait_cnt_en" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_spd_chg_rst_wait_cnt_en</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_spd_chg_rst_wait_cnt_en</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_sup_mode" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_sup_mode</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_testout_sel" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_testout_sel</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_testout_sel</ipxact:displayName> + <ipxact:value>asn_test</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_wait_clk_on_off_timer" type="int"> + <ipxact:name>hssi_common_pcs_pma_interface_wait_clk_on_off_timer</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_wait_clk_on_off_timer</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_wait_pipe_synchronizing" type="int"> + <ipxact:name>hssi_common_pcs_pma_interface_wait_pipe_synchronizing</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_wait_pipe_synchronizing</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_wait_send_syncp_fbkp" type="int"> + <ipxact:name>hssi_common_pcs_pma_interface_wait_send_syncp_fbkp</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_wait_send_syncp_fbkp</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_silicon_rev" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_ppm_det_buckets" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_ppm_det_buckets</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_ppm_det_buckets</ipxact:displayName> + <ipxact:value>ppm_100_bucket</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_reconfig_settings" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_reconfig_settings</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_reconfig_settings</ipxact:displayName> + <ipxact:value>{}</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_fifo_rx_pcs_double_read_mode" type="string"> + <ipxact:name>hssi_fifo_rx_pcs_double_read_mode</ipxact:name> + <ipxact:displayName>hssi_fifo_rx_pcs_double_read_mode</ipxact:displayName> + <ipxact:value>double_read_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_fifo_rx_pcs_prot_mode" type="string"> + <ipxact:name>hssi_fifo_rx_pcs_prot_mode</ipxact:name> + <ipxact:displayName>hssi_fifo_rx_pcs_prot_mode</ipxact:displayName> + <ipxact:value>teng_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_fifo_rx_pcs_silicon_rev" type="string"> + <ipxact:name>hssi_fifo_rx_pcs_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_fifo_rx_pcs_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_fifo_tx_pcs_double_write_mode" type="string"> + <ipxact:name>hssi_fifo_tx_pcs_double_write_mode</ipxact:name> + <ipxact:displayName>hssi_fifo_tx_pcs_double_write_mode</ipxact:displayName> + <ipxact:value>double_write_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_fifo_tx_pcs_prot_mode" type="string"> + <ipxact:name>hssi_fifo_tx_pcs_prot_mode</ipxact:name> + <ipxact:displayName>hssi_fifo_tx_pcs_prot_mode</ipxact:displayName> + <ipxact:value>teng_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_fifo_tx_pcs_silicon_rev" type="string"> + <ipxact:name>hssi_fifo_tx_pcs_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_fifo_tx_pcs_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen3_bypass_rx_detection_enable" type="string"> + <ipxact:name>hssi_pipe_gen3_bypass_rx_detection_enable</ipxact:name> + <ipxact:displayName>hssi_pipe_gen3_bypass_rx_detection_enable</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen3_bypass_rx_preset" type="int"> + <ipxact:name>hssi_pipe_gen3_bypass_rx_preset</ipxact:name> + <ipxact:displayName>hssi_pipe_gen3_bypass_rx_preset</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen3_bypass_rx_preset_enable" type="string"> + <ipxact:name>hssi_pipe_gen3_bypass_rx_preset_enable</ipxact:name> + <ipxact:displayName>hssi_pipe_gen3_bypass_rx_preset_enable</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen3_bypass_tx_coefficent" type="int"> + <ipxact:name>hssi_pipe_gen3_bypass_tx_coefficent</ipxact:name> + <ipxact:displayName>hssi_pipe_gen3_bypass_tx_coefficent</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen3_bypass_tx_coefficent_enable" type="string"> + <ipxact:name>hssi_pipe_gen3_bypass_tx_coefficent_enable</ipxact:name> + <ipxact:displayName>hssi_pipe_gen3_bypass_tx_coefficent_enable</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen3_elecidle_delay_g3" type="int"> + <ipxact:name>hssi_pipe_gen3_elecidle_delay_g3</ipxact:name> + <ipxact:displayName>hssi_pipe_gen3_elecidle_delay_g3</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen3_ind_error_reporting" type="string"> + <ipxact:name>hssi_pipe_gen3_ind_error_reporting</ipxact:name> + <ipxact:displayName>hssi_pipe_gen3_ind_error_reporting</ipxact:displayName> + <ipxact:value>dis_ind_error_reporting</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen3_mode" type="string"> + <ipxact:name>hssi_pipe_gen3_mode</ipxact:name> + <ipxact:displayName>hssi_pipe_gen3_mode</ipxact:displayName> + <ipxact:value>disable_pcs</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen3_phy_status_delay_g12" type="int"> + <ipxact:name>hssi_pipe_gen3_phy_status_delay_g12</ipxact:name> + <ipxact:displayName>hssi_pipe_gen3_phy_status_delay_g12</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen3_phy_status_delay_g3" type="int"> + <ipxact:name>hssi_pipe_gen3_phy_status_delay_g3</ipxact:name> + <ipxact:displayName>hssi_pipe_gen3_phy_status_delay_g3</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen3_phystatus_rst_toggle_g12" type="string"> + <ipxact:name>hssi_pipe_gen3_phystatus_rst_toggle_g12</ipxact:name> + <ipxact:displayName>hssi_pipe_gen3_phystatus_rst_toggle_g12</ipxact:displayName> + <ipxact:value>dis_phystatus_rst_toggle</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen3_phystatus_rst_toggle_g3" type="string"> + <ipxact:name>hssi_pipe_gen3_phystatus_rst_toggle_g3</ipxact:name> + <ipxact:displayName>hssi_pipe_gen3_phystatus_rst_toggle_g3</ipxact:displayName> + <ipxact:value>dis_phystatus_rst_toggle_g3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen3_rate_match_pad_insertion" type="string"> + <ipxact:name>hssi_pipe_gen3_rate_match_pad_insertion</ipxact:name> + <ipxact:displayName>hssi_pipe_gen3_rate_match_pad_insertion</ipxact:displayName> + <ipxact:value>dis_rm_fifo_pad_ins</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen3_sup_mode" type="string"> + <ipxact:name>hssi_pipe_gen3_sup_mode</ipxact:name> + <ipxact:displayName>hssi_pipe_gen3_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen3_test_out_sel" type="string"> + <ipxact:name>hssi_pipe_gen3_test_out_sel</ipxact:name> + <ipxact:displayName>hssi_pipe_gen3_test_out_sel</ipxact:displayName> + <ipxact:value>disable_test_out</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen3_silicon_rev" type="string"> + <ipxact:name>hssi_pipe_gen3_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_pipe_gen3_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_elec_idle_delay_val" type="int"> + <ipxact:name>hssi_pipe_gen1_2_elec_idle_delay_val</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_elec_idle_delay_val</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_error_replace_pad" type="string"> + <ipxact:name>hssi_pipe_gen1_2_error_replace_pad</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_error_replace_pad</ipxact:displayName> + <ipxact:value>replace_edb</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_hip_mode" type="string"> + <ipxact:name>hssi_pipe_gen1_2_hip_mode</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_hip_mode</ipxact:displayName> + <ipxact:value>dis_hip</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_ind_error_reporting" type="string"> + <ipxact:name>hssi_pipe_gen1_2_ind_error_reporting</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_ind_error_reporting</ipxact:displayName> + <ipxact:value>dis_ind_error_reporting</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_phystatus_delay_val" type="int"> + <ipxact:name>hssi_pipe_gen1_2_phystatus_delay_val</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_phystatus_delay_val</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_phystatus_rst_toggle" type="string"> + <ipxact:name>hssi_pipe_gen1_2_phystatus_rst_toggle</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_phystatus_rst_toggle</ipxact:displayName> + <ipxact:value>dis_phystatus_rst_toggle</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_pipe_byte_de_serializer_en" type="string"> + <ipxact:name>hssi_pipe_gen1_2_pipe_byte_de_serializer_en</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_pipe_byte_de_serializer_en</ipxact:displayName> + <ipxact:value>dont_care_bds</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_prot_mode" type="string"> + <ipxact:name>hssi_pipe_gen1_2_prot_mode</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_prot_mode</ipxact:displayName> + <ipxact:value>disabled_prot_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_rpre_emph_a_val" type="int"> + <ipxact:name>hssi_pipe_gen1_2_rpre_emph_a_val</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_rpre_emph_a_val</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_rpre_emph_b_val" type="int"> + <ipxact:name>hssi_pipe_gen1_2_rpre_emph_b_val</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_rpre_emph_b_val</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_rpre_emph_c_val" type="int"> + <ipxact:name>hssi_pipe_gen1_2_rpre_emph_c_val</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_rpre_emph_c_val</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_rpre_emph_d_val" type="int"> + <ipxact:name>hssi_pipe_gen1_2_rpre_emph_d_val</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_rpre_emph_d_val</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_rpre_emph_e_val" type="int"> + <ipxact:name>hssi_pipe_gen1_2_rpre_emph_e_val</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_rpre_emph_e_val</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_rvod_sel_a_val" type="int"> + <ipxact:name>hssi_pipe_gen1_2_rvod_sel_a_val</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_rvod_sel_a_val</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_rvod_sel_b_val" type="int"> + <ipxact:name>hssi_pipe_gen1_2_rvod_sel_b_val</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_rvod_sel_b_val</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_rvod_sel_c_val" type="int"> + <ipxact:name>hssi_pipe_gen1_2_rvod_sel_c_val</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_rvod_sel_c_val</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_rvod_sel_d_val" type="int"> + <ipxact:name>hssi_pipe_gen1_2_rvod_sel_d_val</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_rvod_sel_d_val</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_rvod_sel_e_val" type="int"> + <ipxact:name>hssi_pipe_gen1_2_rvod_sel_e_val</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_rvod_sel_e_val</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_rx_pipe_enable" type="string"> + <ipxact:name>hssi_pipe_gen1_2_rx_pipe_enable</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_rx_pipe_enable</ipxact:displayName> + <ipxact:value>dis_pipe_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_rxdetect_bypass" type="string"> + <ipxact:name>hssi_pipe_gen1_2_rxdetect_bypass</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_rxdetect_bypass</ipxact:displayName> + <ipxact:value>dis_rxdetect_bypass</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_sup_mode" type="string"> + <ipxact:name>hssi_pipe_gen1_2_sup_mode</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_tx_pipe_enable" type="string"> + <ipxact:name>hssi_pipe_gen1_2_tx_pipe_enable</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_tx_pipe_enable</ipxact:displayName> + <ipxact:value>dis_pipe_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_txswing" type="string"> + <ipxact:name>hssi_pipe_gen1_2_txswing</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_txswing</ipxact:displayName> + <ipxact:value>dis_txswing</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_silicon_rev" type="string"> + <ipxact:name>hssi_pipe_gen1_2_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_reconfig_settings" type="string"> + <ipxact:name>hssi_pipe_gen1_2_reconfig_settings</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_reconfig_settings</ipxact:displayName> + <ipxact:value>{}</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_silicon_rev" type="string"> + <ipxact:name>pma_adapt_silicon_rev</ipxact:name> + <ipxact:displayName>pma_adapt_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_1s_ctle_bypass" type="string"> + <ipxact:name>pma_adapt_adp_1s_ctle_bypass</ipxact:name> + <ipxact:displayName>pma_adapt_adp_1s_ctle_bypass</ipxact:displayName> + <ipxact:value>radp_1s_ctle_bypass_1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_4s_ctle_bypass" type="string"> + <ipxact:name>pma_adapt_adp_4s_ctle_bypass</ipxact:name> + <ipxact:displayName>pma_adapt_adp_4s_ctle_bypass</ipxact:displayName> + <ipxact:value>radp_4s_ctle_bypass_1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_ctle_acgain_4s" type="string"> + <ipxact:name>pma_adapt_adp_ctle_acgain_4s</ipxact:name> + <ipxact:displayName>pma_adapt_adp_ctle_acgain_4s</ipxact:displayName> + <ipxact:value>radp_ctle_acgain_4s_1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_ctle_en" type="string"> + <ipxact:name>pma_adapt_adp_ctle_en</ipxact:name> + <ipxact:displayName>pma_adapt_adp_ctle_en</ipxact:displayName> + <ipxact:value>radp_ctle_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_ctle_hold_en" type="string"> + <ipxact:name>pma_adapt_adp_ctle_hold_en</ipxact:name> + <ipxact:displayName>pma_adapt_adp_ctle_hold_en</ipxact:displayName> + <ipxact:value>radp_ctle_not_held</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_ctle_scale" type="string"> + <ipxact:name>pma_adapt_adp_ctle_scale</ipxact:name> + <ipxact:displayName>pma_adapt_adp_ctle_scale</ipxact:displayName> + <ipxact:value>radp_ctle_scale_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_bw" type="string"> + <ipxact:name>pma_adapt_adp_dfe_bw</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_bw</ipxact:displayName> + <ipxact:value>radp_dfe_bw_3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_cycle" type="string"> + <ipxact:name>pma_adapt_adp_dfe_cycle</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_cycle</ipxact:displayName> + <ipxact:value>radp_dfe_cycle_6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fltap_bypass" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fltap_bypass</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fltap_bypass</ipxact:displayName> + <ipxact:value>radp_dfe_fltap_bypass_1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fltap_en" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fltap_en</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fltap_en</ipxact:displayName> + <ipxact:value>radp_dfe_fltap_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fltap_hold_en" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fltap_hold_en</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fltap_hold_en</ipxact:displayName> + <ipxact:value>radp_dfe_fltap_not_held</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fltap_load" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fltap_load</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fltap_load</ipxact:displayName> + <ipxact:value>radp_dfe_fltap_load_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fltap_position" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fltap_position</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fltap_position</ipxact:displayName> + <ipxact:value>radp_dfe_fltap_position_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap8" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap8</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap8</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap8_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap8_sgn" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap8_sgn</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap8_sgn</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap8_sgn_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap9" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap9</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap9</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap9_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap9_sgn" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap9_sgn</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap9_sgn</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap9_sgn_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap10" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap10</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap10</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap10_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap10_sgn" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap10_sgn</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap10_sgn</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap10_sgn_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap11" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap11</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap11</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap11_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap11_sgn" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap11_sgn</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap11_sgn</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap11_sgn_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap_bypass" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap_bypass</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap_bypass</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap_bypass_1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap_en" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap_en</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap_en</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap_hold_en" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap_hold_en</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap_hold_en</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap_not_held</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap_load" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap_load</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap_load</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap_load_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap1" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap1</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap1</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap1_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap2" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap2</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap2</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap2_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap2_sgn" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap2_sgn</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap2_sgn</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap2_sgn_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap3" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap3</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap3</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap3_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap3_sgn" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap3_sgn</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap3_sgn</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap3_sgn_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap4" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap4</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap4</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap4_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap4_sgn" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap4_sgn</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap4_sgn</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap4_sgn_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap5" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap5</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap5</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap5_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap5_sgn" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap5_sgn</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap5_sgn</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap5_sgn_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap6" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap6</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap6</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap6_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap6_sgn" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap6_sgn</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap6_sgn</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap6_sgn_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap7" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap7</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap7</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap7_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap7_sgn" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap7_sgn</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap7_sgn</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap7_sgn_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_mode" type="string"> + <ipxact:name>pma_adapt_adp_dfe_mode</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_mode</ipxact:displayName> + <ipxact:value>radp_dfe_mode_4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_vref_polarity" type="string"> + <ipxact:name>pma_adapt_adp_dfe_vref_polarity</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_vref_polarity</ipxact:displayName> + <ipxact:value>radp_dfe_vref_polarity_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_force_freqlock" type="string"> + <ipxact:name>pma_adapt_adp_force_freqlock</ipxact:name> + <ipxact:displayName>pma_adapt_adp_force_freqlock</ipxact:displayName> + <ipxact:value>radp_force_freqlock_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_lfeq_fb_sel" type="string"> + <ipxact:name>pma_adapt_adp_lfeq_fb_sel</ipxact:name> + <ipxact:displayName>pma_adapt_adp_lfeq_fb_sel</ipxact:displayName> + <ipxact:value>radp_lfeq_fb_sel_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_status_sel" type="string"> + <ipxact:name>pma_adapt_adp_status_sel</ipxact:name> + <ipxact:displayName>pma_adapt_adp_status_sel</ipxact:displayName> + <ipxact:value>radp_status_sel_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_vga_bypass" type="string"> + <ipxact:name>pma_adapt_adp_vga_bypass</ipxact:name> + <ipxact:displayName>pma_adapt_adp_vga_bypass</ipxact:displayName> + <ipxact:value>radp_vga_bypass_1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_vga_en" type="string"> + <ipxact:name>pma_adapt_adp_vga_en</ipxact:name> + <ipxact:displayName>pma_adapt_adp_vga_en</ipxact:displayName> + <ipxact:value>radp_vga_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_vga_polarity" type="string"> + <ipxact:name>pma_adapt_adp_vga_polarity</ipxact:name> + <ipxact:displayName>pma_adapt_adp_vga_polarity</ipxact:displayName> + <ipxact:value>radp_vga_polarity_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_vga_sel" type="string"> + <ipxact:name>pma_adapt_adp_vga_sel</ipxact:name> + <ipxact:displayName>pma_adapt_adp_vga_sel</ipxact:displayName> + <ipxact:value>radp_vga_sel_2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_vga_sweep_direction" type="string"> + <ipxact:name>pma_adapt_adp_vga_sweep_direction</ipxact:name> + <ipxact:displayName>pma_adapt_adp_vga_sweep_direction</ipxact:displayName> + <ipxact:value>radp_vga_sweep_direction_1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_vga_threshold" type="string"> + <ipxact:name>pma_adapt_adp_vga_threshold</ipxact:name> + <ipxact:displayName>pma_adapt_adp_vga_threshold</ipxact:displayName> + <ipxact:value>radp_vga_threshold_4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_vref_bw" type="string"> + <ipxact:name>pma_adapt_adp_vref_bw</ipxact:name> + <ipxact:displayName>pma_adapt_adp_vref_bw</ipxact:displayName> + <ipxact:value>radp_vref_bw_1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_vref_bypass" type="string"> + <ipxact:name>pma_adapt_adp_vref_bypass</ipxact:name> + <ipxact:displayName>pma_adapt_adp_vref_bypass</ipxact:displayName> + <ipxact:value>radp_vref_bypass_1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_vref_cycle" type="string"> + <ipxact:name>pma_adapt_adp_vref_cycle</ipxact:name> + <ipxact:displayName>pma_adapt_adp_vref_cycle</ipxact:displayName> + <ipxact:value>radp_vref_cycle_6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_vref_en" type="string"> + <ipxact:name>pma_adapt_adp_vref_en</ipxact:name> + <ipxact:displayName>pma_adapt_adp_vref_en</ipxact:displayName> + <ipxact:value>radp_vref_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_vref_hold_en" type="string"> + <ipxact:name>pma_adapt_adp_vref_hold_en</ipxact:name> + <ipxact:displayName>pma_adapt_adp_vref_hold_en</ipxact:displayName> + <ipxact:value>radp_vref_not_held</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_vref_polarity" type="string"> + <ipxact:name>pma_adapt_adp_vref_polarity</ipxact:name> + <ipxact:displayName>pma_adapt_adp_vref_polarity</ipxact:displayName> + <ipxact:value>radp_vref_polarity_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_vref_sel" type="string"> + <ipxact:name>pma_adapt_adp_vref_sel</ipxact:name> + <ipxact:displayName>pma_adapt_adp_vref_sel</ipxact:displayName> + <ipxact:value>radp_vref_sel_21</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_vref_vga_level" type="string"> + <ipxact:name>pma_adapt_adp_vref_vga_level</ipxact:name> + <ipxact:displayName>pma_adapt_adp_vref_vga_level</ipxact:displayName> + <ipxact:value>radp_vref_vga_level_13</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_datarate" type="string"> + <ipxact:name>pma_adapt_datarate</ipxact:name> + <ipxact:displayName>pma_adapt_datarate</ipxact:displayName> + <ipxact:value>10312500000 bps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_odi_en" type="string"> + <ipxact:name>pma_adapt_odi_en</ipxact:name> + <ipxact:displayName>pma_adapt_odi_en</ipxact:displayName> + <ipxact:value>rodi_en_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_odi_rstn" type="string"> + <ipxact:name>pma_adapt_odi_rstn</ipxact:name> + <ipxact:displayName>pma_adapt_odi_rstn</ipxact:displayName> + <ipxact:value>rodi_rstn_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_odi_spec_sel" type="string"> + <ipxact:name>pma_adapt_odi_spec_sel</ipxact:name> + <ipxact:displayName>pma_adapt_odi_spec_sel</ipxact:displayName> + <ipxact:value>rodi_spec_sel_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_odi_vref_sel" type="string"> + <ipxact:name>pma_adapt_odi_vref_sel</ipxact:name> + <ipxact:displayName>pma_adapt_odi_vref_sel</ipxact:displayName> + <ipxact:value>rodi_vref_sel_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_optimal" type="string"> + <ipxact:name>pma_adapt_optimal</ipxact:name> + <ipxact:displayName>pma_adapt_optimal</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_initial_settings" type="string"> + <ipxact:name>pma_adapt_initial_settings</ipxact:name> + <ipxact:displayName>pma_adapt_initial_settings</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_prot_mode" type="string"> + <ipxact:name>pma_adapt_prot_mode</ipxact:name> + <ipxact:displayName>pma_adapt_prot_mode</ipxact:displayName> + <ipxact:value>basic_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_sup_mode" type="string"> + <ipxact:name>pma_adapt_sup_mode</ipxact:name> + <ipxact:displayName>pma_adapt_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adapt_dfe_control_sel" type="string"> + <ipxact:name>pma_adapt_adapt_dfe_control_sel</ipxact:name> + <ipxact:displayName>pma_adapt_adapt_dfe_control_sel</ipxact:displayName> + <ipxact:value>r_adapt_dfe_control_sel_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_ctle_adapt_bw" type="string"> + <ipxact:name>pma_adapt_adp_ctle_adapt_bw</ipxact:name> + <ipxact:displayName>pma_adapt_adp_ctle_adapt_bw</ipxact:displayName> + <ipxact:value>radp_ctle_adapt_bw_3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_spec_sign" type="string"> + <ipxact:name>pma_adapt_adp_dfe_spec_sign</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_spec_sign</ipxact:displayName> + <ipxact:value>radp_dfe_spec_sign_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_ctle_force_spec_sign" type="string"> + <ipxact:name>pma_adapt_adp_ctle_force_spec_sign</ipxact:name> + <ipxact:displayName>pma_adapt_adp_ctle_force_spec_sign</ipxact:displayName> + <ipxact:value>radp_ctle_force_spec_sign_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_odi_mode" type="string"> + <ipxact:name>pma_adapt_odi_mode</ipxact:name> + <ipxact:displayName>pma_adapt_odi_mode</ipxact:displayName> + <ipxact:value>rodi_mode_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_ctle_threshold" type="string"> + <ipxact:name>pma_adapt_adp_ctle_threshold</ipxact:name> + <ipxact:displayName>pma_adapt_adp_ctle_threshold</ipxact:displayName> + <ipxact:value>radp_ctle_threshold_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_ctle_window" type="string"> + <ipxact:name>pma_adapt_adp_ctle_window</ipxact:name> + <ipxact:displayName>pma_adapt_adp_ctle_window</ipxact:displayName> + <ipxact:value>radp_ctle_window_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_ctle_threshold_en" type="string"> + <ipxact:name>pma_adapt_adp_ctle_threshold_en</ipxact:name> + <ipxact:displayName>pma_adapt_adp_ctle_threshold_en</ipxact:displayName> + <ipxact:value>radp_ctle_threshold_en_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_ctle_spec_sign" type="string"> + <ipxact:name>pma_adapt_adp_ctle_spec_sign</ipxact:name> + <ipxact:displayName>pma_adapt_adp_ctle_spec_sign</ipxact:displayName> + <ipxact:value>radp_ctle_spec_sign_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_odi_control_sel" type="string"> + <ipxact:name>pma_adapt_adp_odi_control_sel</ipxact:name> + <ipxact:displayName>pma_adapt_adp_odi_control_sel</ipxact:displayName> + <ipxact:value>radp_odi_control_sel_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_spec_avg_window" type="string"> + <ipxact:name>pma_adapt_adp_spec_avg_window</ipxact:name> + <ipxact:displayName>pma_adapt_adp_spec_avg_window</ipxact:displayName> + <ipxact:value>radp_spec_avg_window_4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_ctle_adapt_cycle_window" type="string"> + <ipxact:name>pma_adapt_adp_ctle_adapt_cycle_window</ipxact:name> + <ipxact:displayName>pma_adapt_adp_ctle_adapt_cycle_window</ipxact:displayName> + <ipxact:value>radp_ctle_adapt_cycle_window_7</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_odi_dfe_spec_en" type="string"> + <ipxact:name>pma_adapt_odi_dfe_spec_en</ipxact:name> + <ipxact:displayName>pma_adapt_odi_dfe_spec_en</ipxact:displayName> + <ipxact:value>rodi_dfe_spec_en_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_clkout_div_sel" type="string"> + <ipxact:name>pma_adapt_adp_dfe_clkout_div_sel</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_clkout_div_sel</ipxact:displayName> + <ipxact:value>radp_dfe_clkout_div_sel_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_ctle_load_value" type="string"> + <ipxact:name>pma_adapt_adp_ctle_load_value</ipxact:name> + <ipxact:displayName>pma_adapt_adp_ctle_load_value</ipxact:displayName> + <ipxact:value>radp_ctle_load_value_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_rrx_pcie_eqz" type="string"> + <ipxact:name>pma_adapt_rrx_pcie_eqz</ipxact:name> + <ipxact:displayName>pma_adapt_rrx_pcie_eqz</ipxact:displayName> + <ipxact:value>rrx_pcie_eqz_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_bist_mode" type="string"> + <ipxact:name>pma_adapt_adp_bist_mode</ipxact:name> + <ipxact:displayName>pma_adapt_adp_bist_mode</ipxact:displayName> + <ipxact:value>radp_bist_mode_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adapt_dfe_sel" type="string"> + <ipxact:name>pma_adapt_adapt_dfe_sel</ipxact:name> + <ipxact:displayName>pma_adapt_adapt_dfe_sel</ipxact:displayName> + <ipxact:value>r_adapt_dfe_sel_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_spec_trans_filter" type="string"> + <ipxact:name>pma_adapt_adp_spec_trans_filter</ipxact:name> + <ipxact:displayName>pma_adapt_adp_spec_trans_filter</ipxact:displayName> + <ipxact:value>radp_spec_trans_filter_2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_frame_en" type="string"> + <ipxact:name>pma_adapt_adp_frame_en</ipxact:name> + <ipxact:displayName>pma_adapt_adp_frame_en</ipxact:displayName> + <ipxact:value>radp_frame_en_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_odi_count_threshold" type="string"> + <ipxact:name>pma_adapt_odi_count_threshold</ipxact:name> + <ipxact:displayName>pma_adapt_odi_count_threshold</ipxact:displayName> + <ipxact:value>rodi_count_threshold_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_bist_spec_en" type="string"> + <ipxact:name>pma_adapt_adp_bist_spec_en</ipxact:name> + <ipxact:displayName>pma_adapt_adp_bist_spec_en</ipxact:displayName> + <ipxact:value>radp_bist_spec_en_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adapt_mode" type="string"> + <ipxact:name>pma_adapt_adapt_mode</ipxact:name> + <ipxact:displayName>pma_adapt_adapt_mode</ipxact:displayName> + <ipxact:value>manual</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_ctle_adapt_oneshot" type="string"> + <ipxact:name>pma_adapt_adp_ctle_adapt_oneshot</ipxact:name> + <ipxact:displayName>pma_adapt_adp_ctle_adapt_oneshot</ipxact:displayName> + <ipxact:value>radp_ctle_adapt_oneshot_1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_bist_auxpath_en" type="string"> + <ipxact:name>pma_adapt_adp_bist_auxpath_en</ipxact:name> + <ipxact:displayName>pma_adapt_adp_bist_auxpath_en</ipxact:displayName> + <ipxact:value>radp_bist_auxpath_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_frame_out_sel" type="string"> + <ipxact:name>pma_adapt_adp_frame_out_sel</ipxact:name> + <ipxact:displayName>pma_adapt_adp_frame_out_sel</ipxact:displayName> + <ipxact:value>radp_frame_out_sel_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adapt_vga_sel" type="string"> + <ipxact:name>pma_adapt_adapt_vga_sel</ipxact:name> + <ipxact:displayName>pma_adapt_adapt_vga_sel</ipxact:displayName> + <ipxact:value>r_adapt_vga_sel_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_vref_load" type="string"> + <ipxact:name>pma_adapt_adp_vref_load</ipxact:name> + <ipxact:displayName>pma_adapt_adp_vref_load</ipxact:displayName> + <ipxact:value>radp_vref_load_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_ctle_scale_en" type="string"> + <ipxact:name>pma_adapt_adp_ctle_scale_en</ipxact:name> + <ipxact:displayName>pma_adapt_adp_ctle_scale_en</ipxact:displayName> + <ipxact:value>radp_ctle_scale_en_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_onetime_dfe" type="string"> + <ipxact:name>pma_adapt_adp_onetime_dfe</ipxact:name> + <ipxact:displayName>pma_adapt_adp_onetime_dfe</ipxact:displayName> + <ipxact:value>radp_onetime_dfe_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_force_spec_sign" type="string"> + <ipxact:name>pma_adapt_adp_dfe_force_spec_sign</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_force_spec_sign</ipxact:displayName> + <ipxact:value>radp_dfe_force_spec_sign_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_frame_odi_sel" type="string"> + <ipxact:name>pma_adapt_adp_frame_odi_sel</ipxact:name> + <ipxact:displayName>pma_adapt_adp_frame_odi_sel</ipxact:displayName> + <ipxact:value>radp_frame_odi_sel_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_bist_datapath_en" type="string"> + <ipxact:name>pma_adapt_adp_bist_datapath_en</ipxact:name> + <ipxact:displayName>pma_adapt_adp_bist_datapath_en</ipxact:displayName> + <ipxact:value>radp_bist_datapath_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_control_mux_bypass" type="string"> + <ipxact:name>pma_adapt_adp_control_mux_bypass</ipxact:name> + <ipxact:displayName>pma_adapt_adp_control_mux_bypass</ipxact:displayName> + <ipxact:value>radp_control_mux_bypass_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_ctle_vref_polarity" type="string"> + <ipxact:name>pma_adapt_adp_ctle_vref_polarity</ipxact:name> + <ipxact:displayName>pma_adapt_adp_ctle_vref_polarity</ipxact:displayName> + <ipxact:value>radp_ctle_vref_polarity_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_bist_count_rstn" type="string"> + <ipxact:name>pma_adapt_adp_bist_count_rstn</ipxact:name> + <ipxact:displayName>pma_adapt_adp_bist_count_rstn</ipxact:displayName> + <ipxact:value>radp_bist_count_rstn_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_ctle_eqz_1s_sel" type="string"> + <ipxact:name>pma_adapt_adp_ctle_eqz_1s_sel</ipxact:name> + <ipxact:displayName>pma_adapt_adp_ctle_eqz_1s_sel</ipxact:displayName> + <ipxact:value>radp_ctle_eqz_1s_sel_3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_vref_dfe_spec_en" type="string"> + <ipxact:name>pma_adapt_adp_vref_dfe_spec_en</ipxact:name> + <ipxact:displayName>pma_adapt_adp_vref_dfe_spec_en</ipxact:displayName> + <ipxact:value>radp_vref_dfe_spec_en_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_adapt_rstn" type="string"> + <ipxact:name>pma_adapt_adp_adapt_rstn</ipxact:name> + <ipxact:displayName>pma_adapt_adp_adapt_rstn</ipxact:displayName> + <ipxact:value>radp_adapt_rstn_1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_adapt_start" type="string"> + <ipxact:name>pma_adapt_adp_adapt_start</ipxact:name> + <ipxact:displayName>pma_adapt_adp_adapt_start</ipxact:displayName> + <ipxact:value>radp_adapt_start_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_odi_start" type="string"> + <ipxact:name>pma_adapt_odi_start</ipxact:name> + <ipxact:displayName>pma_adapt_odi_start</ipxact:displayName> + <ipxact:value>rodi_start_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_ctle_sweep_direction" type="string"> + <ipxact:name>pma_adapt_adp_ctle_sweep_direction</ipxact:name> + <ipxact:displayName>pma_adapt_adp_ctle_sweep_direction</ipxact:displayName> + <ipxact:value>radp_ctle_sweep_direction_1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_vga_load" type="string"> + <ipxact:name>pma_adapt_adp_vga_load</ipxact:name> + <ipxact:displayName>pma_adapt_adp_vga_load</ipxact:displayName> + <ipxact:value>radp_vga_load_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_frame_capture" type="string"> + <ipxact:name>pma_adapt_adp_frame_capture</ipxact:name> + <ipxact:displayName>pma_adapt_adp_frame_capture</ipxact:displayName> + <ipxact:value>radp_frame_capture_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_adapt_control_sel" type="string"> + <ipxact:name>pma_adapt_adp_adapt_control_sel</ipxact:name> + <ipxact:displayName>pma_adapt_adp_adapt_control_sel</ipxact:displayName> + <ipxact:value>radp_adapt_control_sel_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_bist_odi_dfe_sel" type="string"> + <ipxact:name>pma_adapt_adp_bist_odi_dfe_sel</ipxact:name> + <ipxact:displayName>pma_adapt_adp_bist_odi_dfe_sel</ipxact:displayName> + <ipxact:value>radp_bist_odi_dfe_sel_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adapt_vref_sel" type="string"> + <ipxact:name>pma_adapt_adapt_vref_sel</ipxact:name> + <ipxact:displayName>pma_adapt_adapt_vref_sel</ipxact:displayName> + <ipxact:value>r_adapt_vref_sel_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_mode" type="string"> + <ipxact:name>pma_adapt_adp_mode</ipxact:name> + <ipxact:displayName>pma_adapt_adp_mode</ipxact:displayName> + <ipxact:value>radp_mode_8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_ctle_load" type="string"> + <ipxact:name>pma_adapt_adp_ctle_load</ipxact:name> + <ipxact:displayName>pma_adapt_adp_ctle_load</ipxact:displayName> + <ipxact:value>radp_ctle_load_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_cdr_clkin_scratch0_src" type="string"> + <ipxact:name>pma_cdr_refclk_cdr_clkin_scratch0_src</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_cdr_clkin_scratch0_src</ipxact:displayName> + <ipxact:value>cdr_clkin_scratch0_src_refclk_iqclk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_cdr_clkin_scratch1_src" type="string"> + <ipxact:name>pma_cdr_refclk_cdr_clkin_scratch1_src</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_cdr_clkin_scratch1_src</ipxact:displayName> + <ipxact:value>cdr_clkin_scratch1_src_refclk_iqclk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_cdr_clkin_scratch2_src" type="string"> + <ipxact:name>pma_cdr_refclk_cdr_clkin_scratch2_src</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_cdr_clkin_scratch2_src</ipxact:displayName> + <ipxact:value>cdr_clkin_scratch2_src_refclk_iqclk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_cdr_clkin_scratch3_src" type="string"> + <ipxact:name>pma_cdr_refclk_cdr_clkin_scratch3_src</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_cdr_clkin_scratch3_src</ipxact:displayName> + <ipxact:value>cdr_clkin_scratch3_src_refclk_iqclk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_cdr_clkin_scratch4_src" type="string"> + <ipxact:name>pma_cdr_refclk_cdr_clkin_scratch4_src</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_cdr_clkin_scratch4_src</ipxact:displayName> + <ipxact:value>cdr_clkin_scratch4_src_refclk_iqclk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_powerdown_mode" type="string"> + <ipxact:name>pma_cdr_refclk_powerdown_mode</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_powerdown_mode</ipxact:displayName> + <ipxact:value>powerup</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_receiver_detect_src" type="string"> + <ipxact:name>pma_cdr_refclk_receiver_detect_src</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_receiver_detect_src</ipxact:displayName> + <ipxact:value>iqclk_src</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_xmux_refclk_src" type="string"> + <ipxact:name>pma_cdr_refclk_xmux_refclk_src</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_xmux_refclk_src</ipxact:displayName> + <ipxact:value>refclk_iqclk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_xpm_iqref_mux_iqclk_sel" type="string"> + <ipxact:name>pma_cdr_refclk_xpm_iqref_mux_iqclk_sel</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_xpm_iqref_mux_iqclk_sel</ipxact:displayName> + <ipxact:value>power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_xpm_iqref_mux_scratch0_src" type="string"> + <ipxact:name>pma_cdr_refclk_xpm_iqref_mux_scratch0_src</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_xpm_iqref_mux_scratch0_src</ipxact:displayName> + <ipxact:value>scratch0_power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_xpm_iqref_mux_scratch1_src" type="string"> + <ipxact:name>pma_cdr_refclk_xpm_iqref_mux_scratch1_src</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_xpm_iqref_mux_scratch1_src</ipxact:displayName> + <ipxact:value>scratch1_power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_xpm_iqref_mux_scratch2_src" type="string"> + <ipxact:name>pma_cdr_refclk_xpm_iqref_mux_scratch2_src</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_xpm_iqref_mux_scratch2_src</ipxact:displayName> + <ipxact:value>scratch2_power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_xpm_iqref_mux_scratch3_src" type="string"> + <ipxact:name>pma_cdr_refclk_xpm_iqref_mux_scratch3_src</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_xpm_iqref_mux_scratch3_src</ipxact:displayName> + <ipxact:value>scratch3_power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_xpm_iqref_mux_scratch4_src" type="string"> + <ipxact:name>pma_cdr_refclk_xpm_iqref_mux_scratch4_src</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_xpm_iqref_mux_scratch4_src</ipxact:displayName> + <ipxact:value>scratch4_power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_refclk_select" type="string"> + <ipxact:name>pma_cdr_refclk_refclk_select</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_refclk_select</ipxact:displayName> + <ipxact:value>ref_iqclk0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_silicon_rev" type="string"> + <ipxact:name>pma_cdr_refclk_silicon_rev</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_inclk0_logical_to_physical_mapping" type="string"> + <ipxact:name>pma_cdr_refclk_inclk0_logical_to_physical_mapping</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_inclk0_logical_to_physical_mapping</ipxact:displayName> + <ipxact:value>ref_iqclk0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_inclk1_logical_to_physical_mapping" type="string"> + <ipxact:name>pma_cdr_refclk_inclk1_logical_to_physical_mapping</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_inclk1_logical_to_physical_mapping</ipxact:displayName> + <ipxact:value>ref_iqclk0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_inclk2_logical_to_physical_mapping" type="string"> + <ipxact:name>pma_cdr_refclk_inclk2_logical_to_physical_mapping</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_inclk2_logical_to_physical_mapping</ipxact:displayName> + <ipxact:value>ref_iqclk0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_inclk3_logical_to_physical_mapping" type="string"> + <ipxact:name>pma_cdr_refclk_inclk3_logical_to_physical_mapping</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_inclk3_logical_to_physical_mapping</ipxact:displayName> + <ipxact:value>ref_iqclk0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_inclk4_logical_to_physical_mapping" type="string"> + <ipxact:name>pma_cdr_refclk_inclk4_logical_to_physical_mapping</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_inclk4_logical_to_physical_mapping</ipxact:displayName> + <ipxact:value>ref_iqclk0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_silicon_rev" type="string"> + <ipxact:name>pma_cgb_silicon_rev</ipxact:name> + <ipxact:displayName>pma_cgb_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_observe_cgb_clocks" type="string"> + <ipxact:name>pma_cgb_observe_cgb_clocks</ipxact:name> + <ipxact:displayName>pma_cgb_observe_cgb_clocks</ipxact:displayName> + <ipxact:value>observe_nothing</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_bitslip_enable" type="string"> + <ipxact:name>pma_cgb_bitslip_enable</ipxact:name> + <ipxact:displayName>pma_cgb_bitslip_enable</ipxact:displayName> + <ipxact:value>disable_bitslip</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_bonding_mode" type="string"> + <ipxact:name>pma_cgb_bonding_mode</ipxact:name> + <ipxact:displayName>pma_cgb_bonding_mode</ipxact:displayName> + <ipxact:value>x1_non_bonded</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_bonding_reset_enable" type="string"> + <ipxact:name>pma_cgb_bonding_reset_enable</ipxact:name> + <ipxact:displayName>pma_cgb_bonding_reset_enable</ipxact:displayName> + <ipxact:value>disallow_bonding_reset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_cgb_power_down" type="string"> + <ipxact:name>pma_cgb_cgb_power_down</ipxact:name> + <ipxact:displayName>pma_cgb_cgb_power_down</ipxact:displayName> + <ipxact:value>normal_cgb</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_datarate" type="string"> + <ipxact:name>pma_cgb_datarate</ipxact:name> + <ipxact:displayName>pma_cgb_datarate</ipxact:displayName> + <ipxact:value>10312500000 bps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_pcie_gen3_bitwidth" type="string"> + <ipxact:name>pma_cgb_pcie_gen3_bitwidth</ipxact:name> + <ipxact:displayName>pma_cgb_pcie_gen3_bitwidth</ipxact:displayName> + <ipxact:value>pciegen3_wide</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_prot_mode" type="string"> + <ipxact:name>pma_cgb_prot_mode</ipxact:name> + <ipxact:displayName>pma_cgb_prot_mode</ipxact:displayName> + <ipxact:value>basic_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_scratch0_x1_clock_src" type="string"> + <ipxact:name>pma_cgb_scratch0_x1_clock_src</ipxact:name> + <ipxact:displayName>pma_cgb_scratch0_x1_clock_src</ipxact:displayName> + <ipxact:value>unused</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_scratch1_x1_clock_src" type="string"> + <ipxact:name>pma_cgb_scratch1_x1_clock_src</ipxact:name> + <ipxact:displayName>pma_cgb_scratch1_x1_clock_src</ipxact:displayName> + <ipxact:value>unused</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_scratch2_x1_clock_src" type="string"> + <ipxact:name>pma_cgb_scratch2_x1_clock_src</ipxact:name> + <ipxact:displayName>pma_cgb_scratch2_x1_clock_src</ipxact:displayName> + <ipxact:value>unused</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_scratch3_x1_clock_src" type="string"> + <ipxact:name>pma_cgb_scratch3_x1_clock_src</ipxact:name> + <ipxact:displayName>pma_cgb_scratch3_x1_clock_src</ipxact:displayName> + <ipxact:value>unused</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_select_done_master_or_slave" type="string"> + <ipxact:name>pma_cgb_select_done_master_or_slave</ipxact:name> + <ipxact:displayName>pma_cgb_select_done_master_or_slave</ipxact:displayName> + <ipxact:value>choose_master_pcie_sw_done</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_ser_mode" type="string"> + <ipxact:name>pma_cgb_ser_mode</ipxact:name> + <ipxact:displayName>pma_cgb_ser_mode</ipxact:displayName> + <ipxact:value>thirty_two_bit</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_ser_powerdown" type="string"> + <ipxact:name>pma_cgb_ser_powerdown</ipxact:name> + <ipxact:displayName>pma_cgb_ser_powerdown</ipxact:displayName> + <ipxact:value>normal_poweron_ser</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_sup_mode" type="string"> + <ipxact:name>pma_cgb_sup_mode</ipxact:name> + <ipxact:displayName>pma_cgb_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_vccdreg_output" type="string"> + <ipxact:name>pma_cgb_vccdreg_output</ipxact:name> + <ipxact:displayName>pma_cgb_vccdreg_output</ipxact:displayName> + <ipxact:value>vccdreg_nominal</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_x1_clock_source_sel" type="string"> + <ipxact:name>pma_cgb_x1_clock_source_sel</ipxact:name> + <ipxact:displayName>pma_cgb_x1_clock_source_sel</ipxact:displayName> + <ipxact:value>cdr_txpll_t</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_x1_div_m_sel" type="string"> + <ipxact:name>pma_cgb_x1_div_m_sel</ipxact:name> + <ipxact:displayName>pma_cgb_x1_div_m_sel</ipxact:displayName> + <ipxact:value>divbypass</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_xn_clock_source_sel" type="string"> + <ipxact:name>pma_cgb_xn_clock_source_sel</ipxact:name> + <ipxact:displayName>pma_cgb_xn_clock_source_sel</ipxact:displayName> + <ipxact:value>sel_xn_up</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_input_select_x1" type="string"> + <ipxact:name>pma_cgb_input_select_x1</ipxact:name> + <ipxact:displayName>pma_cgb_input_select_x1</ipxact:displayName> + <ipxact:value>fpll_bot</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_input_select_gen3" type="string"> + <ipxact:name>pma_cgb_input_select_gen3</ipxact:name> + <ipxact:displayName>pma_cgb_input_select_gen3</ipxact:displayName> + <ipxact:value>unused</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_input_select_xn" type="string"> + <ipxact:name>pma_cgb_input_select_xn</ipxact:name> + <ipxact:displayName>pma_cgb_input_select_xn</ipxact:displayName> + <ipxact:value>unused</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_tx_ucontrol_reset" type="string"> + <ipxact:name>pma_cgb_tx_ucontrol_reset</ipxact:name> + <ipxact:displayName>pma_cgb_tx_ucontrol_reset</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_tx_ucontrol_en" type="string"> + <ipxact:name>pma_cgb_tx_ucontrol_en</ipxact:name> + <ipxact:displayName>pma_cgb_tx_ucontrol_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_initial_settings" type="string"> + <ipxact:name>pma_cgb_initial_settings</ipxact:name> + <ipxact:displayName>pma_cgb_initial_settings</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_tx_ucontrol_pcie" type="string"> + <ipxact:name>pma_cgb_tx_ucontrol_pcie</ipxact:name> + <ipxact:displayName>pma_cgb_tx_ucontrol_pcie</ipxact:displayName> + <ipxact:value>gen1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_dprio_cgb_vreg_boost" type="string"> + <ipxact:name>pma_cgb_dprio_cgb_vreg_boost</ipxact:name> + <ipxact:displayName>pma_cgb_dprio_cgb_vreg_boost</ipxact:displayName> + <ipxact:value>no_voltage_boost</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_silicon_rev" type="string"> + <ipxact:name>pma_rx_dfe_silicon_rev</ipxact:name> + <ipxact:displayName>pma_rx_dfe_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_atb_select" type="string"> + <ipxact:name>pma_rx_dfe_atb_select</ipxact:name> + <ipxact:displayName>pma_rx_dfe_atb_select</ipxact:displayName> + <ipxact:value>atb_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_datarate" type="string"> + <ipxact:name>pma_rx_dfe_datarate</ipxact:name> + <ipxact:displayName>pma_rx_dfe_datarate</ipxact:displayName> + <ipxact:value>10312500000 bps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_dft_en" type="string"> + <ipxact:name>pma_rx_dfe_dft_en</ipxact:name> + <ipxact:displayName>pma_rx_dfe_dft_en</ipxact:displayName> + <ipxact:value>dft_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_oc_sa_c270" type="int"> + <ipxact:name>pma_rx_dfe_oc_sa_c270</ipxact:name> + <ipxact:displayName>pma_rx_dfe_oc_sa_c270</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_oc_sa_c90" type="int"> + <ipxact:name>pma_rx_dfe_oc_sa_c90</ipxact:name> + <ipxact:displayName>pma_rx_dfe_oc_sa_c90</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_oc_sa_d0c0" type="int"> + <ipxact:name>pma_rx_dfe_oc_sa_d0c0</ipxact:name> + <ipxact:displayName>pma_rx_dfe_oc_sa_d0c0</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_oc_sa_d0c180" type="int"> + <ipxact:name>pma_rx_dfe_oc_sa_d0c180</ipxact:name> + <ipxact:displayName>pma_rx_dfe_oc_sa_d0c180</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_oc_sa_d1c0" type="int"> + <ipxact:name>pma_rx_dfe_oc_sa_d1c0</ipxact:name> + <ipxact:displayName>pma_rx_dfe_oc_sa_d1c0</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_oc_sa_d1c180" type="int"> + <ipxact:name>pma_rx_dfe_oc_sa_d1c180</ipxact:name> + <ipxact:displayName>pma_rx_dfe_oc_sa_d1c180</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_optimal" type="string"> + <ipxact:name>pma_rx_dfe_optimal</ipxact:name> + <ipxact:displayName>pma_rx_dfe_optimal</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_pdb" type="string"> + <ipxact:name>pma_rx_dfe_pdb</ipxact:name> + <ipxact:displayName>pma_rx_dfe_pdb</ipxact:displayName> + <ipxact:value>dfe_enable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_pdb_fixedtap" type="string"> + <ipxact:name>pma_rx_dfe_pdb_fixedtap</ipxact:name> + <ipxact:displayName>pma_rx_dfe_pdb_fixedtap</ipxact:displayName> + <ipxact:value>fixtap_dfe_powerdown</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_pdb_floattap" type="string"> + <ipxact:name>pma_rx_dfe_pdb_floattap</ipxact:name> + <ipxact:displayName>pma_rx_dfe_pdb_floattap</ipxact:displayName> + <ipxact:value>floattap_dfe_powerdown</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_pdb_fxtap4t7" type="string"> + <ipxact:name>pma_rx_dfe_pdb_fxtap4t7</ipxact:name> + <ipxact:displayName>pma_rx_dfe_pdb_fxtap4t7</ipxact:displayName> + <ipxact:value>fxtap4t7_powerdown</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_power_mode" type="string"> + <ipxact:name>pma_rx_dfe_power_mode</ipxact:name> + <ipxact:displayName>pma_rx_dfe_power_mode</ipxact:displayName> + <ipxact:value>mid_power</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_sel_fltapstep_dec" type="string"> + <ipxact:name>pma_rx_dfe_sel_fltapstep_dec</ipxact:name> + <ipxact:displayName>pma_rx_dfe_sel_fltapstep_dec</ipxact:displayName> + <ipxact:value>fltap_step_no_dec</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_sel_fltapstep_inc" type="string"> + <ipxact:name>pma_rx_dfe_sel_fltapstep_inc</ipxact:name> + <ipxact:displayName>pma_rx_dfe_sel_fltapstep_inc</ipxact:displayName> + <ipxact:value>fltap_step_no_inc</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_sel_fxtapstep_dec" type="string"> + <ipxact:name>pma_rx_dfe_sel_fxtapstep_dec</ipxact:name> + <ipxact:displayName>pma_rx_dfe_sel_fxtapstep_dec</ipxact:displayName> + <ipxact:value>fxtap_step_no_dec</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_sel_fxtapstep_inc" type="string"> + <ipxact:name>pma_rx_dfe_sel_fxtapstep_inc</ipxact:name> + <ipxact:displayName>pma_rx_dfe_sel_fxtapstep_inc</ipxact:displayName> + <ipxact:value>fxtap_step_no_inc</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_sel_oc_en" type="string"> + <ipxact:name>pma_rx_dfe_sel_oc_en</ipxact:name> + <ipxact:displayName>pma_rx_dfe_sel_oc_en</ipxact:displayName> + <ipxact:value>off_canc_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_sel_probe_tstmx" type="string"> + <ipxact:name>pma_rx_dfe_sel_probe_tstmx</ipxact:name> + <ipxact:displayName>pma_rx_dfe_sel_probe_tstmx</ipxact:displayName> + <ipxact:value>probe_tstmx_none</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_sup_mode" type="string"> + <ipxact:name>pma_rx_dfe_sup_mode</ipxact:name> + <ipxact:displayName>pma_rx_dfe_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_uc_rx_dfe_cal" type="string"> + <ipxact:name>pma_rx_dfe_uc_rx_dfe_cal</ipxact:name> + <ipxact:displayName>pma_rx_dfe_uc_rx_dfe_cal</ipxact:displayName> + <ipxact:value>uc_rx_dfe_cal_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_uc_rx_dfe_cal_status" type="string"> + <ipxact:name>pma_rx_dfe_uc_rx_dfe_cal_status</ipxact:name> + <ipxact:displayName>pma_rx_dfe_uc_rx_dfe_cal_status</ipxact:displayName> + <ipxact:value>uc_rx_dfe_cal_notdone</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_oc_sa_adp1" type="int"> + <ipxact:name>pma_rx_dfe_oc_sa_adp1</ipxact:name> + <ipxact:displayName>pma_rx_dfe_oc_sa_adp1</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_oc_sa_adp2" type="int"> + <ipxact:name>pma_rx_dfe_oc_sa_adp2</ipxact:name> + <ipxact:displayName>pma_rx_dfe_oc_sa_adp2</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_initial_settings" type="string"> + <ipxact:name>pma_rx_dfe_initial_settings</ipxact:name> + <ipxact:displayName>pma_rx_dfe_initial_settings</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_prot_mode" type="string"> + <ipxact:name>pma_rx_dfe_prot_mode</ipxact:name> + <ipxact:displayName>pma_rx_dfe_prot_mode</ipxact:displayName> + <ipxact:value>basic_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_silicon_rev" type="string"> + <ipxact:name>pma_rx_odi_silicon_rev</ipxact:name> + <ipxact:displayName>pma_rx_odi_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_datarate" type="string"> + <ipxact:name>pma_rx_odi_datarate</ipxact:name> + <ipxact:displayName>pma_rx_odi_datarate</ipxact:displayName> + <ipxact:value>10312500000 bps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_enable_odi" type="string"> + <ipxact:name>pma_rx_odi_enable_odi</ipxact:name> + <ipxact:displayName>pma_rx_odi_enable_odi</ipxact:displayName> + <ipxact:value>power_down_eye</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_monitor_bw_sel" type="string"> + <ipxact:name>pma_rx_odi_monitor_bw_sel</ipxact:name> + <ipxact:displayName>pma_rx_odi_monitor_bw_sel</ipxact:displayName> + <ipxact:value>bw_1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_optimal" type="string"> + <ipxact:name>pma_rx_odi_optimal</ipxact:name> + <ipxact:displayName>pma_rx_odi_optimal</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_phase_steps_64_vs_128" type="string"> + <ipxact:name>pma_rx_odi_phase_steps_64_vs_128</ipxact:name> + <ipxact:displayName>pma_rx_odi_phase_steps_64_vs_128</ipxact:displayName> + <ipxact:value>phase_steps_64</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_phase_steps_sel" type="string"> + <ipxact:name>pma_rx_odi_phase_steps_sel</ipxact:name> + <ipxact:displayName>pma_rx_odi_phase_steps_sel</ipxact:displayName> + <ipxact:value>step40</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_power_mode" type="string"> + <ipxact:name>pma_rx_odi_power_mode</ipxact:name> + <ipxact:displayName>pma_rx_odi_power_mode</ipxact:displayName> + <ipxact:value>mid_power</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_sup_mode" type="string"> + <ipxact:name>pma_rx_odi_sup_mode</ipxact:name> + <ipxact:displayName>pma_rx_odi_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_v_vert_threshold_scaling" type="string"> + <ipxact:name>pma_rx_odi_v_vert_threshold_scaling</ipxact:name> + <ipxact:displayName>pma_rx_odi_v_vert_threshold_scaling</ipxact:displayName> + <ipxact:value>scale_3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_vert_threshold" type="string"> + <ipxact:name>pma_rx_odi_vert_threshold</ipxact:name> + <ipxact:displayName>pma_rx_odi_vert_threshold</ipxact:displayName> + <ipxact:value>vert_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_oc_sa_c0" type="int"> + <ipxact:name>pma_rx_odi_oc_sa_c0</ipxact:name> + <ipxact:displayName>pma_rx_odi_oc_sa_c0</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_initial_settings" type="string"> + <ipxact:name>pma_rx_odi_initial_settings</ipxact:name> + <ipxact:displayName>pma_rx_odi_initial_settings</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_v_vert_sel" type="string"> + <ipxact:name>pma_rx_odi_v_vert_sel</ipxact:name> + <ipxact:displayName>pma_rx_odi_v_vert_sel</ipxact:displayName> + <ipxact:value>plus</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_sel_oc_en" type="string"> + <ipxact:name>pma_rx_odi_sel_oc_en</ipxact:name> + <ipxact:displayName>pma_rx_odi_sel_oc_en</ipxact:displayName> + <ipxact:value>off_canc_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_clk_dcd_bypass" type="string"> + <ipxact:name>pma_rx_odi_clk_dcd_bypass</ipxact:name> + <ipxact:displayName>pma_rx_odi_clk_dcd_bypass</ipxact:displayName> + <ipxact:value>no_bypass</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_invert_dfe_vref" type="string"> + <ipxact:name>pma_rx_odi_invert_dfe_vref</ipxact:name> + <ipxact:displayName>pma_rx_odi_invert_dfe_vref</ipxact:displayName> + <ipxact:value>no_inversion</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_step_ctrl_sel" type="string"> + <ipxact:name>pma_rx_odi_step_ctrl_sel</ipxact:name> + <ipxact:displayName>pma_rx_odi_step_ctrl_sel</ipxact:displayName> + <ipxact:value>dprio_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_prot_mode" type="string"> + <ipxact:name>pma_rx_odi_prot_mode</ipxact:name> + <ipxact:displayName>pma_rx_odi_prot_mode</ipxact:displayName> + <ipxact:value>basic_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_oc_sa_c180" type="int"> + <ipxact:name>pma_rx_odi_oc_sa_c180</ipxact:name> + <ipxact:displayName>pma_rx_odi_oc_sa_c180</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_silicon_rev" type="string"> + <ipxact:name>pma_rx_buf_silicon_rev</ipxact:name> + <ipxact:displayName>pma_rx_buf_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_bypass_eqz_stages_234" type="string"> + <ipxact:name>pma_rx_buf_bypass_eqz_stages_234</ipxact:name> + <ipxact:displayName>pma_rx_buf_bypass_eqz_stages_234</ipxact:displayName> + <ipxact:value>bypass_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_cdrclk_to_cgb" type="string"> + <ipxact:name>pma_rx_buf_cdrclk_to_cgb</ipxact:name> + <ipxact:displayName>pma_rx_buf_cdrclk_to_cgb</ipxact:displayName> + <ipxact:value>cdrclk_2cgb_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_datarate" type="string"> + <ipxact:name>pma_rx_buf_datarate</ipxact:name> + <ipxact:displayName>pma_rx_buf_datarate</ipxact:displayName> + <ipxact:value>10312500000 bps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_diag_lp_en" type="string"> + <ipxact:name>pma_rx_buf_diag_lp_en</ipxact:name> + <ipxact:displayName>pma_rx_buf_diag_lp_en</ipxact:displayName> + <ipxact:value>dlp_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_eq_bw_sel" type="string"> + <ipxact:name>pma_rx_buf_eq_bw_sel</ipxact:name> + <ipxact:displayName>pma_rx_buf_eq_bw_sel</ipxact:displayName> + <ipxact:value>eq_bw_3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_input_vcm_sel" type="string"> + <ipxact:name>pma_rx_buf_input_vcm_sel</ipxact:name> + <ipxact:displayName>pma_rx_buf_input_vcm_sel</ipxact:displayName> + <ipxact:value>high_vcm</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_link_rx" type="string"> + <ipxact:name>pma_rx_buf_link_rx</ipxact:name> + <ipxact:displayName>pma_rx_buf_link_rx</ipxact:displayName> + <ipxact:value>sr</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_offset_cal_pd" type="string"> + <ipxact:name>pma_rx_buf_offset_cal_pd</ipxact:name> + <ipxact:displayName>pma_rx_buf_offset_cal_pd</ipxact:displayName> + <ipxact:value>eqz1_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_offset_cancellation_ctrl" type="string"> + <ipxact:name>pma_rx_buf_offset_cancellation_ctrl</ipxact:name> + <ipxact:displayName>pma_rx_buf_offset_cancellation_ctrl</ipxact:displayName> + <ipxact:value>volt_0mv</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_offset_pd" type="string"> + <ipxact:name>pma_rx_buf_offset_pd</ipxact:name> + <ipxact:displayName>pma_rx_buf_offset_pd</ipxact:displayName> + <ipxact:value>oc_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_optimal" type="string"> + <ipxact:name>pma_rx_buf_optimal</ipxact:name> + <ipxact:displayName>pma_rx_buf_optimal</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_pdb_rx" type="string"> + <ipxact:name>pma_rx_buf_pdb_rx</ipxact:name> + <ipxact:displayName>pma_rx_buf_pdb_rx</ipxact:displayName> + <ipxact:value>normal_rx_on</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_power_mode_rx" type="string"> + <ipxact:name>pma_rx_buf_power_mode_rx</ipxact:name> + <ipxact:displayName>pma_rx_buf_power_mode_rx</ipxact:displayName> + <ipxact:value>mid_power</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_prot_mode" type="string"> + <ipxact:name>pma_rx_buf_prot_mode</ipxact:name> + <ipxact:displayName>pma_rx_buf_prot_mode</ipxact:displayName> + <ipxact:value>basic_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_qpi_enable" type="string"> + <ipxact:name>pma_rx_buf_qpi_enable</ipxact:name> + <ipxact:displayName>pma_rx_buf_qpi_enable</ipxact:displayName> + <ipxact:value>non_qpi_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_rx_atb_select" type="string"> + <ipxact:name>pma_rx_buf_rx_atb_select</ipxact:name> + <ipxact:displayName>pma_rx_buf_rx_atb_select</ipxact:displayName> + <ipxact:value>atb_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_rx_refclk_divider" type="string"> + <ipxact:name>pma_rx_buf_rx_refclk_divider</ipxact:name> + <ipxact:displayName>pma_rx_buf_rx_refclk_divider</ipxact:displayName> + <ipxact:value>bypass_divider</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_rx_sel_bias_source" type="string"> + <ipxact:name>pma_rx_buf_rx_sel_bias_source</ipxact:name> + <ipxact:displayName>pma_rx_buf_rx_sel_bias_source</ipxact:displayName> + <ipxact:value>bias_vcmdrv</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_sup_mode" type="string"> + <ipxact:name>pma_rx_buf_sup_mode</ipxact:name> + <ipxact:displayName>pma_rx_buf_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_term_sel" type="string"> + <ipxact:name>pma_rx_buf_term_sel</ipxact:name> + <ipxact:displayName>pma_rx_buf_term_sel</ipxact:displayName> + <ipxact:value>r_r1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_vccela_supply_voltage" type="string"> + <ipxact:name>pma_rx_buf_vccela_supply_voltage</ipxact:name> + <ipxact:displayName>pma_rx_buf_vccela_supply_voltage</ipxact:displayName> + <ipxact:value>vccela_1p1v</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_vcm_current_add" type="string"> + <ipxact:name>pma_rx_buf_vcm_current_add</ipxact:name> + <ipxact:displayName>pma_rx_buf_vcm_current_add</ipxact:displayName> + <ipxact:value>vcm_current_default</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_vcm_sel" type="string"> + <ipxact:name>pma_rx_buf_vcm_sel</ipxact:name> + <ipxact:displayName>pma_rx_buf_vcm_sel</ipxact:displayName> + <ipxact:value>vcm_setting_03</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_eq_dc_gain_trim" type="string"> + <ipxact:name>pma_rx_buf_eq_dc_gain_trim</ipxact:name> + <ipxact:displayName>pma_rx_buf_eq_dc_gain_trim</ipxact:displayName> + <ipxact:value>stg2_gain7</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_offset_cancellation_coarse" type="string"> + <ipxact:name>pma_rx_buf_offset_cancellation_coarse</ipxact:name> + <ipxact:displayName>pma_rx_buf_offset_cancellation_coarse</ipxact:displayName> + <ipxact:value>coarse_setting_00</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_bodybias_select" type="string"> + <ipxact:name>pma_rx_buf_bodybias_select</ipxact:name> + <ipxact:displayName>pma_rx_buf_bodybias_select</ipxact:displayName> + <ipxact:value>bodybias_sel1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_bodybias_enable" type="string"> + <ipxact:name>pma_rx_buf_bodybias_enable</ipxact:name> + <ipxact:displayName>pma_rx_buf_bodybias_enable</ipxact:displayName> + <ipxact:value>bodybias_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_offset_cancellation_fine" type="string"> + <ipxact:name>pma_rx_buf_offset_cancellation_fine</ipxact:name> + <ipxact:displayName>pma_rx_buf_offset_cancellation_fine</ipxact:displayName> + <ipxact:value>fine_setting_00</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_act_isource_disable" type="string"> + <ipxact:name>pma_rx_buf_act_isource_disable</ipxact:name> + <ipxact:displayName>pma_rx_buf_act_isource_disable</ipxact:displayName> + <ipxact:value>isrc_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_one_stage_enable" type="string"> + <ipxact:name>pma_rx_buf_one_stage_enable</ipxact:name> + <ipxact:displayName>pma_rx_buf_one_stage_enable</ipxact:displayName> + <ipxact:value>s1_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_loopback_modes" type="string"> + <ipxact:name>pma_rx_buf_loopback_modes</ipxact:name> + <ipxact:displayName>pma_rx_buf_loopback_modes</ipxact:displayName> + <ipxact:value>lpbk_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_lfeq_zero_control" type="string"> + <ipxact:name>pma_rx_buf_lfeq_zero_control</ipxact:name> + <ipxact:displayName>pma_rx_buf_lfeq_zero_control</ipxact:displayName> + <ipxact:value>lfeq_setting_2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_initial_settings" type="string"> + <ipxact:name>pma_rx_buf_initial_settings</ipxact:name> + <ipxact:displayName>pma_rx_buf_initial_settings</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_lfeq_enable" type="string"> + <ipxact:name>pma_rx_buf_lfeq_enable</ipxact:name> + <ipxact:displayName>pma_rx_buf_lfeq_enable</ipxact:displayName> + <ipxact:value>non_lfeq_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_term_tri_enable" type="string"> + <ipxact:name>pma_rx_buf_term_tri_enable</ipxact:name> + <ipxact:displayName>pma_rx_buf_term_tri_enable</ipxact:displayName> + <ipxact:value>disable_tri</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_vga_bandwidth_select" type="string"> + <ipxact:name>pma_rx_buf_vga_bandwidth_select</ipxact:name> + <ipxact:displayName>pma_rx_buf_vga_bandwidth_select</ipxact:displayName> + <ipxact:value>vga_bw_1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_refclk_en" type="string"> + <ipxact:name>pma_rx_buf_refclk_en</ipxact:name> + <ipxact:displayName>pma_rx_buf_refclk_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_cgm_bias_disable" type="string"> + <ipxact:name>pma_rx_buf_cgm_bias_disable</ipxact:name> + <ipxact:displayName>pma_rx_buf_cgm_bias_disable</ipxact:displayName> + <ipxact:value>cgmbias_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_pm_tx_rx_pcie_gen" type="string"> + <ipxact:name>pma_rx_buf_pm_tx_rx_pcie_gen</ipxact:name> + <ipxact:displayName>pma_rx_buf_pm_tx_rx_pcie_gen</ipxact:displayName> + <ipxact:value>non_pcie</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_pm_tx_rx_pcie_gen_bitwidth" type="string"> + <ipxact:name>pma_rx_buf_pm_tx_rx_pcie_gen_bitwidth</ipxact:name> + <ipxact:displayName>pma_rx_buf_pm_tx_rx_pcie_gen_bitwidth</ipxact:displayName> + <ipxact:value>pcie_gen3_32b</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_pm_tx_rx_cvp_mode" type="string"> + <ipxact:name>pma_rx_buf_pm_tx_rx_cvp_mode</ipxact:name> + <ipxact:displayName>pma_rx_buf_pm_tx_rx_cvp_mode</ipxact:displayName> + <ipxact:value>cvp_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_pm_tx_rx_testmux_select" type="string"> + <ipxact:name>pma_rx_buf_pm_tx_rx_testmux_select</ipxact:name> + <ipxact:displayName>pma_rx_buf_pm_tx_rx_testmux_select</ipxact:displayName> + <ipxact:value>setting0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_xrx_path_jtag_hys" type="string"> + <ipxact:name>pma_rx_buf_xrx_path_jtag_hys</ipxact:name> + <ipxact:displayName>pma_rx_buf_xrx_path_jtag_hys</ipxact:displayName> + <ipxact:value>hys_increase_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_xrx_path_jtag_lp" type="string"> + <ipxact:name>pma_rx_buf_xrx_path_jtag_lp</ipxact:name> + <ipxact:displayName>pma_rx_buf_xrx_path_jtag_lp</ipxact:displayName> + <ipxact:value>lp_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_xrx_path_uc_rx_rstb" type="string"> + <ipxact:name>pma_rx_buf_xrx_path_uc_rx_rstb</ipxact:name> + <ipxact:displayName>pma_rx_buf_xrx_path_uc_rx_rstb</ipxact:displayName> + <ipxact:value>rx_reset_on</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_xrx_path_uc_pcie_sw" type="string"> + <ipxact:name>pma_rx_buf_xrx_path_uc_pcie_sw</ipxact:name> + <ipxact:displayName>pma_rx_buf_xrx_path_uc_pcie_sw</ipxact:displayName> + <ipxact:value>uc_pcie_gen1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_xrx_path_uc_cal_enable" type="string"> + <ipxact:name>pma_rx_buf_xrx_path_uc_cal_enable</ipxact:name> + <ipxact:displayName>pma_rx_buf_xrx_path_uc_cal_enable</ipxact:displayName> + <ipxact:value>rx_cal_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_xrx_path_uc_cru_rstb" type="string"> + <ipxact:name>pma_rx_buf_xrx_path_uc_cru_rstb</ipxact:name> + <ipxact:displayName>pma_rx_buf_xrx_path_uc_cru_rstb</ipxact:displayName> + <ipxact:value>cdr_lf_reset_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_xrx_path_sup_mode" type="string"> + <ipxact:name>pma_rx_buf_xrx_path_sup_mode</ipxact:name> + <ipxact:displayName>pma_rx_buf_xrx_path_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_power_rail_er" type="int"> + <ipxact:name>pma_rx_buf_power_rail_er</ipxact:name> + <ipxact:displayName>pma_rx_buf_power_rail_er</ipxact:displayName> + <ipxact:value>1030</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_power_rail_eht" type="int"> + <ipxact:name>pma_rx_buf_power_rail_eht</ipxact:name> + <ipxact:displayName>pma_rx_buf_power_rail_eht</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_xrx_path_gt_enabled" type="string"> + <ipxact:name>pma_rx_buf_xrx_path_gt_enabled</ipxact:name> + <ipxact:displayName>pma_rx_buf_xrx_path_gt_enabled</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_xrx_path_analog_mode" type="string"> + <ipxact:name>pma_rx_buf_xrx_path_analog_mode</ipxact:name> + <ipxact:displayName>pma_rx_buf_xrx_path_analog_mode</ipxact:displayName> + <ipxact:value>user_custom</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_xrx_path_prot_mode" type="string"> + <ipxact:name>pma_rx_buf_xrx_path_prot_mode</ipxact:name> + <ipxact:displayName>pma_rx_buf_xrx_path_prot_mode</ipxact:displayName> + <ipxact:value>basic_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_pm_speed_grade" type="string"> + <ipxact:name>pma_rx_buf_pm_speed_grade</ipxact:name> + <ipxact:displayName>pma_rx_buf_pm_speed_grade</ipxact:displayName> + <ipxact:value>e3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_power_mode" type="string"> + <ipxact:name>pma_rx_buf_power_mode</ipxact:name> + <ipxact:displayName>pma_rx_buf_power_mode</ipxact:displayName> + <ipxact:value>mid_power</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_iostandard" type="string"> + <ipxact:name>pma_rx_buf_iostandard</ipxact:name> + <ipxact:displayName>pma_rx_buf_iostandard</ipxact:displayName> + <ipxact:value>hssi_diffio</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_xrx_path_datarate" type="string"> + <ipxact:name>pma_rx_buf_xrx_path_datarate</ipxact:name> + <ipxact:displayName>pma_rx_buf_xrx_path_datarate</ipxact:displayName> + <ipxact:value>10312500000 bps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_xrx_path_datawidth" type="int"> + <ipxact:name>pma_rx_buf_xrx_path_datawidth</ipxact:name> + <ipxact:displayName>pma_rx_buf_xrx_path_datawidth</ipxact:displayName> + <ipxact:value>32</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_xrx_path_pma_rx_divclk_hz" type="string"> + <ipxact:name>pma_rx_buf_xrx_path_pma_rx_divclk_hz</ipxact:name> + <ipxact:displayName>pma_rx_buf_xrx_path_pma_rx_divclk_hz</ipxact:displayName> + <ipxact:value>322265625</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_xrx_path_optimal" type="string"> + <ipxact:name>pma_rx_buf_xrx_path_optimal</ipxact:name> + <ipxact:displayName>pma_rx_buf_xrx_path_optimal</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_link" type="string"> + <ipxact:name>pma_rx_buf_link</ipxact:name> + <ipxact:displayName>pma_rx_buf_link</ipxact:displayName> + <ipxact:value>sr</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_xrx_path_initial_settings" type="string"> + <ipxact:name>pma_rx_buf_xrx_path_initial_settings</ipxact:name> + <ipxact:displayName>pma_rx_buf_xrx_path_initial_settings</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_rx_vga_oc_en" type="string"> + <ipxact:name>pma_rx_buf_rx_vga_oc_en</ipxact:name> + <ipxact:displayName>pma_rx_buf_rx_vga_oc_en</ipxact:displayName> + <ipxact:value>vga_cal_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_sd_silicon_rev" type="string"> + <ipxact:name>pma_rx_sd_silicon_rev</ipxact:name> + <ipxact:displayName>pma_rx_sd_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_sd_link" type="string"> + <ipxact:name>pma_rx_sd_link</ipxact:name> + <ipxact:displayName>pma_rx_sd_link</ipxact:displayName> + <ipxact:value>sr</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_sd_optimal" type="string"> + <ipxact:name>pma_rx_sd_optimal</ipxact:name> + <ipxact:displayName>pma_rx_sd_optimal</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_sd_power_mode" type="string"> + <ipxact:name>pma_rx_sd_power_mode</ipxact:name> + <ipxact:displayName>pma_rx_sd_power_mode</ipxact:displayName> + <ipxact:value>mid_power</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_sd_prot_mode" type="string"> + <ipxact:name>pma_rx_sd_prot_mode</ipxact:name> + <ipxact:displayName>pma_rx_sd_prot_mode</ipxact:displayName> + <ipxact:value>basic_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_sd_sd_output_off" type="int"> + <ipxact:name>pma_rx_sd_sd_output_off</ipxact:name> + <ipxact:displayName>pma_rx_sd_sd_output_off</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_sd_sd_output_on" type="int"> + <ipxact:name>pma_rx_sd_sd_output_on</ipxact:name> + <ipxact:displayName>pma_rx_sd_sd_output_on</ipxact:displayName> + <ipxact:value>15</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_sd_sd_pdb" type="string"> + <ipxact:name>pma_rx_sd_sd_pdb</ipxact:name> + <ipxact:displayName>pma_rx_sd_sd_pdb</ipxact:displayName> + <ipxact:value>sd_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_sd_sd_threshold" type="string"> + <ipxact:name>pma_rx_sd_sd_threshold</ipxact:name> + <ipxact:displayName>pma_rx_sd_sd_threshold</ipxact:displayName> + <ipxact:value>sdlv_3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_sd_sup_mode" type="string"> + <ipxact:name>pma_rx_sd_sup_mode</ipxact:name> + <ipxact:displayName>pma_rx_sd_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_ser_silicon_rev" type="string"> + <ipxact:name>pma_tx_ser_silicon_rev</ipxact:name> + <ipxact:displayName>pma_tx_ser_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_ser_clk_divtx_deskew" type="string"> + <ipxact:name>pma_tx_ser_clk_divtx_deskew</ipxact:name> + <ipxact:displayName>pma_tx_ser_clk_divtx_deskew</ipxact:displayName> + <ipxact:value>deskew_delay8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_ser_control_clk_divtx" type="string"> + <ipxact:name>pma_tx_ser_control_clk_divtx</ipxact:name> + <ipxact:displayName>pma_tx_ser_control_clk_divtx</ipxact:displayName> + <ipxact:value>no_dft_control_clkdivtx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_ser_duty_cycle_correction_mode_ctrl" type="string"> + <ipxact:name>pma_tx_ser_duty_cycle_correction_mode_ctrl</ipxact:name> + <ipxact:displayName>pma_tx_ser_duty_cycle_correction_mode_ctrl</ipxact:displayName> + <ipxact:value>dcc_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_ser_ser_clk_divtx_user_sel" type="string"> + <ipxact:name>pma_tx_ser_ser_clk_divtx_user_sel</ipxact:name> + <ipxact:displayName>pma_tx_ser_ser_clk_divtx_user_sel</ipxact:displayName> + <ipxact:value>divtx_user_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_ser_ser_clk_mon" type="string"> + <ipxact:name>pma_tx_ser_ser_clk_mon</ipxact:name> + <ipxact:displayName>pma_tx_ser_ser_clk_mon</ipxact:displayName> + <ipxact:value>disable_clk_mon</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_ser_ser_powerdown" type="string"> + <ipxact:name>pma_tx_ser_ser_powerdown</ipxact:name> + <ipxact:displayName>pma_tx_ser_ser_powerdown</ipxact:displayName> + <ipxact:value>normal_poweron_ser</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_ser_sup_mode" type="string"> + <ipxact:name>pma_tx_ser_sup_mode</ipxact:name> + <ipxact:displayName>pma_tx_ser_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_ser_initial_settings" type="string"> + <ipxact:name>pma_tx_ser_initial_settings</ipxact:name> + <ipxact:displayName>pma_tx_ser_initial_settings</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_ser_prot_mode" type="string"> + <ipxact:name>pma_tx_ser_prot_mode</ipxact:name> + <ipxact:displayName>pma_tx_ser_prot_mode</ipxact:displayName> + <ipxact:value>basic_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_ser_bonding_mode" type="string"> + <ipxact:name>pma_tx_ser_bonding_mode</ipxact:name> + <ipxact:displayName>pma_tx_ser_bonding_mode</ipxact:displayName> + <ipxact:value>x1_non_bonded</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_silicon_rev" type="string"> + <ipxact:name>pma_tx_buf_silicon_rev</ipxact:name> + <ipxact:displayName>pma_tx_buf_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_datarate" type="string"> + <ipxact:name>pma_tx_buf_datarate</ipxact:name> + <ipxact:displayName>pma_tx_buf_datarate</ipxact:displayName> + <ipxact:value>10312500000 bps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_dft_sel" type="string"> + <ipxact:name>pma_tx_buf_dft_sel</ipxact:name> + <ipxact:displayName>pma_tx_buf_dft_sel</ipxact:displayName> + <ipxact:value>dft_disabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_duty_cycle_correction_bandwidth" type="string"> + <ipxact:name>pma_tx_buf_duty_cycle_correction_bandwidth</ipxact:name> + <ipxact:displayName>pma_tx_buf_duty_cycle_correction_bandwidth</ipxact:displayName> + <ipxact:value>dcc_bw_12</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_duty_cycle_correction_mode_ctrl" type="string"> + <ipxact:name>pma_tx_buf_duty_cycle_correction_mode_ctrl</ipxact:name> + <ipxact:displayName>pma_tx_buf_duty_cycle_correction_mode_ctrl</ipxact:displayName> + <ipxact:value>dcc_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_duty_cycle_input_polarity" type="string"> + <ipxact:name>pma_tx_buf_duty_cycle_input_polarity</ipxact:name> + <ipxact:displayName>pma_tx_buf_duty_cycle_input_polarity</ipxact:displayName> + <ipxact:value>dcc_input_pos</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_duty_cycle_setting" type="string"> + <ipxact:name>pma_tx_buf_duty_cycle_setting</ipxact:name> + <ipxact:displayName>pma_tx_buf_duty_cycle_setting</ipxact:displayName> + <ipxact:value>dcc_t32</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_duty_cycle_setting_aux" type="string"> + <ipxact:name>pma_tx_buf_duty_cycle_setting_aux</ipxact:name> + <ipxact:displayName>pma_tx_buf_duty_cycle_setting_aux</ipxact:displayName> + <ipxact:value>dcc2_t32</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_jtag_drv_sel" type="string"> + <ipxact:name>pma_tx_buf_jtag_drv_sel</ipxact:name> + <ipxact:displayName>pma_tx_buf_jtag_drv_sel</ipxact:displayName> + <ipxact:value>drv1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_jtag_lp" type="string"> + <ipxact:name>pma_tx_buf_jtag_lp</ipxact:name> + <ipxact:displayName>pma_tx_buf_jtag_lp</ipxact:displayName> + <ipxact:value>lp_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_link_tx" type="string"> + <ipxact:name>pma_tx_buf_link_tx</ipxact:name> + <ipxact:displayName>pma_tx_buf_link_tx</ipxact:displayName> + <ipxact:value>sr</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_lst" type="string"> + <ipxact:name>pma_tx_buf_lst</ipxact:name> + <ipxact:displayName>pma_tx_buf_lst</ipxact:displayName> + <ipxact:value>atb_disabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_optimal" type="string"> + <ipxact:name>pma_tx_buf_optimal</ipxact:name> + <ipxact:displayName>pma_tx_buf_optimal</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_pre_emp_sign_1st_post_tap" type="string"> + <ipxact:name>pma_tx_buf_pre_emp_sign_1st_post_tap</ipxact:name> + <ipxact:displayName>pma_tx_buf_pre_emp_sign_1st_post_tap</ipxact:displayName> + <ipxact:value>fir_post_1t_neg</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_pre_emp_sign_2nd_post_tap" type="string"> + <ipxact:name>pma_tx_buf_pre_emp_sign_2nd_post_tap</ipxact:name> + <ipxact:displayName>pma_tx_buf_pre_emp_sign_2nd_post_tap</ipxact:displayName> + <ipxact:value>fir_post_2t_neg</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_pre_emp_sign_pre_tap_1t" type="string"> + <ipxact:name>pma_tx_buf_pre_emp_sign_pre_tap_1t</ipxact:name> + <ipxact:displayName>pma_tx_buf_pre_emp_sign_pre_tap_1t</ipxact:displayName> + <ipxact:value>fir_pre_1t_neg</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_pre_emp_sign_pre_tap_2t" type="string"> + <ipxact:name>pma_tx_buf_pre_emp_sign_pre_tap_2t</ipxact:name> + <ipxact:displayName>pma_tx_buf_pre_emp_sign_pre_tap_2t</ipxact:displayName> + <ipxact:value>fir_pre_2t_neg</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_pre_emp_switching_ctrl_1st_post_tap" type="int"> + <ipxact:name>pma_tx_buf_pre_emp_switching_ctrl_1st_post_tap</ipxact:name> + <ipxact:displayName>pma_tx_buf_pre_emp_switching_ctrl_1st_post_tap</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_pre_emp_switching_ctrl_2nd_post_tap" type="int"> + <ipxact:name>pma_tx_buf_pre_emp_switching_ctrl_2nd_post_tap</ipxact:name> + <ipxact:displayName>pma_tx_buf_pre_emp_switching_ctrl_2nd_post_tap</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_pre_emp_switching_ctrl_pre_tap_1t" type="int"> + <ipxact:name>pma_tx_buf_pre_emp_switching_ctrl_pre_tap_1t</ipxact:name> + <ipxact:displayName>pma_tx_buf_pre_emp_switching_ctrl_pre_tap_1t</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_pre_emp_switching_ctrl_pre_tap_2t" type="int"> + <ipxact:name>pma_tx_buf_pre_emp_switching_ctrl_pre_tap_2t</ipxact:name> + <ipxact:displayName>pma_tx_buf_pre_emp_switching_ctrl_pre_tap_2t</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_prot_mode" type="string"> + <ipxact:name>pma_tx_buf_prot_mode</ipxact:name> + <ipxact:displayName>pma_tx_buf_prot_mode</ipxact:displayName> + <ipxact:value>basic_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_rx_det" type="string"> + <ipxact:name>pma_tx_buf_rx_det</ipxact:name> + <ipxact:displayName>pma_tx_buf_rx_det</ipxact:displayName> + <ipxact:value>mode_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_rx_det_output_sel" type="string"> + <ipxact:name>pma_tx_buf_rx_det_output_sel</ipxact:name> + <ipxact:displayName>pma_tx_buf_rx_det_output_sel</ipxact:displayName> + <ipxact:value>rx_det_pcie_out</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_rx_det_pdb" type="string"> + <ipxact:name>pma_tx_buf_rx_det_pdb</ipxact:name> + <ipxact:displayName>pma_tx_buf_rx_det_pdb</ipxact:displayName> + <ipxact:value>rx_det_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_slew_rate_ctrl" type="string"> + <ipxact:name>pma_tx_buf_slew_rate_ctrl</ipxact:name> + <ipxact:displayName>pma_tx_buf_slew_rate_ctrl</ipxact:displayName> + <ipxact:value>slew_r5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_sup_mode" type="string"> + <ipxact:name>pma_tx_buf_sup_mode</ipxact:name> + <ipxact:displayName>pma_tx_buf_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_term_code" type="string"> + <ipxact:name>pma_tx_buf_term_code</ipxact:name> + <ipxact:displayName>pma_tx_buf_term_code</ipxact:displayName> + <ipxact:value>rterm_code7</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_term_sel" type="string"> + <ipxact:name>pma_tx_buf_term_sel</ipxact:name> + <ipxact:displayName>pma_tx_buf_term_sel</ipxact:displayName> + <ipxact:value>r_r1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_tx_powerdown" type="string"> + <ipxact:name>pma_tx_buf_tx_powerdown</ipxact:name> + <ipxact:displayName>pma_tx_buf_tx_powerdown</ipxact:displayName> + <ipxact:value>normal_tx_on</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_user_fir_coeff_ctrl_sel" type="string"> + <ipxact:name>pma_tx_buf_user_fir_coeff_ctrl_sel</ipxact:name> + <ipxact:displayName>pma_tx_buf_user_fir_coeff_ctrl_sel</ipxact:displayName> + <ipxact:value>ram_ctl</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_vod_output_swing_ctrl" type="int"> + <ipxact:name>pma_tx_buf_vod_output_swing_ctrl</ipxact:name> + <ipxact:displayName>pma_tx_buf_vod_output_swing_ctrl</ipxact:displayName> + <ipxact:value>31</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_initial_settings" type="string"> + <ipxact:name>pma_tx_buf_initial_settings</ipxact:name> + <ipxact:displayName>pma_tx_buf_initial_settings</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_duty_cycle_correction_reference2" type="string"> + <ipxact:name>pma_tx_buf_duty_cycle_correction_reference2</ipxact:name> + <ipxact:displayName>pma_tx_buf_duty_cycle_correction_reference2</ipxact:displayName> + <ipxact:value>dcc_ref2_3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_ser_powerdown" type="string"> + <ipxact:name>pma_tx_buf_ser_powerdown</ipxact:name> + <ipxact:displayName>pma_tx_buf_ser_powerdown</ipxact:displayName> + <ipxact:value>normal_ser_on</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_swing_level" type="string"> + <ipxact:name>pma_tx_buf_swing_level</ipxact:name> + <ipxact:displayName>pma_tx_buf_swing_level</ipxact:displayName> + <ipxact:value>lv</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_vreg_output" type="string"> + <ipxact:name>pma_tx_buf_vreg_output</ipxact:name> + <ipxact:displayName>pma_tx_buf_vreg_output</ipxact:displayName> + <ipxact:value>vccdreg_nominal</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_duty_cycle_correction_reference1" type="string"> + <ipxact:name>pma_tx_buf_duty_cycle_correction_reference1</ipxact:name> + <ipxact:displayName>pma_tx_buf_duty_cycle_correction_reference1</ipxact:displayName> + <ipxact:value>dcc_ref1_3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_duty_cycle_correction_reset_n" type="string"> + <ipxact:name>pma_tx_buf_duty_cycle_correction_reset_n</ipxact:name> + <ipxact:displayName>pma_tx_buf_duty_cycle_correction_reset_n</ipxact:displayName> + <ipxact:value>reset_n</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_res_cal_local" type="string"> + <ipxact:name>pma_tx_buf_res_cal_local</ipxact:name> + <ipxact:displayName>pma_tx_buf_res_cal_local</ipxact:displayName> + <ipxact:value>non_local</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_term_n_tune" type="string"> + <ipxact:name>pma_tx_buf_term_n_tune</ipxact:name> + <ipxact:displayName>pma_tx_buf_term_n_tune</ipxact:displayName> + <ipxact:value>rterm_n0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_cpen_ctrl" type="string"> + <ipxact:name>pma_tx_buf_cpen_ctrl</ipxact:name> + <ipxact:displayName>pma_tx_buf_cpen_ctrl</ipxact:displayName> + <ipxact:value>cp_l0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_term_p_tune" type="string"> + <ipxact:name>pma_tx_buf_term_p_tune</ipxact:name> + <ipxact:displayName>pma_tx_buf_term_p_tune</ipxact:displayName> + <ipxact:value>rterm_p0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_calibration_en" type="string"> + <ipxact:name>pma_tx_buf_calibration_en</ipxact:name> + <ipxact:displayName>pma_tx_buf_calibration_en</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_low_power_en" type="string"> + <ipxact:name>pma_tx_buf_low_power_en</ipxact:name> + <ipxact:displayName>pma_tx_buf_low_power_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_compensation_en" type="string"> + <ipxact:name>pma_tx_buf_compensation_en</ipxact:name> + <ipxact:displayName>pma_tx_buf_compensation_en</ipxact:displayName> + <ipxact:value>enable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_dcd_detection_en" type="string"> + <ipxact:name>pma_tx_buf_dcd_detection_en</ipxact:name> + <ipxact:displayName>pma_tx_buf_dcd_detection_en</ipxact:displayName> + <ipxact:value>enable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_uc_txvod_cal" type="string"> + <ipxact:name>pma_tx_buf_uc_txvod_cal</ipxact:name> + <ipxact:displayName>pma_tx_buf_uc_txvod_cal</ipxact:displayName> + <ipxact:value>uc_tx_vod_cal_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_uc_txvod_cal_cont" type="string"> + <ipxact:name>pma_tx_buf_uc_txvod_cal_cont</ipxact:name> + <ipxact:displayName>pma_tx_buf_uc_txvod_cal_cont</ipxact:displayName> + <ipxact:value>uc_tx_vod_cal_cont_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_uc_skew_cal" type="string"> + <ipxact:name>pma_tx_buf_uc_skew_cal</ipxact:name> + <ipxact:displayName>pma_tx_buf_uc_skew_cal</ipxact:displayName> + <ipxact:value>uc_skew_cal_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_uc_dcd_cal" type="string"> + <ipxact:name>pma_tx_buf_uc_dcd_cal</ipxact:name> + <ipxact:displayName>pma_tx_buf_uc_dcd_cal</ipxact:displayName> + <ipxact:value>uc_dcd_cal_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_uc_txvod_cal_status" type="string"> + <ipxact:name>pma_tx_buf_uc_txvod_cal_status</ipxact:name> + <ipxact:displayName>pma_tx_buf_uc_txvod_cal_status</ipxact:displayName> + <ipxact:value>uc_tx_vod_cal_notdone</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_uc_skew_cal_status" type="string"> + <ipxact:name>pma_tx_buf_uc_skew_cal_status</ipxact:name> + <ipxact:displayName>pma_tx_buf_uc_skew_cal_status</ipxact:displayName> + <ipxact:value>uc_skew_cal_notdone</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_uc_dcd_cal_status" type="string"> + <ipxact:name>pma_tx_buf_uc_dcd_cal_status</ipxact:name> + <ipxact:displayName>pma_tx_buf_uc_dcd_cal_status</ipxact:displayName> + <ipxact:value>uc_dcd_cal_notdone</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_uc_gen3" type="string"> + <ipxact:name>pma_tx_buf_uc_gen3</ipxact:name> + <ipxact:displayName>pma_tx_buf_uc_gen3</ipxact:displayName> + <ipxact:value>gen3_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_uc_gen4" type="string"> + <ipxact:name>pma_tx_buf_uc_gen4</ipxact:name> + <ipxact:displayName>pma_tx_buf_uc_gen4</ipxact:displayName> + <ipxact:value>gen4_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_uc_vcc_setting" type="string"> + <ipxact:name>pma_tx_buf_uc_vcc_setting</ipxact:name> + <ipxact:displayName>pma_tx_buf_uc_vcc_setting</ipxact:displayName> + <ipxact:value>vcc_setting1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_mcgb_location_for_pcie" type="int"> + <ipxact:name>pma_tx_buf_mcgb_location_for_pcie</ipxact:name> + <ipxact:displayName>pma_tx_buf_mcgb_location_for_pcie</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_xtx_path_prot_mode" type="string"> + <ipxact:name>pma_tx_buf_xtx_path_prot_mode</ipxact:name> + <ipxact:displayName>pma_tx_buf_xtx_path_prot_mode</ipxact:displayName> + <ipxact:value>basic_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_xtx_path_optimal" type="string"> + <ipxact:name>pma_tx_buf_xtx_path_optimal</ipxact:name> + <ipxact:displayName>pma_tx_buf_xtx_path_optimal</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_xtx_path_datarate" type="string"> + <ipxact:name>pma_tx_buf_xtx_path_datarate</ipxact:name> + <ipxact:displayName>pma_tx_buf_xtx_path_datarate</ipxact:displayName> + <ipxact:value>10312500000 bps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_xtx_path_datawidth" type="int"> + <ipxact:name>pma_tx_buf_xtx_path_datawidth</ipxact:name> + <ipxact:displayName>pma_tx_buf_xtx_path_datawidth</ipxact:displayName> + <ipxact:value>32</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_xtx_path_clock_divider_ratio" type="int"> + <ipxact:name>pma_tx_buf_xtx_path_clock_divider_ratio</ipxact:name> + <ipxact:displayName>pma_tx_buf_xtx_path_clock_divider_ratio</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_xtx_path_pma_tx_divclk_hz" type="string"> + <ipxact:name>pma_tx_buf_xtx_path_pma_tx_divclk_hz</ipxact:name> + <ipxact:displayName>pma_tx_buf_xtx_path_pma_tx_divclk_hz</ipxact:displayName> + <ipxact:value>322265625</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_xtx_path_tx_pll_clk_hz" type="string"> + <ipxact:name>pma_tx_buf_xtx_path_tx_pll_clk_hz</ipxact:name> + <ipxact:displayName>pma_tx_buf_xtx_path_tx_pll_clk_hz</ipxact:displayName> + <ipxact:value>5156250000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_link" type="string"> + <ipxact:name>pma_tx_buf_link</ipxact:name> + <ipxact:displayName>pma_tx_buf_link</ipxact:displayName> + <ipxact:value>sr</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_xtx_path_swing_level" type="string"> + <ipxact:name>pma_tx_buf_xtx_path_swing_level</ipxact:name> + <ipxact:displayName>pma_tx_buf_xtx_path_swing_level</ipxact:displayName> + <ipxact:value>lv</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_xtx_path_sup_mode" type="string"> + <ipxact:name>pma_tx_buf_xtx_path_sup_mode</ipxact:name> + <ipxact:displayName>pma_tx_buf_xtx_path_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_xtx_path_initial_settings" type="string"> + <ipxact:name>pma_tx_buf_xtx_path_initial_settings</ipxact:name> + <ipxact:displayName>pma_tx_buf_xtx_path_initial_settings</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_xtx_path_calibration_en" type="string"> + <ipxact:name>pma_tx_buf_xtx_path_calibration_en</ipxact:name> + <ipxact:displayName>pma_tx_buf_xtx_path_calibration_en</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_xtx_path_bonding_mode" type="string"> + <ipxact:name>pma_tx_buf_xtx_path_bonding_mode</ipxact:name> + <ipxact:displayName>pma_tx_buf_xtx_path_bonding_mode</ipxact:displayName> + <ipxact:value>x1_non_bonded</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_pm_speed_grade" type="string"> + <ipxact:name>pma_tx_buf_pm_speed_grade</ipxact:name> + <ipxact:displayName>pma_tx_buf_pm_speed_grade</ipxact:displayName> + <ipxact:value>e3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_power_mode" type="string"> + <ipxact:name>pma_tx_buf_power_mode</ipxact:name> + <ipxact:displayName>pma_tx_buf_power_mode</ipxact:displayName> + <ipxact:value>mid_power</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_power_rail_et" type="int"> + <ipxact:name>pma_tx_buf_power_rail_et</ipxact:name> + <ipxact:displayName>pma_tx_buf_power_rail_et</ipxact:displayName> + <ipxact:value>1030</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_power_rail_eht" type="int"> + <ipxact:name>pma_tx_buf_power_rail_eht</ipxact:name> + <ipxact:displayName>pma_tx_buf_power_rail_eht</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_xtx_path_gt_enabled" type="string"> + <ipxact:name>pma_tx_buf_xtx_path_gt_enabled</ipxact:name> + <ipxact:displayName>pma_tx_buf_xtx_path_gt_enabled</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_xtx_path_analog_mode" type="string"> + <ipxact:name>pma_tx_buf_xtx_path_analog_mode</ipxact:name> + <ipxact:displayName>pma_tx_buf_xtx_path_analog_mode</ipxact:displayName> + <ipxact:value>user_custom</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_compensation_driver_en" type="string"> + <ipxact:name>pma_tx_buf_compensation_driver_en</ipxact:name> + <ipxact:displayName>pma_tx_buf_compensation_driver_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_sense_amp_offset_cal_curr_p" type="int"> + <ipxact:name>pma_tx_buf_sense_amp_offset_cal_curr_p</ipxact:name> + <ipxact:displayName>pma_tx_buf_sense_amp_offset_cal_curr_p</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_chgpmp_current_dn_trim" type="string"> + <ipxact:name>pma_tx_buf_chgpmp_current_dn_trim</ipxact:name> + <ipxact:displayName>pma_tx_buf_chgpmp_current_dn_trim</ipxact:displayName> + <ipxact:value>cp_current_trimming_dn_setting0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_duty_cycle_correction_bandwidth_dn" type="string"> + <ipxact:name>pma_tx_buf_duty_cycle_correction_bandwidth_dn</ipxact:name> + <ipxact:displayName>pma_tx_buf_duty_cycle_correction_bandwidth_dn</ipxact:displayName> + <ipxact:value>dcd_bw_dn_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_sense_amp_offset_cal_curr_n" type="string"> + <ipxact:name>pma_tx_buf_sense_amp_offset_cal_curr_n</ipxact:name> + <ipxact:displayName>pma_tx_buf_sense_amp_offset_cal_curr_n</ipxact:displayName> + <ipxact:value>sa_os_cal_in_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_chgpmp_current_up_trim" type="string"> + <ipxact:name>pma_tx_buf_chgpmp_current_up_trim</ipxact:name> + <ipxact:displayName>pma_tx_buf_chgpmp_current_up_trim</ipxact:displayName> + <ipxact:value>cp_current_trimming_up_setting0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_chgpmp_up_trim_double" type="string"> + <ipxact:name>pma_tx_buf_chgpmp_up_trim_double</ipxact:name> + <ipxact:displayName>pma_tx_buf_chgpmp_up_trim_double</ipxact:displayName> + <ipxact:value>normal_up_trim_current</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_duty_cycle_cp_comp_en" type="string"> + <ipxact:name>pma_tx_buf_duty_cycle_cp_comp_en</ipxact:name> + <ipxact:displayName>pma_tx_buf_duty_cycle_cp_comp_en</ipxact:displayName> + <ipxact:value>cp_comp_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_dcd_clk_div_ctrl" type="string"> + <ipxact:name>pma_tx_buf_dcd_clk_div_ctrl</ipxact:name> + <ipxact:displayName>pma_tx_buf_dcd_clk_div_ctrl</ipxact:displayName> + <ipxact:value>dcd_ck_div128</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_duty_cycle_detector_sa_cal" type="string"> + <ipxact:name>pma_tx_buf_duty_cycle_detector_sa_cal</ipxact:name> + <ipxact:displayName>pma_tx_buf_duty_cycle_detector_sa_cal</ipxact:displayName> + <ipxact:value>dcd_sa_cal_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_duty_cycle_detector_cp_cal" type="string"> + <ipxact:name>pma_tx_buf_duty_cycle_detector_cp_cal</ipxact:name> + <ipxact:displayName>pma_tx_buf_duty_cycle_detector_cp_cal</ipxact:displayName> + <ipxact:value>dcd_cp_cal_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_tri_driver" type="string"> + <ipxact:name>pma_tx_buf_tri_driver</ipxact:name> + <ipxact:displayName>pma_tx_buf_tri_driver</ipxact:displayName> + <ipxact:value>tri_driver_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_cdr_cp_calibration_en" type="string"> + <ipxact:name>pma_tx_buf_cdr_cp_calibration_en</ipxact:name> + <ipxact:displayName>pma_tx_buf_cdr_cp_calibration_en</ipxact:displayName> + <ipxact:value>cdr_cp_cal_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_chgpmp_dn_trim_double" type="string"> + <ipxact:name>pma_tx_buf_chgpmp_dn_trim_double</ipxact:name> + <ipxact:displayName>pma_tx_buf_chgpmp_dn_trim_double</ipxact:displayName> + <ipxact:value>normal_dn_trim_current</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_calibration_resistor_value" type="string"> + <ipxact:name>pma_tx_buf_calibration_resistor_value</ipxact:name> + <ipxact:displayName>pma_tx_buf_calibration_resistor_value</ipxact:displayName> + <ipxact:value>res_setting0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_enable_idle_tx_channel_support" type="string"> + <ipxact:name>pma_tx_buf_enable_idle_tx_channel_support</ipxact:name> + <ipxact:displayName>pma_tx_buf_enable_idle_tx_channel_support</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_silicon_rev" type="string"> + <ipxact:name>cdr_pll_silicon_rev</ipxact:name> + <ipxact:displayName>cdr_pll_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_pma_width" type="int"> + <ipxact:name>cdr_pll_pma_width</ipxact:name> + <ipxact:displayName>cdr_pll_pma_width</ipxact:displayName> + <ipxact:value>32</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_cgb_div" type="int"> + <ipxact:name>cdr_pll_cgb_div</ipxact:name> + <ipxact:displayName>cdr_pll_cgb_div</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_is_cascaded_pll" type="string"> + <ipxact:name>cdr_pll_is_cascaded_pll</ipxact:name> + <ipxact:displayName>cdr_pll_is_cascaded_pll</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_bandwidth_range_high" type="string"> + <ipxact:name>cdr_pll_bandwidth_range_high</ipxact:name> + <ipxact:displayName>cdr_pll_bandwidth_range_high</ipxact:displayName> + <ipxact:value>0 hz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_bandwidth_range_low" type="string"> + <ipxact:name>cdr_pll_bandwidth_range_low</ipxact:name> + <ipxact:displayName>cdr_pll_bandwidth_range_low</ipxact:displayName> + <ipxact:value>0 hz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_datarate" type="string"> + <ipxact:name>cdr_pll_datarate</ipxact:name> + <ipxact:displayName>cdr_pll_datarate</ipxact:displayName> + <ipxact:value>10312500000 bps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_f_max_pfd" type="string"> + <ipxact:name>cdr_pll_f_max_pfd</ipxact:name> + <ipxact:displayName>cdr_pll_f_max_pfd</ipxact:displayName> + <ipxact:value>350000000 Hz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_f_max_ref" type="string"> + <ipxact:name>cdr_pll_f_max_ref</ipxact:name> + <ipxact:displayName>cdr_pll_f_max_ref</ipxact:displayName> + <ipxact:value>800000000 Hz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_f_max_vco" type="string"> + <ipxact:name>cdr_pll_f_max_vco</ipxact:name> + <ipxact:displayName>cdr_pll_f_max_vco</ipxact:displayName> + <ipxact:value>9800000000 Hz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_f_min_gt_channel" type="string"> + <ipxact:name>cdr_pll_f_min_gt_channel</ipxact:name> + <ipxact:displayName>cdr_pll_f_min_gt_channel</ipxact:displayName> + <ipxact:value>8700000000 Hz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_f_min_pfd" type="string"> + <ipxact:name>cdr_pll_f_min_pfd</ipxact:name> + <ipxact:displayName>cdr_pll_f_min_pfd</ipxact:displayName> + <ipxact:value>50000000 Hz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_f_min_ref" type="string"> + <ipxact:name>cdr_pll_f_min_ref</ipxact:name> + <ipxact:displayName>cdr_pll_f_min_ref</ipxact:displayName> + <ipxact:value>50000000 Hz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_f_min_vco" type="string"> + <ipxact:name>cdr_pll_f_min_vco</ipxact:name> + <ipxact:displayName>cdr_pll_f_min_vco</ipxact:displayName> + <ipxact:value>4900000000 Hz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_lpd_counter" type="int"> + <ipxact:name>cdr_pll_lpd_counter</ipxact:name> + <ipxact:displayName>cdr_pll_lpd_counter</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_lpfd_counter" type="int"> + <ipxact:name>cdr_pll_lpfd_counter</ipxact:name> + <ipxact:displayName>cdr_pll_lpfd_counter</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_n_counter_scratch" type="int"> + <ipxact:name>cdr_pll_n_counter_scratch</ipxact:name> + <ipxact:displayName>cdr_pll_n_counter_scratch</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_output_clock_frequency" type="string"> + <ipxact:name>cdr_pll_output_clock_frequency</ipxact:name> + <ipxact:displayName>cdr_pll_output_clock_frequency</ipxact:displayName> + <ipxact:value>5156250000 Hz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_reference_clock_frequency" type="string"> + <ipxact:name>cdr_pll_reference_clock_frequency</ipxact:name> + <ipxact:displayName>cdr_pll_reference_clock_frequency</ipxact:displayName> + <ipxact:value>644531250 hz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_set_cdr_vco_speed" type="int"> + <ipxact:name>cdr_pll_set_cdr_vco_speed</ipxact:name> + <ipxact:displayName>cdr_pll_set_cdr_vco_speed</ipxact:displayName> + <ipxact:value>3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_set_cdr_vco_speed_fix" type="int"> + <ipxact:name>cdr_pll_set_cdr_vco_speed_fix</ipxact:name> + <ipxact:displayName>cdr_pll_set_cdr_vco_speed_fix</ipxact:displayName> + <ipxact:value>60</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_vco_freq" type="string"> + <ipxact:name>cdr_pll_vco_freq</ipxact:name> + <ipxact:displayName>cdr_pll_vco_freq</ipxact:displayName> + <ipxact:value>5156250000 Hz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_atb_select_control" type="string"> + <ipxact:name>cdr_pll_atb_select_control</ipxact:name> + <ipxact:displayName>cdr_pll_atb_select_control</ipxact:displayName> + <ipxact:value>atb_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_auto_reset_on" type="string"> + <ipxact:name>cdr_pll_auto_reset_on</ipxact:name> + <ipxact:displayName>cdr_pll_auto_reset_on</ipxact:displayName> + <ipxact:value>auto_reset_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_bbpd_data_pattern_filter_select" type="string"> + <ipxact:name>cdr_pll_bbpd_data_pattern_filter_select</ipxact:name> + <ipxact:displayName>cdr_pll_bbpd_data_pattern_filter_select</ipxact:displayName> + <ipxact:value>bbpd_data_pat_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_bw_sel" type="string"> + <ipxact:name>cdr_pll_bw_sel</ipxact:name> + <ipxact:displayName>cdr_pll_bw_sel</ipxact:displayName> + <ipxact:value>medium</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_cdr_odi_select" type="string"> + <ipxact:name>cdr_pll_cdr_odi_select</ipxact:name> + <ipxact:displayName>cdr_pll_cdr_odi_select</ipxact:displayName> + <ipxact:value>sel_cdr</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_cdr_phaselock_mode" type="string"> + <ipxact:name>cdr_pll_cdr_phaselock_mode</ipxact:name> + <ipxact:displayName>cdr_pll_cdr_phaselock_mode</ipxact:displayName> + <ipxact:value>no_ignore_lock</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_cdr_powerdown_mode" type="string"> + <ipxact:name>cdr_pll_cdr_powerdown_mode</ipxact:name> + <ipxact:displayName>cdr_pll_cdr_powerdown_mode</ipxact:displayName> + <ipxact:value>power_up</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_chgpmp_current_pd" type="string"> + <ipxact:name>cdr_pll_chgpmp_current_pd</ipxact:name> + <ipxact:displayName>cdr_pll_chgpmp_current_pd</ipxact:displayName> + <ipxact:value>cp_current_pd_setting0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_chgpmp_current_pfd" type="string"> + <ipxact:name>cdr_pll_chgpmp_current_pfd</ipxact:name> + <ipxact:displayName>cdr_pll_chgpmp_current_pfd</ipxact:displayName> + <ipxact:value>cp_current_pfd_setting2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_chgpmp_replicate" type="string"> + <ipxact:name>cdr_pll_chgpmp_replicate</ipxact:name> + <ipxact:displayName>cdr_pll_chgpmp_replicate</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_chgpmp_testmode" type="string"> + <ipxact:name>cdr_pll_chgpmp_testmode</ipxact:name> + <ipxact:displayName>cdr_pll_chgpmp_testmode</ipxact:displayName> + <ipxact:value>cp_test_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_clklow_mux_select" type="string"> + <ipxact:name>cdr_pll_clklow_mux_select</ipxact:name> + <ipxact:displayName>cdr_pll_clklow_mux_select</ipxact:displayName> + <ipxact:value>clklow_mux_cdr_fbclk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_diag_loopback_enable" type="string"> + <ipxact:name>cdr_pll_diag_loopback_enable</ipxact:name> + <ipxact:displayName>cdr_pll_diag_loopback_enable</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_disable_up_dn" type="string"> + <ipxact:name>cdr_pll_disable_up_dn</ipxact:name> + <ipxact:displayName>cdr_pll_disable_up_dn</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_fref_clklow_div" type="int"> + <ipxact:name>cdr_pll_fref_clklow_div</ipxact:name> + <ipxact:displayName>cdr_pll_fref_clklow_div</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_fref_mux_select" type="string"> + <ipxact:name>cdr_pll_fref_mux_select</ipxact:name> + <ipxact:displayName>cdr_pll_fref_mux_select</ipxact:displayName> + <ipxact:value>fref_mux_cdr_refclk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_gpon_lck2ref_control" type="string"> + <ipxact:name>cdr_pll_gpon_lck2ref_control</ipxact:name> + <ipxact:displayName>cdr_pll_gpon_lck2ref_control</ipxact:displayName> + <ipxact:value>gpon_lck2ref_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_initial_settings" type="string"> + <ipxact:name>cdr_pll_initial_settings</ipxact:name> + <ipxact:displayName>cdr_pll_initial_settings</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_lck2ref_delay_control" type="string"> + <ipxact:name>cdr_pll_lck2ref_delay_control</ipxact:name> + <ipxact:displayName>cdr_pll_lck2ref_delay_control</ipxact:displayName> + <ipxact:value>lck2ref_delay_2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_lf_resistor_pd" type="string"> + <ipxact:name>cdr_pll_lf_resistor_pd</ipxact:name> + <ipxact:displayName>cdr_pll_lf_resistor_pd</ipxact:displayName> + <ipxact:value>lf_pd_setting2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_lf_resistor_pfd" type="string"> + <ipxact:name>cdr_pll_lf_resistor_pfd</ipxact:name> + <ipxact:displayName>cdr_pll_lf_resistor_pfd</ipxact:displayName> + <ipxact:value>lf_pfd_setting2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_lf_ripple_cap" type="string"> + <ipxact:name>cdr_pll_lf_ripple_cap</ipxact:name> + <ipxact:displayName>cdr_pll_lf_ripple_cap</ipxact:displayName> + <ipxact:value>lf_no_ripple</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_loop_filter_bias_select" type="string"> + <ipxact:name>cdr_pll_loop_filter_bias_select</ipxact:name> + <ipxact:displayName>cdr_pll_loop_filter_bias_select</ipxact:displayName> + <ipxact:value>lpflt_bias_7</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_loopback_mode" type="string"> + <ipxact:name>cdr_pll_loopback_mode</ipxact:name> + <ipxact:displayName>cdr_pll_loopback_mode</ipxact:displayName> + <ipxact:value>loopback_disabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_ltd_ltr_micro_controller_select" type="string"> + <ipxact:name>cdr_pll_ltd_ltr_micro_controller_select</ipxact:name> + <ipxact:displayName>cdr_pll_ltd_ltr_micro_controller_select</ipxact:displayName> + <ipxact:value>ltd_ltr_pcs</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_m_counter" type="int"> + <ipxact:name>cdr_pll_m_counter</ipxact:name> + <ipxact:displayName>cdr_pll_m_counter</ipxact:displayName> + <ipxact:value>16</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_n_counter" type="int"> + <ipxact:name>cdr_pll_n_counter</ipxact:name> + <ipxact:displayName>cdr_pll_n_counter</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_optimal" type="string"> + <ipxact:name>cdr_pll_optimal</ipxact:name> + <ipxact:displayName>cdr_pll_optimal</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_pd_fastlock_mode" type="string"> + <ipxact:name>cdr_pll_pd_fastlock_mode</ipxact:name> + <ipxact:displayName>cdr_pll_pd_fastlock_mode</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_pd_l_counter" type="int"> + <ipxact:name>cdr_pll_pd_l_counter</ipxact:name> + <ipxact:displayName>cdr_pll_pd_l_counter</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_pfd_l_counter" type="int"> + <ipxact:name>cdr_pll_pfd_l_counter</ipxact:name> + <ipxact:displayName>cdr_pll_pfd_l_counter</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_position" type="string"> + <ipxact:name>cdr_pll_position</ipxact:name> + <ipxact:displayName>cdr_pll_position</ipxact:displayName> + <ipxact:value>position_unknown</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_power_mode" type="string"> + <ipxact:name>cdr_pll_power_mode</ipxact:name> + <ipxact:displayName>cdr_pll_power_mode</ipxact:displayName> + <ipxact:value>mid_power</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_primary_use" type="string"> + <ipxact:name>cdr_pll_primary_use</ipxact:name> + <ipxact:displayName>cdr_pll_primary_use</ipxact:displayName> + <ipxact:value>cdr</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_prot_mode" type="string"> + <ipxact:name>cdr_pll_prot_mode</ipxact:name> + <ipxact:displayName>cdr_pll_prot_mode</ipxact:displayName> + <ipxact:value>basic_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_requires_gt_capable_channel" type="string"> + <ipxact:name>cdr_pll_requires_gt_capable_channel</ipxact:name> + <ipxact:displayName>cdr_pll_requires_gt_capable_channel</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_reverse_serial_loopback" type="string"> + <ipxact:name>cdr_pll_reverse_serial_loopback</ipxact:name> + <ipxact:displayName>cdr_pll_reverse_serial_loopback</ipxact:displayName> + <ipxact:value>no_loopback</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_set_cdr_v2i_enable" type="string"> + <ipxact:name>cdr_pll_set_cdr_v2i_enable</ipxact:name> + <ipxact:displayName>cdr_pll_set_cdr_v2i_enable</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_set_cdr_vco_reset" type="string"> + <ipxact:name>cdr_pll_set_cdr_vco_reset</ipxact:name> + <ipxact:displayName>cdr_pll_set_cdr_vco_reset</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_set_cdr_vco_speed_pciegen3" type="string"> + <ipxact:name>cdr_pll_set_cdr_vco_speed_pciegen3</ipxact:name> + <ipxact:displayName>cdr_pll_set_cdr_vco_speed_pciegen3</ipxact:displayName> + <ipxact:value>cdr_vco_max_speedbin_pciegen3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_side" type="string"> + <ipxact:name>cdr_pll_side</ipxact:name> + <ipxact:displayName>cdr_pll_side</ipxact:displayName> + <ipxact:value>side_unknown</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_pm_speed_grade" type="string"> + <ipxact:name>cdr_pll_pm_speed_grade</ipxact:name> + <ipxact:displayName>cdr_pll_pm_speed_grade</ipxact:displayName> + <ipxact:value>e3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_sup_mode" type="string"> + <ipxact:name>cdr_pll_sup_mode</ipxact:name> + <ipxact:displayName>cdr_pll_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_top_or_bottom" type="string"> + <ipxact:name>cdr_pll_top_or_bottom</ipxact:name> + <ipxact:displayName>cdr_pll_top_or_bottom</ipxact:displayName> + <ipxact:value>tb_unknown</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_tx_pll_prot_mode" type="string"> + <ipxact:name>cdr_pll_tx_pll_prot_mode</ipxact:name> + <ipxact:displayName>cdr_pll_tx_pll_prot_mode</ipxact:displayName> + <ipxact:value>txpll_unused</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_txpll_hclk_driver_enable" type="string"> + <ipxact:name>cdr_pll_txpll_hclk_driver_enable</ipxact:name> + <ipxact:displayName>cdr_pll_txpll_hclk_driver_enable</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_vco_overrange_voltage" type="string"> + <ipxact:name>cdr_pll_vco_overrange_voltage</ipxact:name> + <ipxact:displayName>cdr_pll_vco_overrange_voltage</ipxact:displayName> + <ipxact:value>vco_overrange_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_vco_underrange_voltage" type="string"> + <ipxact:name>cdr_pll_vco_underrange_voltage</ipxact:name> + <ipxact:displayName>cdr_pll_vco_underrange_voltage</ipxact:displayName> + <ipxact:value>vco_underange_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_fb_select" type="string"> + <ipxact:name>cdr_pll_fb_select</ipxact:name> + <ipxact:displayName>cdr_pll_fb_select</ipxact:displayName> + <ipxact:value>direct_fb</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_uc_ro_cal" type="string"> + <ipxact:name>cdr_pll_uc_ro_cal</ipxact:name> + <ipxact:displayName>cdr_pll_uc_ro_cal</ipxact:displayName> + <ipxact:value>uc_ro_cal_on</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_uc_ro_cal_status" type="string"> + <ipxact:name>cdr_pll_uc_ro_cal_status</ipxact:name> + <ipxact:displayName>cdr_pll_uc_ro_cal_status</ipxact:displayName> + <ipxact:value>uc_ro_cal_notdone</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_iqclk_mux_sel" type="string"> + <ipxact:name>cdr_pll_iqclk_mux_sel</ipxact:name> + <ipxact:displayName>cdr_pll_iqclk_mux_sel</ipxact:displayName> + <ipxact:value>power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_uc_cru_rstb" type="string"> + <ipxact:name>cdr_pll_uc_cru_rstb</ipxact:name> + <ipxact:displayName>cdr_pll_uc_cru_rstb</ipxact:displayName> + <ipxact:value>cdr_lf_reset_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_pcie_gen" type="string"> + <ipxact:name>cdr_pll_pcie_gen</ipxact:name> + <ipxact:displayName>cdr_pll_pcie_gen</ipxact:displayName> + <ipxact:value>non_pcie</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_analog_mode" type="string"> + <ipxact:name>cdr_pll_analog_mode</ipxact:name> + <ipxact:displayName>cdr_pll_analog_mode</ipxact:displayName> + <ipxact:value>user_custom</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_f_max_m_counter" type="string"> + <ipxact:name>cdr_pll_f_max_m_counter</ipxact:name> + <ipxact:displayName>cdr_pll_f_max_m_counter</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_chgpmp_vccreg" type="string"> + <ipxact:name>cdr_pll_chgpmp_vccreg</ipxact:name> + <ipxact:displayName>cdr_pll_chgpmp_vccreg</ipxact:displayName> + <ipxact:value>vreg_fw0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_set_cdr_input_freq_range" type="int"> + <ipxact:name>cdr_pll_set_cdr_input_freq_range</ipxact:name> + <ipxact:displayName>cdr_pll_set_cdr_input_freq_range</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_chgpmp_current_dn_trim" type="string"> + <ipxact:name>cdr_pll_chgpmp_current_dn_trim</ipxact:name> + <ipxact:displayName>cdr_pll_chgpmp_current_dn_trim</ipxact:displayName> + <ipxact:value>cp_current_trimming_dn_setting0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_chgpmp_up_pd_trim_double" type="string"> + <ipxact:name>cdr_pll_chgpmp_up_pd_trim_double</ipxact:name> + <ipxact:displayName>cdr_pll_chgpmp_up_pd_trim_double</ipxact:displayName> + <ipxact:value>normal_up_trim_current</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_chgpmp_current_up_pd" type="string"> + <ipxact:name>cdr_pll_chgpmp_current_up_pd</ipxact:name> + <ipxact:displayName>cdr_pll_chgpmp_current_up_pd</ipxact:displayName> + <ipxact:value>cp_current_pd_up_setting3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_f_max_cmu_out_freq" type="string"> + <ipxact:name>cdr_pll_f_max_cmu_out_freq</ipxact:name> + <ipxact:displayName>cdr_pll_f_max_cmu_out_freq</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_chgpmp_current_up_trim" type="string"> + <ipxact:name>cdr_pll_chgpmp_current_up_trim</ipxact:name> + <ipxact:displayName>cdr_pll_chgpmp_current_up_trim</ipxact:displayName> + <ipxact:value>cp_current_trimming_up_setting0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_chgpmp_dn_pd_trim_double" type="string"> + <ipxact:name>cdr_pll_chgpmp_dn_pd_trim_double</ipxact:name> + <ipxact:displayName>cdr_pll_chgpmp_dn_pd_trim_double</ipxact:displayName> + <ipxact:value>normal_dn_trim_current</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_cal_vco_count_length" type="string"> + <ipxact:name>cdr_pll_cal_vco_count_length</ipxact:name> + <ipxact:displayName>cdr_pll_cal_vco_count_length</ipxact:displayName> + <ipxact:value>sel_8b_count</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_chgpmp_current_dn_pd" type="string"> + <ipxact:name>cdr_pll_chgpmp_current_dn_pd</ipxact:name> + <ipxact:displayName>cdr_pll_chgpmp_current_dn_pd</ipxact:displayName> + <ipxact:value>cp_current_pd_dn_setting3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_enable_idle_rx_channel_support" type="string"> + <ipxact:name>cdr_pll_enable_idle_rx_channel_support</ipxact:name> + <ipxact:displayName>cdr_pll_enable_idle_rx_channel_support</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_deser_silicon_rev" type="string"> + <ipxact:name>pma_rx_deser_silicon_rev</ipxact:name> + <ipxact:displayName>pma_rx_deser_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_deser_clkdiv_source" type="string"> + <ipxact:name>pma_rx_deser_clkdiv_source</ipxact:name> + <ipxact:displayName>pma_rx_deser_clkdiv_source</ipxact:displayName> + <ipxact:value>vco_bypass_normal</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_deser_clkdivrx_user_mode" type="string"> + <ipxact:name>pma_rx_deser_clkdivrx_user_mode</ipxact:name> + <ipxact:displayName>pma_rx_deser_clkdivrx_user_mode</ipxact:displayName> + <ipxact:value>clkdivrx_user_disabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_deser_datarate" type="string"> + <ipxact:name>pma_rx_deser_datarate</ipxact:name> + <ipxact:displayName>pma_rx_deser_datarate</ipxact:displayName> + <ipxact:value>10312500000 bps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_deser_deser_factor" type="int"> + <ipxact:name>pma_rx_deser_deser_factor</ipxact:name> + <ipxact:displayName>pma_rx_deser_deser_factor</ipxact:displayName> + <ipxact:value>32</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_deser_deser_powerdown" type="string"> + <ipxact:name>pma_rx_deser_deser_powerdown</ipxact:name> + <ipxact:displayName>pma_rx_deser_deser_powerdown</ipxact:displayName> + <ipxact:value>deser_power_up</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_deser_force_adaptation_outputs" type="string"> + <ipxact:name>pma_rx_deser_force_adaptation_outputs</ipxact:name> + <ipxact:displayName>pma_rx_deser_force_adaptation_outputs</ipxact:displayName> + <ipxact:value>normal_outputs</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_deser_force_clkdiv_for_testing" type="string"> + <ipxact:name>pma_rx_deser_force_clkdiv_for_testing</ipxact:name> + <ipxact:displayName>pma_rx_deser_force_clkdiv_for_testing</ipxact:displayName> + <ipxact:value>normal_clkdiv</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_deser_optimal" type="string"> + <ipxact:name>pma_rx_deser_optimal</ipxact:name> + <ipxact:displayName>pma_rx_deser_optimal</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_deser_sdclk_enable" type="string"> + <ipxact:name>pma_rx_deser_sdclk_enable</ipxact:name> + <ipxact:displayName>pma_rx_deser_sdclk_enable</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_deser_sup_mode" type="string"> + <ipxact:name>pma_rx_deser_sup_mode</ipxact:name> + <ipxact:displayName>pma_rx_deser_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_deser_rst_n_adapt_odi" type="string"> + <ipxact:name>pma_rx_deser_rst_n_adapt_odi</ipxact:name> + <ipxact:displayName>pma_rx_deser_rst_n_adapt_odi</ipxact:displayName> + <ipxact:value>no_rst_adapt_odi</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_deser_bitslip_bypass" type="string"> + <ipxact:name>pma_rx_deser_bitslip_bypass</ipxact:name> + <ipxact:displayName>pma_rx_deser_bitslip_bypass</ipxact:displayName> + <ipxact:value>bs_bypass_yes</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_deser_prot_mode" type="string"> + <ipxact:name>pma_rx_deser_prot_mode</ipxact:name> + <ipxact:displayName>pma_rx_deser_prot_mode</ipxact:displayName> + <ipxact:value>basic_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_deser_pcie_gen" type="string"> + <ipxact:name>pma_rx_deser_pcie_gen</ipxact:name> + <ipxact:displayName>pma_rx_deser_pcie_gen</ipxact:displayName> + <ipxact:value>non_pcie</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_deser_pcie_gen_bitwidth" type="string"> + <ipxact:name>pma_rx_deser_pcie_gen_bitwidth</ipxact:name> + <ipxact:displayName>pma_rx_deser_pcie_gen_bitwidth</ipxact:displayName> + <ipxact:value>pcie_gen3_32b</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_deser_tdr_mode" type="string"> + <ipxact:name>pma_rx_deser_tdr_mode</ipxact:name> + <ipxact:displayName>pma_rx_deser_tdr_mode</ipxact:displayName> + <ipxact:value>select_bbpd_data</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="data_rate_bps" type="string"> + <ipxact:name>data_rate_bps</ipxact:name> + <ipxact:displayName>data_rate_bps</ipxact:displayName> + <ipxact:value>10312500000 bps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_protocol_mode" type="string"> + <ipxact:name>l_protocol_mode</ipxact:name> + <ipxact:displayName>l_protocol_mode</ipxact:displayName> + <ipxact:value>teng_baser_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pcs_speedgrade" type="string"> + <ipxact:name>pcs_speedgrade</ipxact:name> + <ipxact:displayName>pcs_speedgrade</ipxact:displayName> + <ipxact:value>e3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_speedgrade" type="string"> + <ipxact:name>pma_speedgrade</ipxact:name> + <ipxact:displayName>pma_speedgrade</ipxact:displayName> + <ipxact:value>e3</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_module_parameters> + <altera:altera_system_parameters> + <ipxact:parameters> + <ipxact:parameter parameterId="device" type="string"> + <ipxact:name>device</ipxact:name> + <ipxact:displayName>Device</ipxact:displayName> + <ipxact:value>10AX115U3F45E2SG</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="deviceFamily" type="string"> + <ipxact:name>deviceFamily</ipxact:name> + <ipxact:displayName>Device family</ipxact:displayName> + <ipxact:value>Arria 10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="deviceSpeedGrade" type="string"> + <ipxact:name>deviceSpeedGrade</ipxact:name> + <ipxact:displayName>Device Speed Grade</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="generationId" type="int"> + <ipxact:name>generationId</ipxact:name> + <ipxact:displayName>Generation Id</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="bonusData" type="string"> + <ipxact:name>bonusData</ipxact:name> + <ipxact:displayName>bonusData</ipxact:displayName> + <ipxact:value>bonusData +{ + element xcvr_native_a10_0 + { + datum _sortIndex + { + value = "0"; + type = "int"; + } + } +} +</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hideFromIPCatalog" type="bit"> + <ipxact:name>hideFromIPCatalog</ipxact:name> + <ipxact:displayName>Hide from IP Catalog</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="lockedInterfaceDefinition" type="string"> + <ipxact:name>lockedInterfaceDefinition</ipxact:name> + <ipxact:displayName>lockedInterfaceDefinition</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="systemInfos" type="string"> + <ipxact:name>systemInfos</ipxact:name> + <ipxact:displayName>systemInfos</ipxact:displayName> + <ipxact:value><systemInfosDefinition> + <connPtSystemInfos/> +</systemInfosDefinition></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_system_parameters> + <altera:altera_interface_boundary> + <altera:interface_mapping altera:name="reconfig_avmm" altera:internal="xcvr_native_a10_0.reconfig_avmm"></altera:interface_mapping> + <altera:interface_mapping altera:name="reconfig_clk" altera:internal="xcvr_native_a10_0.reconfig_clk"></altera:interface_mapping> + <altera:interface_mapping altera:name="reconfig_reset" altera:internal="xcvr_native_a10_0.reconfig_reset"></altera:interface_mapping> + <altera:interface_mapping altera:name="rx_analogreset" altera:internal="xcvr_native_a10_0.rx_analogreset" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_analogreset" altera:internal="rx_analogreset"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_cal_busy" altera:internal="xcvr_native_a10_0.rx_cal_busy" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_cal_busy" altera:internal="rx_cal_busy"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_cdr_refclk0" altera:internal="xcvr_native_a10_0.rx_cdr_refclk0" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_cdr_refclk0" altera:internal="rx_cdr_refclk0"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_clkout" altera:internal="xcvr_native_a10_0.rx_clkout" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_clkout" altera:internal="rx_clkout"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_control" altera:internal="xcvr_native_a10_0.rx_control" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_control" altera:internal="rx_control"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_coreclkin" altera:internal="xcvr_native_a10_0.rx_coreclkin" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_coreclkin" altera:internal="rx_coreclkin"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_digitalreset" altera:internal="xcvr_native_a10_0.rx_digitalreset" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_digitalreset" altera:internal="rx_digitalreset"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_enh_blk_lock" altera:internal="xcvr_native_a10_0.rx_enh_blk_lock" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_enh_blk_lock" altera:internal="rx_enh_blk_lock"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_enh_data_valid" altera:internal="xcvr_native_a10_0.rx_enh_data_valid" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_enh_data_valid" altera:internal="rx_enh_data_valid"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_enh_fifo_del" altera:internal="xcvr_native_a10_0.rx_enh_fifo_del" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_enh_fifo_del" altera:internal="rx_enh_fifo_del"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_enh_fifo_empty" altera:internal="xcvr_native_a10_0.rx_enh_fifo_empty" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_enh_fifo_empty" altera:internal="rx_enh_fifo_empty"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_enh_fifo_full" altera:internal="xcvr_native_a10_0.rx_enh_fifo_full" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_enh_fifo_full" altera:internal="rx_enh_fifo_full"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_enh_fifo_insert" altera:internal="xcvr_native_a10_0.rx_enh_fifo_insert" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_enh_fifo_insert" altera:internal="rx_enh_fifo_insert"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_enh_highber" altera:internal="xcvr_native_a10_0.rx_enh_highber" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_enh_highber" altera:internal="rx_enh_highber"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_is_lockedtodata" altera:internal="xcvr_native_a10_0.rx_is_lockedtodata" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_is_lockedtodata" altera:internal="rx_is_lockedtodata"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_is_lockedtoref" altera:internal="xcvr_native_a10_0.rx_is_lockedtoref" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_is_lockedtoref" altera:internal="rx_is_lockedtoref"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_parallel_data" altera:internal="xcvr_native_a10_0.rx_parallel_data" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_parallel_data" altera:internal="rx_parallel_data"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_prbs_done" altera:internal="xcvr_native_a10_0.rx_prbs_done" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_prbs_done" altera:internal="rx_prbs_done"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_prbs_err" altera:internal="xcvr_native_a10_0.rx_prbs_err" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_prbs_err" altera:internal="rx_prbs_err"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_prbs_err_clr" altera:internal="xcvr_native_a10_0.rx_prbs_err_clr" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_prbs_err_clr" altera:internal="rx_prbs_err_clr"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_serial_data" altera:internal="xcvr_native_a10_0.rx_serial_data" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_serial_data" altera:internal="rx_serial_data"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_seriallpbken" altera:internal="xcvr_native_a10_0.rx_seriallpbken" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_seriallpbken" altera:internal="rx_seriallpbken"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_analogreset" altera:internal="xcvr_native_a10_0.tx_analogreset" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_analogreset" altera:internal="tx_analogreset"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_cal_busy" altera:internal="xcvr_native_a10_0.tx_cal_busy" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_cal_busy" altera:internal="tx_cal_busy"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_clkout" altera:internal="xcvr_native_a10_0.tx_clkout" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_clkout" altera:internal="tx_clkout"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_control" altera:internal="xcvr_native_a10_0.tx_control" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_control" altera:internal="tx_control"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_coreclkin" altera:internal="xcvr_native_a10_0.tx_coreclkin" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_coreclkin" altera:internal="tx_coreclkin"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_digitalreset" altera:internal="xcvr_native_a10_0.tx_digitalreset" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_digitalreset" altera:internal="tx_digitalreset"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_enh_data_valid" altera:internal="xcvr_native_a10_0.tx_enh_data_valid" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_enh_data_valid" altera:internal="tx_enh_data_valid"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_enh_fifo_empty" altera:internal="xcvr_native_a10_0.tx_enh_fifo_empty" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_enh_fifo_empty" altera:internal="tx_enh_fifo_empty"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_enh_fifo_full" altera:internal="xcvr_native_a10_0.tx_enh_fifo_full" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_enh_fifo_full" altera:internal="tx_enh_fifo_full"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_enh_fifo_pempty" altera:internal="xcvr_native_a10_0.tx_enh_fifo_pempty" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_enh_fifo_pempty" altera:internal="tx_enh_fifo_pempty"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_enh_fifo_pfull" altera:internal="xcvr_native_a10_0.tx_enh_fifo_pfull" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_enh_fifo_pfull" altera:internal="tx_enh_fifo_pfull"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_err_ins" altera:internal="xcvr_native_a10_0.tx_err_ins" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_err_ins" altera:internal="tx_err_ins"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_parallel_data" altera:internal="xcvr_native_a10_0.tx_parallel_data" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_parallel_data" altera:internal="tx_parallel_data"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_serial_clk0" altera:internal="xcvr_native_a10_0.tx_serial_clk0" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_serial_clk0" altera:internal="tx_serial_clk0"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_serial_data" altera:internal="xcvr_native_a10_0.tx_serial_data" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_serial_data" altera:internal="tx_serial_data"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="unused_rx_control" altera:internal="xcvr_native_a10_0.unused_rx_control" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="unused_rx_control" altera:internal="unused_rx_control"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="unused_rx_parallel_data" altera:internal="xcvr_native_a10_0.unused_rx_parallel_data" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="unused_rx_parallel_data" altera:internal="unused_rx_parallel_data"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="unused_tx_control" altera:internal="xcvr_native_a10_0.unused_tx_control" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="unused_tx_control" altera:internal="unused_tx_control"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="unused_tx_parallel_data" altera:internal="xcvr_native_a10_0.unused_tx_parallel_data" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="unused_tx_parallel_data" altera:internal="unused_tx_parallel_data"></altera:port_mapping> + </altera:interface_mapping> + </altera:altera_interface_boundary> + <altera:altera_has_warnings>false</altera:altera_has_warnings> + <altera:altera_has_errors>false</altera:altera_has_errors> + </ipxact:vendorExtensions> +</ipxact:component> \ No newline at end of file diff --git a/libraries/technology/ip_arria10_e2sg/phy_10gbase_r/ip_arria10_e2sg_phy_10gbase_r.qsys b/libraries/technology/ip_arria10_e2sg/phy_10gbase_r/ip_arria10_e2sg_phy_10gbase_r.qsys deleted file mode 100644 index 6f53174fb2..0000000000 --- a/libraries/technology/ip_arria10_e2sg/phy_10gbase_r/ip_arria10_e2sg_phy_10gbase_r.qsys +++ /dev/null @@ -1,604 +0,0 @@ -<?xml version="1.0" encoding="UTF-8"?> -<system name="ip_arria10_e2sg_phy_10gbase_r"> - <component - name="$${FILENAME}" - displayName="$${FILENAME}" - version="1.0" - description="" - tags="AUTHORSHIP=Intel Corporation /// INTERNAL_COMPONENT=true" - categories="System" - tool="QsysStandard" /> - <parameter name="bonusData"><![CDATA[bonusData -{ - element $system - { - } - element xcvr_native_a10_0 - { - datum _sortIndex - { - value = "0"; - type = "int"; - } - } -} -]]></parameter> - <parameter name="device" value="10AX115U3F45E2SG" /> - <parameter name="deviceFamily" value="Arria 10" /> - <parameter name="deviceSpeedGrade" value="2" /> - <parameter name="fabricMode" value="QSYS" /> - <parameter name="generateLegacySim" value="false" /> - <parameter name="generationId" value="0" /> - <parameter name="globalResetBus" value="false" /> - <parameter name="hdlLanguage" value="VERILOG" /> - <parameter name="hideFromIPCatalog" value="true" /> - <parameter name="lockedInterfaceDefinition" value="" /> - <parameter name="sopcBorderPoints" value="false" /> - <parameter name="systemHash" value="0" /> - <parameter name="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos/> -</systemInfosDefinition>]]></parameter> - <parameter name="systemScripts" value="" /> - <parameter name="testBenchDutName" value="" /> - <parameter name="timeStamp" value="0" /> - <parameter name="useTestBenchNamingPattern" value="false" /> - <instanceScript></instanceScript> - <interface name="reconfig_avmm" internal="xcvr_native_a10_0.reconfig_avmm" /> - <interface name="reconfig_clk" internal="xcvr_native_a10_0.reconfig_clk" /> - <interface name="reconfig_reset" internal="xcvr_native_a10_0.reconfig_reset" /> - <interface - name="rx_analogreset" - internal="xcvr_native_a10_0.rx_analogreset" - type="conduit" - dir="end"> - <port name="rx_analogreset" internal="rx_analogreset" /> - </interface> - <interface - name="rx_cal_busy" - internal="xcvr_native_a10_0.rx_cal_busy" - type="conduit" - dir="end"> - <port name="rx_cal_busy" internal="rx_cal_busy" /> - </interface> - <interface - name="rx_cdr_refclk0" - internal="xcvr_native_a10_0.rx_cdr_refclk0" - type="conduit" - dir="end"> - <port name="rx_cdr_refclk0" internal="rx_cdr_refclk0" /> - </interface> - <interface - name="rx_clkout" - internal="xcvr_native_a10_0.rx_clkout" - type="conduit" - dir="end"> - <port name="rx_clkout" internal="rx_clkout" /> - </interface> - <interface - name="rx_control" - internal="xcvr_native_a10_0.rx_control" - type="conduit" - dir="end"> - <port name="rx_control" internal="rx_control" /> - </interface> - <interface - name="rx_coreclkin" - internal="xcvr_native_a10_0.rx_coreclkin" - type="conduit" - dir="end"> - <port name="rx_coreclkin" internal="rx_coreclkin" /> - </interface> - <interface - name="rx_digitalreset" - internal="xcvr_native_a10_0.rx_digitalreset" - type="conduit" - dir="end"> - <port name="rx_digitalreset" internal="rx_digitalreset" /> - </interface> - <interface - name="rx_enh_blk_lock" - internal="xcvr_native_a10_0.rx_enh_blk_lock" - type="conduit" - dir="end"> - <port name="rx_enh_blk_lock" internal="rx_enh_blk_lock" /> - </interface> - <interface - name="rx_enh_data_valid" - internal="xcvr_native_a10_0.rx_enh_data_valid" - type="conduit" - dir="end"> - <port name="rx_enh_data_valid" internal="rx_enh_data_valid" /> - </interface> - <interface - name="rx_enh_fifo_del" - internal="xcvr_native_a10_0.rx_enh_fifo_del" - type="conduit" - dir="end"> - <port name="rx_enh_fifo_del" internal="rx_enh_fifo_del" /> - </interface> - <interface - name="rx_enh_fifo_empty" - internal="xcvr_native_a10_0.rx_enh_fifo_empty" - type="conduit" - dir="end"> - <port name="rx_enh_fifo_empty" internal="rx_enh_fifo_empty" /> - </interface> - <interface - name="rx_enh_fifo_full" - internal="xcvr_native_a10_0.rx_enh_fifo_full" - type="conduit" - dir="end"> - <port name="rx_enh_fifo_full" internal="rx_enh_fifo_full" /> - </interface> - <interface - name="rx_enh_fifo_insert" - internal="xcvr_native_a10_0.rx_enh_fifo_insert" - type="conduit" - dir="end"> - <port name="rx_enh_fifo_insert" internal="rx_enh_fifo_insert" /> - </interface> - <interface - name="rx_enh_highber" - internal="xcvr_native_a10_0.rx_enh_highber" - type="conduit" - dir="end"> - <port name="rx_enh_highber" internal="rx_enh_highber" /> - </interface> - <interface - name="rx_is_lockedtodata" - internal="xcvr_native_a10_0.rx_is_lockedtodata" - type="conduit" - dir="end"> - <port name="rx_is_lockedtodata" internal="rx_is_lockedtodata" /> - </interface> - <interface - name="rx_is_lockedtoref" - internal="xcvr_native_a10_0.rx_is_lockedtoref" - type="conduit" - dir="end"> - <port name="rx_is_lockedtoref" internal="rx_is_lockedtoref" /> - </interface> - <interface - name="rx_parallel_data" - internal="xcvr_native_a10_0.rx_parallel_data" - type="conduit" - dir="end"> - <port name="rx_parallel_data" internal="rx_parallel_data" /> - </interface> - <interface - name="rx_prbs_done" - internal="xcvr_native_a10_0.rx_prbs_done" - type="conduit" - dir="end"> - <port name="rx_prbs_done" internal="rx_prbs_done" /> - </interface> - <interface - name="rx_prbs_err" - internal="xcvr_native_a10_0.rx_prbs_err" - type="conduit" - dir="end"> - <port name="rx_prbs_err" internal="rx_prbs_err" /> - </interface> - <interface - name="rx_prbs_err_clr" - internal="xcvr_native_a10_0.rx_prbs_err_clr" - type="conduit" - dir="end"> - <port name="rx_prbs_err_clr" internal="rx_prbs_err_clr" /> - </interface> - <interface - name="rx_serial_data" - internal="xcvr_native_a10_0.rx_serial_data" - type="conduit" - dir="end"> - <port name="rx_serial_data" internal="rx_serial_data" /> - </interface> - <interface - name="rx_seriallpbken" - internal="xcvr_native_a10_0.rx_seriallpbken" - type="conduit" - dir="end"> - <port name="rx_seriallpbken" internal="rx_seriallpbken" /> - </interface> - <interface - name="tx_analogreset" - internal="xcvr_native_a10_0.tx_analogreset" - type="conduit" - dir="end"> - <port name="tx_analogreset" internal="tx_analogreset" /> - </interface> - <interface - name="tx_cal_busy" - internal="xcvr_native_a10_0.tx_cal_busy" - type="conduit" - dir="end"> - <port name="tx_cal_busy" internal="tx_cal_busy" /> - </interface> - <interface - name="tx_clkout" - internal="xcvr_native_a10_0.tx_clkout" - type="conduit" - dir="end"> - <port name="tx_clkout" internal="tx_clkout" /> - </interface> - <interface - name="tx_control" - internal="xcvr_native_a10_0.tx_control" - type="conduit" - dir="end"> - <port name="tx_control" internal="tx_control" /> - </interface> - <interface - name="tx_coreclkin" - internal="xcvr_native_a10_0.tx_coreclkin" - type="conduit" - dir="end"> - <port name="tx_coreclkin" internal="tx_coreclkin" /> - </interface> - <interface - name="tx_digitalreset" - internal="xcvr_native_a10_0.tx_digitalreset" - type="conduit" - dir="end"> - <port name="tx_digitalreset" internal="tx_digitalreset" /> - </interface> - <interface - name="tx_enh_data_valid" - internal="xcvr_native_a10_0.tx_enh_data_valid" - type="conduit" - dir="end"> - <port name="tx_enh_data_valid" internal="tx_enh_data_valid" /> - </interface> - <interface - name="tx_enh_fifo_empty" - internal="xcvr_native_a10_0.tx_enh_fifo_empty" - type="conduit" - dir="end"> - <port name="tx_enh_fifo_empty" internal="tx_enh_fifo_empty" /> - </interface> - <interface - name="tx_enh_fifo_full" - internal="xcvr_native_a10_0.tx_enh_fifo_full" - type="conduit" - dir="end"> - <port name="tx_enh_fifo_full" internal="tx_enh_fifo_full" /> - </interface> - <interface - name="tx_enh_fifo_pempty" - internal="xcvr_native_a10_0.tx_enh_fifo_pempty" - type="conduit" - dir="end"> - <port name="tx_enh_fifo_pempty" internal="tx_enh_fifo_pempty" /> - </interface> - <interface - name="tx_enh_fifo_pfull" - internal="xcvr_native_a10_0.tx_enh_fifo_pfull" - type="conduit" - dir="end"> - <port name="tx_enh_fifo_pfull" internal="tx_enh_fifo_pfull" /> - </interface> - <interface - name="tx_err_ins" - internal="xcvr_native_a10_0.tx_err_ins" - type="conduit" - dir="end"> - <port name="tx_err_ins" internal="tx_err_ins" /> - </interface> - <interface - name="tx_parallel_data" - internal="xcvr_native_a10_0.tx_parallel_data" - type="conduit" - dir="end"> - <port name="tx_parallel_data" internal="tx_parallel_data" /> - </interface> - <interface name="tx_pma_clkout" internal="xcvr_native_a10_0.tx_pma_clkout" /> - <interface - name="tx_pma_div_clkout" - internal="xcvr_native_a10_0.tx_pma_div_clkout" /> - <interface - name="tx_serial_clk0" - internal="xcvr_native_a10_0.tx_serial_clk0" - type="conduit" - dir="end"> - <port name="tx_serial_clk0" internal="tx_serial_clk0" /> - </interface> - <interface - name="tx_serial_data" - internal="xcvr_native_a10_0.tx_serial_data" - type="conduit" - dir="end"> - <port name="tx_serial_data" internal="tx_serial_data" /> - </interface> - <interface - name="unused_rx_control" - internal="xcvr_native_a10_0.unused_rx_control" - type="conduit" - dir="end"> - <port name="unused_rx_control" internal="unused_rx_control" /> - </interface> - <interface - name="unused_rx_parallel_data" - internal="xcvr_native_a10_0.unused_rx_parallel_data" - type="conduit" - dir="end"> - <port name="unused_rx_parallel_data" internal="unused_rx_parallel_data" /> - </interface> - <interface - name="unused_tx_control" - internal="xcvr_native_a10_0.unused_tx_control" - type="conduit" - dir="end"> - <port name="unused_tx_control" internal="unused_tx_control" /> - </interface> - <interface - name="unused_tx_parallel_data" - internal="xcvr_native_a10_0.unused_tx_parallel_data" - type="conduit" - dir="end"> - <port name="unused_tx_parallel_data" internal="unused_tx_parallel_data" /> - </interface> - <module - name="xcvr_native_a10_0" - kind="altera_xcvr_native_a10" - version="19.1" - enabled="1" - autoexport="1"> - <parameter name="anlg_enable_rx_default_ovr" value="0" /> - <parameter name="anlg_enable_tx_default_ovr" value="0" /> - <parameter name="anlg_link" value="sr" /> - <parameter name="anlg_rx_adp_ctle_acgain_4s">radp_ctle_acgain_4s_1</parameter> - <parameter name="anlg_rx_adp_ctle_eqz_1s_sel">radp_ctle_eqz_1s_sel_3</parameter> - <parameter name="anlg_rx_adp_dfe_fxtap1" value="radp_dfe_fxtap1_0" /> - <parameter name="anlg_rx_adp_dfe_fxtap10" value="radp_dfe_fxtap10_0" /> - <parameter name="anlg_rx_adp_dfe_fxtap11" value="radp_dfe_fxtap11_0" /> - <parameter name="anlg_rx_adp_dfe_fxtap2" value="radp_dfe_fxtap2_0" /> - <parameter name="anlg_rx_adp_dfe_fxtap3" value="radp_dfe_fxtap3_0" /> - <parameter name="anlg_rx_adp_dfe_fxtap4" value="radp_dfe_fxtap4_0" /> - <parameter name="anlg_rx_adp_dfe_fxtap5" value="radp_dfe_fxtap5_0" /> - <parameter name="anlg_rx_adp_dfe_fxtap6" value="radp_dfe_fxtap6_0" /> - <parameter name="anlg_rx_adp_dfe_fxtap7" value="radp_dfe_fxtap7_0" /> - <parameter name="anlg_rx_adp_dfe_fxtap8" value="radp_dfe_fxtap8_0" /> - <parameter name="anlg_rx_adp_dfe_fxtap9" value="radp_dfe_fxtap9_0" /> - <parameter name="anlg_rx_adp_vga_sel" value="radp_vga_sel_2" /> - <parameter name="anlg_rx_eq_dc_gain_trim" value="stg2_gain7" /> - <parameter name="anlg_rx_one_stage_enable" value="s1_mode" /> - <parameter name="anlg_rx_term_sel" value="r_r1" /> - <parameter name="anlg_tx_analog_mode" value="user_custom" /> - <parameter name="anlg_tx_compensation_en" value="enable" /> - <parameter name="anlg_tx_pre_emp_sign_1st_post_tap" value="fir_post_1t_neg" /> - <parameter name="anlg_tx_pre_emp_sign_2nd_post_tap" value="fir_post_2t_neg" /> - <parameter name="anlg_tx_pre_emp_sign_pre_tap_1t" value="fir_pre_1t_neg" /> - <parameter name="anlg_tx_pre_emp_sign_pre_tap_2t" value="fir_pre_2t_neg" /> - <parameter name="anlg_tx_pre_emp_switching_ctrl_1st_post_tap" value="0" /> - <parameter name="anlg_tx_pre_emp_switching_ctrl_2nd_post_tap" value="0" /> - <parameter name="anlg_tx_pre_emp_switching_ctrl_pre_tap_1t" value="0" /> - <parameter name="anlg_tx_pre_emp_switching_ctrl_pre_tap_2t" value="0" /> - <parameter name="anlg_tx_slew_rate_ctrl" value="slew_r7" /> - <parameter name="anlg_tx_term_sel" value="r_r1" /> - <parameter name="anlg_tx_vod_output_swing_ctrl" value="0" /> - <parameter name="anlg_voltage" value="1_1V" /> - <parameter name="base_device" value="NIGHTFURY5" /> - <parameter name="bonded_mode" value="not_bonded" /> - <parameter name="cdr_refclk_cnt" value="1" /> - <parameter name="cdr_refclk_select" value="0" /> - <parameter name="channels" value="1" /> - <parameter name="design_environment" value="NATIVE" /> - <parameter name="device" value="10AX115U3F45E2SG" /> - <parameter name="device_family" value="Arria 10" /> - <parameter name="disable_continuous_dfe" value="false" /> - <parameter name="duplex_mode" value="duplex" /> - <parameter name="enable_analog_settings" value="0" /> - <parameter name="enable_hard_reset" value="0" /> - <parameter name="enable_hip" value="0" /> - <parameter name="enable_parallel_loopback" value="0" /> - <parameter name="enable_pcie_data_mask_option" value="0" /> - <parameter name="enable_pcie_dfe_ip" value="false" /> - <parameter name="enable_port_krfec_rx_enh_frame" value="0" /> - <parameter name="enable_port_krfec_rx_enh_frame_diag_status" value="0" /> - <parameter name="enable_port_krfec_tx_enh_frame" value="0" /> - <parameter name="enable_port_pipe_rx_polarity" value="0" /> - <parameter name="enable_port_rx_analog_reset_ack" value="0" /> - <parameter name="enable_port_rx_enh_bitslip" value="0" /> - <parameter name="enable_port_rx_enh_blk_lock" value="1" /> - <parameter name="enable_port_rx_enh_clr_errblk_count" value="0" /> - <parameter name="enable_port_rx_enh_clr_errblk_count_c10" value="0" /> - <parameter name="enable_port_rx_enh_crc32_err" value="0" /> - <parameter name="enable_port_rx_enh_data_valid" value="1" /> - <parameter name="enable_port_rx_enh_fifo_align_clr" value="0" /> - <parameter name="enable_port_rx_enh_fifo_align_val" value="0" /> - <parameter name="enable_port_rx_enh_fifo_cnt" value="0" /> - <parameter name="enable_port_rx_enh_fifo_del" value="1" /> - <parameter name="enable_port_rx_enh_fifo_empty" value="1" /> - <parameter name="enable_port_rx_enh_fifo_full" value="1" /> - <parameter name="enable_port_rx_enh_fifo_insert" value="1" /> - <parameter name="enable_port_rx_enh_fifo_pempty" value="0" /> - <parameter name="enable_port_rx_enh_fifo_pfull" value="0" /> - <parameter name="enable_port_rx_enh_fifo_rd_en" value="0" /> - <parameter name="enable_port_rx_enh_frame" value="0" /> - <parameter name="enable_port_rx_enh_frame_diag_status" value="0" /> - <parameter name="enable_port_rx_enh_frame_lock" value="0" /> - <parameter name="enable_port_rx_enh_highber" value="1" /> - <parameter name="enable_port_rx_enh_highber_clr_cnt" value="0" /> - <parameter name="enable_port_rx_is_lockedtodata" value="1" /> - <parameter name="enable_port_rx_is_lockedtoref" value="1" /> - <parameter name="enable_port_rx_pma_clkout" value="0" /> - <parameter name="enable_port_rx_pma_clkslip" value="0" /> - <parameter name="enable_port_rx_pma_div_clkout" value="0" /> - <parameter name="enable_port_rx_pma_iqtxrx_clkout" value="0" /> - <parameter name="enable_port_rx_pma_qpipulldn" value="0" /> - <parameter name="enable_port_rx_polinv" value="0" /> - <parameter name="enable_port_rx_seriallpbken" value="1" /> - <parameter name="enable_port_rx_seriallpbken_tx" value="1" /> - <parameter name="enable_port_rx_signaldetect" value="0" /> - <parameter name="enable_port_rx_std_bitrev_ena" value="0" /> - <parameter name="enable_port_rx_std_bitslip" value="0" /> - <parameter name="enable_port_rx_std_bitslipboundarysel" value="0" /> - <parameter name="enable_port_rx_std_byterev_ena" value="0" /> - <parameter name="enable_port_rx_std_pcfifo_empty" value="0" /> - <parameter name="enable_port_rx_std_pcfifo_full" value="0" /> - <parameter name="enable_port_rx_std_rmfifo_empty" value="0" /> - <parameter name="enable_port_rx_std_rmfifo_full" value="0" /> - <parameter name="enable_port_rx_std_signaldetect" value="0" /> - <parameter name="enable_port_rx_std_wa_a1a2size" value="0" /> - <parameter name="enable_port_rx_std_wa_patternalign" value="0" /> - <parameter name="enable_port_tx_analog_reset_ack" value="0" /> - <parameter name="enable_port_tx_enh_bitslip" value="0" /> - <parameter name="enable_port_tx_enh_fifo_cnt" value="0" /> - <parameter name="enable_port_tx_enh_fifo_empty" value="1" /> - <parameter name="enable_port_tx_enh_fifo_full" value="1" /> - <parameter name="enable_port_tx_enh_fifo_pempty" value="1" /> - <parameter name="enable_port_tx_enh_fifo_pfull" value="1" /> - <parameter name="enable_port_tx_enh_frame" value="0" /> - <parameter name="enable_port_tx_enh_frame_burst_en" value="0" /> - <parameter name="enable_port_tx_enh_frame_diag_status" value="0" /> - <parameter name="enable_port_tx_pma_clkout" value="0" /> - <parameter name="enable_port_tx_pma_div_clkout" value="0" /> - <parameter name="enable_port_tx_pma_elecidle" value="0" /> - <parameter name="enable_port_tx_pma_iqtxrx_clkout" value="0" /> - <parameter name="enable_port_tx_pma_qpipulldn" value="0" /> - <parameter name="enable_port_tx_pma_qpipullup" value="0" /> - <parameter name="enable_port_tx_pma_rxfound" value="0" /> - <parameter name="enable_port_tx_pma_txdetectrx" value="0" /> - <parameter name="enable_port_tx_polinv" value="0" /> - <parameter name="enable_port_tx_std_bitslipboundarysel" value="0" /> - <parameter name="enable_port_tx_std_pcfifo_empty" value="0" /> - <parameter name="enable_port_tx_std_pcfifo_full" value="0" /> - <parameter name="enable_ports_adaptation" value="0" /> - <parameter name="enable_ports_pipe_g3_analog" value="0" /> - <parameter name="enable_ports_pipe_hclk" value="0" /> - <parameter name="enable_ports_pipe_rx_elecidle" value="0" /> - <parameter name="enable_ports_pipe_sw" value="0" /> - <parameter name="enable_ports_rx_manual_cdr_mode" value="0" /> - <parameter name="enable_ports_rx_manual_ppm" value="0" /> - <parameter name="enable_ports_rx_prbs" value="1" /> - <parameter name="enable_simple_interface" value="1" /> - <parameter name="enable_skp_ports" value="0" /> - <parameter name="enable_split_interface" value="0" /> - <parameter name="enable_transparent_pcs" value="0" /> - <parameter name="enable_upi_pipeline_options" value="0" /> - <parameter name="enh_low_latency_enable" value="0" /> - <parameter name="enh_pcs_pma_width" value="32" /> - <parameter name="enh_pld_pcs_width" value="66" /> - <parameter name="enh_rx_64b66b_enable" value="1" /> - <parameter name="enh_rx_bitslip_enable" value="0" /> - <parameter name="enh_rx_blksync_enable" value="1" /> - <parameter name="enh_rx_crcchk_enable" value="0" /> - <parameter name="enh_rx_descram_enable" value="1" /> - <parameter name="enh_rx_dispchk_enable" value="0" /> - <parameter name="enh_rx_frmsync_enable" value="0" /> - <parameter name="enh_rx_frmsync_mfrm_length" value="2048" /> - <parameter name="enh_rx_krfec_err_mark_enable" value="0" /> - <parameter name="enh_rx_krfec_err_mark_type" value="10G" /> - <parameter name="enh_rx_polinv_enable" value="0" /> - <parameter name="enh_rxfifo_align_del" value="0" /> - <parameter name="enh_rxfifo_control_del" value="0" /> - <parameter name="enh_rxfifo_mode" value="10GBase-R" /> - <parameter name="enh_rxfifo_pempty" value="2" /> - <parameter name="enh_rxfifo_pfull" value="23" /> - <parameter name="enh_rxtxfifo_double_width" value="0" /> - <parameter name="enh_tx_64b66b_enable" value="1" /> - <parameter name="enh_tx_bitslip_enable" value="0" /> - <parameter name="enh_tx_crcerr_enable" value="0" /> - <parameter name="enh_tx_crcgen_enable" value="0" /> - <parameter name="enh_tx_dispgen_enable" value="0" /> - <parameter name="enh_tx_frmgen_burst_enable" value="0" /> - <parameter name="enh_tx_frmgen_enable" value="0" /> - <parameter name="enh_tx_frmgen_mfrm_length" value="2048" /> - <parameter name="enh_tx_krfec_burst_err_enable" value="0" /> - <parameter name="enh_tx_krfec_burst_err_len" value="1" /> - <parameter name="enh_tx_polinv_enable" value="0" /> - <parameter name="enh_tx_randomdispbit_enable" value="0" /> - <parameter name="enh_tx_scram_enable" value="1" /> - <parameter name="enh_tx_scram_seed" value="288230376151711743" /> - <parameter name="enh_tx_sh_err" value="0" /> - <parameter name="enh_txfifo_mode" value="Phase compensation" /> - <parameter name="enh_txfifo_pempty" value="2" /> - <parameter name="enh_txfifo_pfull" value="11" /> - <parameter name="generate_add_hdl_instance_example" value="0" /> - <parameter name="generate_docs" value="1" /> - <parameter name="message_level" value="error" /> - <parameter name="number_physical_bonding_clocks" value="1" /> - <parameter name="pcie_rate_match" value="Bypass" /> - <parameter name="pcs_direct_width" value="8" /> - <parameter name="pcs_tx_delay1_ctrl" value="delay1_path0" /> - <parameter name="pcs_tx_delay1_data_sel" value="one_ff_delay" /> - <parameter name="pcs_tx_delay2_ctrl" value="delay2_path0" /> - <parameter name="pll_select" value="0" /> - <parameter name="plls" value="1" /> - <parameter name="pma_mode" value="basic" /> - <parameter name="protocol_mode" value="teng_baser_mode" /> - <parameter name="rcfg_enable" value="0" /> - <parameter name="rcfg_enable_avmm_busy_port" value="0" /> - <parameter name="rcfg_file_prefix">altera_xcvr_native_a10</parameter> - <parameter name="rcfg_h_file_enable" value="0" /> - <parameter name="rcfg_iface_enable" value="0" /> - <parameter name="rcfg_jtag_enable" value="0" /> - <parameter name="rcfg_mif_file_enable" value="0" /> - <parameter name="rcfg_multi_enable" value="0" /> - <parameter name="rcfg_profile_cnt" value="2" /> - <parameter name="rcfg_profile_data0" value="" /> - <parameter name="rcfg_profile_data1" value="" /> - <parameter name="rcfg_profile_data2" value="" /> - <parameter name="rcfg_profile_data3" value="" /> - <parameter name="rcfg_profile_data4" value="" /> - <parameter name="rcfg_profile_data5" value="" /> - <parameter name="rcfg_profile_data6" value="" /> - <parameter name="rcfg_profile_data7" value="" /> - <parameter name="rcfg_profile_select" value="1" /> - <parameter name="rcfg_reduced_files_enable" value="0" /> - <parameter name="rcfg_separate_avmm_busy" value="0" /> - <parameter name="rcfg_shared" value="0" /> - <parameter name="rcfg_sv_file_enable" value="0" /> - <parameter name="rx_pma_ctle_adaptation_mode" value="manual" /> - <parameter name="rx_pma_dfe_adaptation_mode" value="disabled" /> - <parameter name="rx_pma_dfe_fixed_taps" value="3" /> - <parameter name="rx_pma_div_clkout_divider" value="0" /> - <parameter name="rx_ppm_detect_threshold" value="1000" /> - <parameter name="set_capability_reg_enable" value="0" /> - <parameter name="set_cdr_refclk_freq" value="644.531250" /> - <parameter name="set_csr_soft_logic_enable" value="0" /> - <parameter name="set_data_rate" value="10312.5" /> - <parameter name="set_disconnect_analog_resets" value="0" /> - <parameter name="set_embedded_debug_enable" value="0" /> - <parameter name="set_enable_calibration" value="0" /> - <parameter name="set_hip_cal_en" value="0" /> - <parameter name="set_odi_soft_logic_enable" value="0" /> - <parameter name="set_pcs_bonding_master" value="Auto" /> - <parameter name="set_prbs_soft_logic_enable" value="0" /> - <parameter name="set_rcfg_emb_strm_enable" value="0" /> - <parameter name="set_user_identifier" value="0" /> - <parameter name="sim_reduced_counters" value="false" /> - <parameter name="std_data_mask_count_multi" value="0" /> - <parameter name="std_low_latency_bypass_enable" value="0" /> - <parameter name="std_pcs_pma_width" value="10" /> - <parameter name="std_rx_8b10b_enable" value="0" /> - <parameter name="std_rx_bitrev_enable" value="0" /> - <parameter name="std_rx_byte_deser_mode" value="Disabled" /> - <parameter name="std_rx_byterev_enable" value="0" /> - <parameter name="std_rx_pcfifo_mode" value="low_latency" /> - <parameter name="std_rx_polinv_enable" value="0" /> - <parameter name="std_rx_rmfifo_mode" value="disabled" /> - <parameter name="std_rx_rmfifo_pattern_n" value="0" /> - <parameter name="std_rx_rmfifo_pattern_p" value="0" /> - <parameter name="std_rx_word_aligner_fast_sync_status_enable" value="0" /> - <parameter name="std_rx_word_aligner_mode" value="bitslip" /> - <parameter name="std_rx_word_aligner_pattern" value="0" /> - <parameter name="std_rx_word_aligner_pattern_len" value="7" /> - <parameter name="std_rx_word_aligner_renumber" value="3" /> - <parameter name="std_rx_word_aligner_rgnumber" value="3" /> - <parameter name="std_rx_word_aligner_rknumber" value="3" /> - <parameter name="std_rx_word_aligner_rvnumber" value="0" /> - <parameter name="std_tx_8b10b_disp_ctrl_enable" value="0" /> - <parameter name="std_tx_8b10b_enable" value="0" /> - <parameter name="std_tx_bitrev_enable" value="0" /> - <parameter name="std_tx_bitslip_enable" value="0" /> - <parameter name="std_tx_byte_ser_mode" value="Disabled" /> - <parameter name="std_tx_byterev_enable" value="0" /> - <parameter name="std_tx_pcfifo_mode" value="low_latency" /> - <parameter name="std_tx_polinv_enable" value="0" /> - <parameter name="support_mode" value="user_mode" /> - <parameter name="tx_pma_clk_div" value="1" /> - <parameter name="tx_pma_div_clkout_divider" value="33" /> - <parameter name="validation_rule_select" value="" /> - </module> -</system> diff --git a/libraries/technology/ip_arria10_e2sg/phy_10gbase_r_12/hdllib.cfg b/libraries/technology/ip_arria10_e2sg/phy_10gbase_r_12/hdllib.cfg index 3567d01df6..c62b571620 100644 --- a/libraries/technology/ip_arria10_e2sg/phy_10gbase_r_12/hdllib.cfg +++ b/libraries/technology/ip_arria10_e2sg/phy_10gbase_r_12/hdllib.cfg @@ -20,5 +20,5 @@ quartus_qip_files = [generate_ip_libs] qsys-generate_ip_files = - ip_arria10_e2sg_phy_10gbase_r_12.qsys + ip_arria10_e2sg_phy_10gbase_r_12.ip diff --git a/libraries/technology/ip_arria10_e2sg/phy_10gbase_r_12/ip_arria10_e2sg_phy_10gbase_r_12.ip b/libraries/technology/ip_arria10_e2sg/phy_10gbase_r_12/ip_arria10_e2sg_phy_10gbase_r_12.ip new file mode 100644 index 0000000000..786fee0e29 --- /dev/null +++ b/libraries/technology/ip_arria10_e2sg/phy_10gbase_r_12/ip_arria10_e2sg_phy_10gbase_r_12.ip @@ -0,0 +1,10738 @@ +<?xml version="1.0" ?> +<ipxact:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact2014/extensions" xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"> + <ipxact:vendor>Intel Corporation</ipxact:vendor> + <ipxact:library>ip_arria10_e2sg_phy_10gbase_r_12</ipxact:library> + <ipxact:name>xcvr_native_a10_0</ipxact:name> + <ipxact:version>19.1</ipxact:version> + <ipxact:busInterfaces> + <ipxact:busInterface> + <ipxact:name>tx_analogreset</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>tx_analogreset</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_analogreset</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_digitalreset</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>tx_digitalreset</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_digitalreset</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_analogreset</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_analogreset</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_analogreset</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_digitalreset</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_digitalreset</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_digitalreset</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_cal_busy</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>tx_cal_busy</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_cal_busy</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_cal_busy</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_cal_busy</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_cal_busy</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_serial_clk0</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_serial_clk0</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_cdr_refclk0</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_cdr_refclk0</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_serial_data</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>tx_serial_data</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_serial_data</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_serial_data</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_serial_data</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_serial_data</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_seriallpbken</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_seriallpbken</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_seriallpbken</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_is_lockedtoref</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_is_lockedtoref</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_is_lockedtoref</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_is_lockedtodata</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_is_lockedtodata</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_is_lockedtodata</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_coreclkin</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_coreclkin</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_coreclkin</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_coreclkin</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_clkout</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_clkout</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_clkout</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_clkout</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_parallel_data</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>tx_parallel_data</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_parallel_data</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_control</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>tx_control</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_control</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_err_ins</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>tx_err_ins</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_err_ins</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>unused_tx_parallel_data</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>unused_tx_parallel_data</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>unused_tx_parallel_data</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>unused_tx_control</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>unused_tx_control</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>unused_tx_control</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_parallel_data</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_parallel_data</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_parallel_data</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_control</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_control</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_control</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>unused_rx_parallel_data</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>unused_rx_parallel_data</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>unused_rx_parallel_data</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>unused_rx_control</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>unused_rx_control</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>unused_rx_control</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_prbs_err_clr</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_prbs_err_clr</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_prbs_err_clr</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_prbs_done</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_prbs_done</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_prbs_done</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_prbs_err</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_prbs_err</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_prbs_err</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_enh_data_valid</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>tx_enh_data_valid</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_enh_data_valid</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_enh_fifo_full</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>tx_enh_fifo_full</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_enh_fifo_full</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_enh_fifo_pfull</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>tx_enh_fifo_pfull</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_enh_fifo_pfull</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_enh_fifo_empty</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>tx_enh_fifo_empty</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_enh_fifo_empty</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_enh_fifo_pempty</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>tx_enh_fifo_pempty</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_enh_fifo_pempty</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_enh_data_valid</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_enh_data_valid</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_enh_data_valid</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_enh_fifo_full</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_enh_fifo_full</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_enh_fifo_full</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_enh_fifo_empty</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_enh_fifo_empty</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_enh_fifo_empty</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_enh_fifo_del</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_enh_fifo_del</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_enh_fifo_del</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_enh_fifo_insert</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_enh_fifo_insert</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_enh_fifo_insert</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_enh_highber</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_enh_highber</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_enh_highber</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_enh_blk_lock</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_enh_blk_lock</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_enh_blk_lock</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>reconfig_clk</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>reconfig_clk</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>reconfig_reset</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>reset</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>reconfig_reset</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>reconfig_avmm</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>write</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>reconfig_write</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>read</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>reconfig_read</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>address</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>reconfig_address</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>writedata</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>reconfig_writedata</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>readdata</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>reconfig_readdata</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>waitrequest</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>reconfig_waitrequest</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + </ipxact:busInterfaces> + <ipxact:model> + <ipxact:views> + <ipxact:view> + <ipxact:name>QUARTUS_SYNTH</ipxact:name> + <ipxact:envIdentifier>:quartus.altera.com:</ipxact:envIdentifier> + <ipxact:componentInstantiationRef>QUARTUS_SYNTH</ipxact:componentInstantiationRef> + </ipxact:view> + </ipxact:views> + <ipxact:instantiations> + <ipxact:componentInstantiation> + <ipxact:name>QUARTUS_SYNTH</ipxact:name> + <ipxact:moduleName>altera_xcvr_native_a10</ipxact:moduleName> + <ipxact:fileSetRef> + <ipxact:localName>QUARTUS_SYNTH</ipxact:localName> + </ipxact:fileSetRef> + </ipxact:componentInstantiation> + </ipxact:instantiations> + <ipxact:ports> + <ipxact:port> + <ipxact:name>tx_analogreset</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>11</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_digitalreset</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>11</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_analogreset</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>11</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_digitalreset</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>11</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_cal_busy</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>11</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_cal_busy</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>11</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_serial_clk0</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>11</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_cdr_refclk0</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_serial_data</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>11</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_serial_data</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>11</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_seriallpbken</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>11</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_is_lockedtoref</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>11</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_is_lockedtodata</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>11</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_coreclkin</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>11</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_coreclkin</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>11</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_clkout</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>11</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_clkout</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>11</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_parallel_data</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>767</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_control</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>95</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_err_ins</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>11</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>unused_tx_parallel_data</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>767</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>unused_tx_control</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>107</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_parallel_data</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>767</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_control</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>95</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>unused_rx_parallel_data</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>767</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>unused_rx_control</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>143</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_prbs_err_clr</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>11</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_prbs_done</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>11</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_prbs_err</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>11</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_enh_data_valid</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>11</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_enh_fifo_full</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>11</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_enh_fifo_pfull</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>11</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_enh_fifo_empty</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>11</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_enh_fifo_pempty</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>11</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_enh_data_valid</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>11</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_enh_fifo_full</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>11</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_enh_fifo_empty</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>11</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_enh_fifo_del</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>11</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_enh_fifo_insert</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>11</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_enh_highber</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>11</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_enh_blk_lock</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>11</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>reconfig_clk</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>reconfig_reset</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>reconfig_write</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>reconfig_read</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>reconfig_address</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>13</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>reconfig_writedata</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>31</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>reconfig_readdata</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>31</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>reconfig_waitrequest</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + </ipxact:ports> + </ipxact:model> + <ipxact:vendorExtensions> + <altera:entity_info> + <ipxact:vendor>Intel Corporation</ipxact:vendor> + <ipxact:library>ip_arria10_e2sg_phy_10gbase_r_12</ipxact:library> + <ipxact:name>altera_xcvr_native_a10</ipxact:name> + <ipxact:version>19.1</ipxact:version> + </altera:entity_info> + <altera:altera_module_parameters> + <ipxact:parameters> + <ipxact:parameter parameterId="device_family" type="string"> + <ipxact:name>device_family</ipxact:name> + <ipxact:displayName>device_family</ipxact:displayName> + <ipxact:value>Arria 10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="device" type="string"> + <ipxact:name>device</ipxact:name> + <ipxact:displayName>device</ipxact:displayName> + <ipxact:value>10AX115U3F45E2SG</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="base_device" type="string"> + <ipxact:name>base_device</ipxact:name> + <ipxact:displayName>base_device</ipxact:displayName> + <ipxact:value>NIGHTFURY5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="design_environment" type="string"> + <ipxact:name>design_environment</ipxact:name> + <ipxact:displayName>design_environment</ipxact:displayName> + <ipxact:value>NATIVE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="device_revision" type="string"> + <ipxact:name>device_revision</ipxact:name> + <ipxact:displayName>device_revision</ipxact:displayName> + <ipxact:value>20nm5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="message_level" type="string"> + <ipxact:name>message_level</ipxact:name> + <ipxact:displayName>Message level for rule violations</ipxact:displayName> + <ipxact:value>error</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_voltage" type="string"> + <ipxact:name>anlg_voltage</ipxact:name> + <ipxact:displayName>VCCR_GXB and VCCT_GXB supply voltage for the Transceiver</ipxact:displayName> + <ipxact:value>1_0V</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_link" type="string"> + <ipxact:name>anlg_link</ipxact:name> + <ipxact:displayName>Tranceiver Link Type</ipxact:displayName> + <ipxact:value>sr</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="support_mode" type="string"> + <ipxact:name>support_mode</ipxact:name> + <ipxact:displayName>Protocol support mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="protocol_mode" type="string"> + <ipxact:name>protocol_mode</ipxact:name> + <ipxact:displayName>Transceiver configuration rules</ipxact:displayName> + <ipxact:value>teng_baser_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_mode" type="string"> + <ipxact:name>pma_mode</ipxact:name> + <ipxact:displayName>PMA configuration rules</ipxact:displayName> + <ipxact:value>basic</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="duplex_mode" type="string"> + <ipxact:name>duplex_mode</ipxact:name> + <ipxact:displayName>Transceiver mode</ipxact:displayName> + <ipxact:value>duplex</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="channels" type="int"> + <ipxact:name>channels</ipxact:name> + <ipxact:displayName>Number of data channels</ipxact:displayName> + <ipxact:value>12</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_data_rate" type="string"> + <ipxact:name>set_data_rate</ipxact:name> + <ipxact:displayName>Data rate</ipxact:displayName> + <ipxact:value>10312.5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_iface_enable" type="int"> + <ipxact:name>rcfg_iface_enable</ipxact:name> + <ipxact:displayName>Enable datapath and interface reconfiguration</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_simple_interface" type="int"> + <ipxact:name>enable_simple_interface</ipxact:name> + <ipxact:displayName>Enable simplified data interface</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_split_interface" type="int"> + <ipxact:name>enable_split_interface</ipxact:name> + <ipxact:displayName>Provide separate interface for each channel</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_enable_calibration" type="int"> + <ipxact:name>set_enable_calibration</ipxact:name> + <ipxact:displayName>Enable calibration</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_calibration" type="int"> + <ipxact:name>enable_calibration</ipxact:name> + <ipxact:displayName>enable_calibration</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_disconnect_analog_resets" type="int"> + <ipxact:name>set_disconnect_analog_resets</ipxact:name> + <ipxact:displayName>Disconnect analog resets</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_analog_resets" type="int"> + <ipxact:name>enable_analog_resets</ipxact:name> + <ipxact:displayName>Enable analog resets</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_reset_sequence" type="int"> + <ipxact:name>enable_reset_sequence</ipxact:name> + <ipxact:displayName>Enable reset sequence</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_transparent_pcs" type="int"> + <ipxact:name>enable_transparent_pcs</ipxact:name> + <ipxact:displayName>Enable transparent PCS</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_parallel_loopback" type="int"> + <ipxact:name>enable_parallel_loopback</ipxact:name> + <ipxact:displayName>Enable parallel loopback</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_upi_pipeline_options" type="int"> + <ipxact:name>enable_upi_pipeline_options</ipxact:name> + <ipxact:displayName>Enable UPI Pipeline Options</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pcs_tx_delay1_ctrl" type="string"> + <ipxact:name>pcs_tx_delay1_ctrl</ipxact:name> + <ipxact:displayName>Delay1 setting</ipxact:displayName> + <ipxact:value>delay1_path0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pcs_tx_delay1_data_sel" type="string"> + <ipxact:name>pcs_tx_delay1_data_sel</ipxact:name> + <ipxact:displayName>Delay1 mode</ipxact:displayName> + <ipxact:value>one_ff_delay</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pcs_tx_delay2_ctrl" type="string"> + <ipxact:name>pcs_tx_delay2_ctrl</ipxact:name> + <ipxact:displayName>Delay2 setting</ipxact:displayName> + <ipxact:value>delay2_path0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="bonded_mode" type="string"> + <ipxact:name>bonded_mode</ipxact:name> + <ipxact:displayName>TX channel bonding mode</ipxact:displayName> + <ipxact:value>not_bonded</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_pcs_bonding_master" type="string"> + <ipxact:name>set_pcs_bonding_master</ipxact:name> + <ipxact:displayName>PCS TX channel bonding master</ipxact:displayName> + <ipxact:value>Auto</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pcs_bonding_master" type="int"> + <ipxact:name>pcs_bonding_master</ipxact:name> + <ipxact:displayName>Actual PCS TX channel bonding master</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="tx_pma_clk_div" type="int"> + <ipxact:name>tx_pma_clk_div</ipxact:name> + <ipxact:displayName>TX local clock division factor</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="plls" type="int"> + <ipxact:name>plls</ipxact:name> + <ipxact:displayName>Number of TX PLL clock inputs per channel</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_select" type="int"> + <ipxact:name>pll_select</ipxact:name> + <ipxact:displayName>Initial TX PLL clock input selection</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_analog_reset_ack" type="int"> + <ipxact:name>enable_port_tx_analog_reset_ack</ipxact:name> + <ipxact:displayName>Enable tx_analog_reset_ack port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_pma_clkout" type="int"> + <ipxact:name>enable_port_tx_pma_clkout</ipxact:name> + <ipxact:displayName>Enable tx_pma_clkout port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_pma_div_clkout" type="int"> + <ipxact:name>enable_port_tx_pma_div_clkout</ipxact:name> + <ipxact:displayName>Enable tx_pma_div_clkout port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="tx_pma_div_clkout_divider" type="string"> + <ipxact:name>tx_pma_div_clkout_divider</ipxact:name> + <ipxact:displayName>tx_pma_div_clkout division factor</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_pma_iqtxrx_clkout" type="int"> + <ipxact:name>enable_port_tx_pma_iqtxrx_clkout</ipxact:name> + <ipxact:displayName>Enable tx_pma_iqtxrx_clkout port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_pma_elecidle" type="int"> + <ipxact:name>enable_port_tx_pma_elecidle</ipxact:name> + <ipxact:displayName>Enable tx_pma_elecidle port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_pma_qpipullup" type="int"> + <ipxact:name>enable_port_tx_pma_qpipullup</ipxact:name> + <ipxact:displayName>Enable tx_pma_qpipullup port (QPI)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_pma_qpipulldn" type="int"> + <ipxact:name>enable_port_tx_pma_qpipulldn</ipxact:name> + <ipxact:displayName>Enable tx_pma_qpipulldn port (QPI)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_pma_txdetectrx" type="int"> + <ipxact:name>enable_port_tx_pma_txdetectrx</ipxact:name> + <ipxact:displayName>Enable tx_pma_txdetectrx port (QPI)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_pma_rxfound" type="int"> + <ipxact:name>enable_port_tx_pma_rxfound</ipxact:name> + <ipxact:displayName>Enable tx_pma_rxfound port (QPI)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_seriallpbken_tx" type="int"> + <ipxact:name>enable_port_rx_seriallpbken_tx</ipxact:name> + <ipxact:displayName>Enable rx_seriallpbken port</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="number_physical_bonding_clocks" type="int"> + <ipxact:name>number_physical_bonding_clocks</ipxact:name> + <ipxact:displayName>Number of physical bonding clock ports to use.</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_refclk_cnt" type="int"> + <ipxact:name>cdr_refclk_cnt</ipxact:name> + <ipxact:displayName>Number of CDR reference clocks</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_refclk_select" type="int"> + <ipxact:name>cdr_refclk_select</ipxact:name> + <ipxact:displayName>Selected CDR reference clock</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_cdr_refclk_freq" type="string"> + <ipxact:name>set_cdr_refclk_freq</ipxact:name> + <ipxact:displayName>Selected CDR reference clock frequency</ipxact:displayName> + <ipxact:value>644.531250</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rx_ppm_detect_threshold" type="string"> + <ipxact:name>rx_ppm_detect_threshold</ipxact:name> + <ipxact:displayName>PPM detector threshold</ipxact:displayName> + <ipxact:value>1000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rx_pma_ctle_adaptation_mode" type="string"> + <ipxact:name>rx_pma_ctle_adaptation_mode</ipxact:name> + <ipxact:displayName>CTLE mode</ipxact:displayName> + <ipxact:value>manual</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rx_pma_dfe_adaptation_mode" type="string"> + <ipxact:name>rx_pma_dfe_adaptation_mode</ipxact:name> + <ipxact:displayName>DFE mode</ipxact:displayName> + <ipxact:value>disabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rx_pma_dfe_fixed_taps" type="int"> + <ipxact:name>rx_pma_dfe_fixed_taps</ipxact:name> + <ipxact:displayName>Number of fixed dfe taps</ipxact:displayName> + <ipxact:value>3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_ports_adaptation" type="int"> + <ipxact:name>enable_ports_adaptation</ipxact:name> + <ipxact:displayName>Enable adaptation control ports</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_analog_reset_ack" type="int"> + <ipxact:name>enable_port_rx_analog_reset_ack</ipxact:name> + <ipxact:displayName>Enable rx_analog_reset_ack port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_pma_clkout" type="int"> + <ipxact:name>enable_port_rx_pma_clkout</ipxact:name> + <ipxact:displayName>Enable rx_pma_clkout port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_pma_div_clkout" type="int"> + <ipxact:name>enable_port_rx_pma_div_clkout</ipxact:name> + <ipxact:displayName>Enable rx_pma_div_clkout port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rx_pma_div_clkout_divider" type="string"> + <ipxact:name>rx_pma_div_clkout_divider</ipxact:name> + <ipxact:displayName>rx_pma_div_clkout division factor</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_pma_iqtxrx_clkout" type="int"> + <ipxact:name>enable_port_rx_pma_iqtxrx_clkout</ipxact:name> + <ipxact:displayName>Enable rx_pma_iqtxrx_clkout port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_pma_clkslip" type="int"> + <ipxact:name>enable_port_rx_pma_clkslip</ipxact:name> + <ipxact:displayName>Enable rx_pma_clkslip port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_pma_qpipulldn" type="int"> + <ipxact:name>enable_port_rx_pma_qpipulldn</ipxact:name> + <ipxact:displayName>Enable rx_pma_qpipulldn port (QPI)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_is_lockedtodata" type="int"> + <ipxact:name>enable_port_rx_is_lockedtodata</ipxact:name> + <ipxact:displayName>Enable rx_is_lockedtodata port</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_is_lockedtoref" type="int"> + <ipxact:name>enable_port_rx_is_lockedtoref</ipxact:name> + <ipxact:displayName>Enable rx_is_lockedtoref port</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_ports_rx_manual_cdr_mode" type="int"> + <ipxact:name>enable_ports_rx_manual_cdr_mode</ipxact:name> + <ipxact:displayName>Enable rx_set_locktodata and rx_set_locktoref ports</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_ports_rx_manual_ppm" type="int"> + <ipxact:name>enable_ports_rx_manual_ppm</ipxact:name> + <ipxact:displayName>Enable rx_fref and rx_clklow ports</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_signaldetect" type="int"> + <ipxact:name>enable_port_rx_signaldetect</ipxact:name> + <ipxact:displayName>Enable rx_signaldetect port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_seriallpbken" type="int"> + <ipxact:name>enable_port_rx_seriallpbken</ipxact:name> + <ipxact:displayName>Enable rx_seriallpbken port</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_ports_rx_prbs" type="int"> + <ipxact:name>enable_ports_rx_prbs</ipxact:name> + <ipxact:displayName>Enable PRBS verifier control and status ports</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_pcs_pma_width" type="int"> + <ipxact:name>std_pcs_pma_width</ipxact:name> + <ipxact:displayName>Standard PCS / PMA interface width</ipxact:displayName> + <ipxact:value>10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="display_std_tx_pld_pcs_width" type="int"> + <ipxact:name>display_std_tx_pld_pcs_width</ipxact:name> + <ipxact:displayName>FPGA fabric / Standard TX PCS interface width</ipxact:displayName> + <ipxact:value>10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="display_std_rx_pld_pcs_width" type="int"> + <ipxact:name>display_std_rx_pld_pcs_width</ipxact:name> + <ipxact:displayName>FPGA fabric / Standard RX PCS interface width</ipxact:displayName> + <ipxact:value>10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_low_latency_bypass_enable" type="int"> + <ipxact:name>std_low_latency_bypass_enable</ipxact:name> + <ipxact:displayName>Enable 'Standard PCS' low latency mode</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_hip" type="int"> + <ipxact:name>enable_hip</ipxact:name> + <ipxact:displayName>Enable PCIe hard IP support</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_skp_ports" type="int"> + <ipxact:name>enable_skp_ports</ipxact:name> + <ipxact:displayName>Enable SKP ports for Gen3</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_hard_reset" type="int"> + <ipxact:name>enable_hard_reset</ipxact:name> + <ipxact:displayName>Enable hard reset controller (HIP)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_hip_cal_en" type="int"> + <ipxact:name>set_hip_cal_en</ipxact:name> + <ipxact:displayName>Enable PCIe hard IP calibration</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hip_cal_en" type="string"> + <ipxact:name>hip_cal_en</ipxact:name> + <ipxact:displayName>hip_cal_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_pcie_data_mask_option" type="int"> + <ipxact:name>enable_pcie_data_mask_option</ipxact:name> + <ipxact:displayName>Enable PCIe data mask count multiplier control</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_data_mask_count_multi" type="int"> + <ipxact:name>std_data_mask_count_multi</ipxact:name> + <ipxact:displayName>PCIe data mask count multiplier</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_tx_pcfifo_mode" type="string"> + <ipxact:name>std_tx_pcfifo_mode</ipxact:name> + <ipxact:displayName>TX FIFO mode</ipxact:displayName> + <ipxact:value>low_latency</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_rx_pcfifo_mode" type="string"> + <ipxact:name>std_rx_pcfifo_mode</ipxact:name> + <ipxact:displayName>RX FIFO mode</ipxact:displayName> + <ipxact:value>low_latency</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_std_pcfifo_full" type="int"> + <ipxact:name>enable_port_tx_std_pcfifo_full</ipxact:name> + <ipxact:displayName>Enable tx_std_pcfifo_full port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_std_pcfifo_empty" type="int"> + <ipxact:name>enable_port_tx_std_pcfifo_empty</ipxact:name> + <ipxact:displayName>Enable tx_std_pcfifo_empty port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_std_pcfifo_full" type="int"> + <ipxact:name>enable_port_rx_std_pcfifo_full</ipxact:name> + <ipxact:displayName>Enable rx_std_pcfifo_full port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_std_pcfifo_empty" type="int"> + <ipxact:name>enable_port_rx_std_pcfifo_empty</ipxact:name> + <ipxact:displayName>Enable rx_std_pcfifo_empty port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_tx_byte_ser_mode" type="string"> + <ipxact:name>std_tx_byte_ser_mode</ipxact:name> + <ipxact:displayName>TX byte serializer mode</ipxact:displayName> + <ipxact:value>Disabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_rx_byte_deser_mode" type="string"> + <ipxact:name>std_rx_byte_deser_mode</ipxact:name> + <ipxact:displayName>RX byte deserializer mode</ipxact:displayName> + <ipxact:value>Disabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_tx_8b10b_enable" type="int"> + <ipxact:name>std_tx_8b10b_enable</ipxact:name> + <ipxact:displayName>Enable TX 8B/10B encoder</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_tx_8b10b_disp_ctrl_enable" type="int"> + <ipxact:name>std_tx_8b10b_disp_ctrl_enable</ipxact:name> + <ipxact:displayName>Enable TX 8B/10B disparity control</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_rx_8b10b_enable" type="int"> + <ipxact:name>std_rx_8b10b_enable</ipxact:name> + <ipxact:displayName>Enable RX 8B/10B decoder</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_rx_rmfifo_mode" type="string"> + <ipxact:name>std_rx_rmfifo_mode</ipxact:name> + <ipxact:displayName>RX rate match FIFO mode</ipxact:displayName> + <ipxact:value>disabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_rx_rmfifo_pattern_n" type="int"> + <ipxact:name>std_rx_rmfifo_pattern_n</ipxact:name> + <ipxact:displayName>RX rate match insert/delete -ve pattern (hex)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_rx_rmfifo_pattern_p" type="int"> + <ipxact:name>std_rx_rmfifo_pattern_p</ipxact:name> + <ipxact:displayName>RX rate match insert/delete +ve pattern (hex)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_std_rmfifo_full" type="int"> + <ipxact:name>enable_port_rx_std_rmfifo_full</ipxact:name> + <ipxact:displayName>Enable rx_std_rmfifo_full port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_std_rmfifo_empty" type="int"> + <ipxact:name>enable_port_rx_std_rmfifo_empty</ipxact:name> + <ipxact:displayName>Enable rx_std_rmfifo_empty port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pcie_rate_match" type="string"> + <ipxact:name>pcie_rate_match</ipxact:name> + <ipxact:displayName>PCI Express Gen 3 rate match FIFO mode</ipxact:displayName> + <ipxact:value>Bypass</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_tx_bitslip_enable" type="int"> + <ipxact:name>std_tx_bitslip_enable</ipxact:name> + <ipxact:displayName>Enable TX bitslip</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_std_bitslipboundarysel" type="int"> + <ipxact:name>enable_port_tx_std_bitslipboundarysel</ipxact:name> + <ipxact:displayName>Enable tx_std_bitslipboundarysel port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_rx_word_aligner_mode" type="string"> + <ipxact:name>std_rx_word_aligner_mode</ipxact:name> + <ipxact:displayName>RX word aligner mode</ipxact:displayName> + <ipxact:value>bitslip</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_rx_word_aligner_pattern_len" type="int"> + <ipxact:name>std_rx_word_aligner_pattern_len</ipxact:name> + <ipxact:displayName>RX word aligner pattern length</ipxact:displayName> + <ipxact:value>7</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_rx_word_aligner_pattern" type="longint"> + <ipxact:name>std_rx_word_aligner_pattern</ipxact:name> + <ipxact:displayName>RX word aligner pattern (hex)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_rx_word_aligner_rknumber" type="int"> + <ipxact:name>std_rx_word_aligner_rknumber</ipxact:name> + <ipxact:displayName>Number of word alignment patterns to achieve sync</ipxact:displayName> + <ipxact:value>3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_rx_word_aligner_renumber" type="int"> + <ipxact:name>std_rx_word_aligner_renumber</ipxact:name> + <ipxact:displayName>Number of invalid data words to lose sync</ipxact:displayName> + <ipxact:value>3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_rx_word_aligner_rgnumber" type="int"> + <ipxact:name>std_rx_word_aligner_rgnumber</ipxact:name> + <ipxact:displayName>Number of valid data words to decrement error count</ipxact:displayName> + <ipxact:value>3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_rx_word_aligner_rvnumber" type="int"> + <ipxact:name>std_rx_word_aligner_rvnumber</ipxact:name> + <ipxact:displayName>Number of valid data patterns required to achieve word alignment</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_rx_word_aligner_fast_sync_status_enable" type="int"> + <ipxact:name>std_rx_word_aligner_fast_sync_status_enable</ipxact:name> + <ipxact:displayName>Enable fast sync status reporting for deterministic latency SM</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_std_wa_patternalign" type="int"> + <ipxact:name>enable_port_rx_std_wa_patternalign</ipxact:name> + <ipxact:displayName>Enable rx_std_wa_patternalign port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_std_wa_a1a2size" type="int"> + <ipxact:name>enable_port_rx_std_wa_a1a2size</ipxact:name> + <ipxact:displayName>Enable rx_std_wa_a1a2size port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_std_bitslipboundarysel" type="int"> + <ipxact:name>enable_port_rx_std_bitslipboundarysel</ipxact:name> + <ipxact:displayName>Enable rx_std_bitslipboundarysel port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_std_bitslip" type="int"> + <ipxact:name>enable_port_rx_std_bitslip</ipxact:name> + <ipxact:displayName>Enable rx_bitslip port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_tx_bitrev_enable" type="int"> + <ipxact:name>std_tx_bitrev_enable</ipxact:name> + <ipxact:displayName>Enable TX bit reversal</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_tx_byterev_enable" type="int"> + <ipxact:name>std_tx_byterev_enable</ipxact:name> + <ipxact:displayName>Enable TX byte reversal</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_tx_polinv_enable" type="int"> + <ipxact:name>std_tx_polinv_enable</ipxact:name> + <ipxact:displayName>Enable TX polarity inversion</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_polinv" type="int"> + <ipxact:name>enable_port_tx_polinv</ipxact:name> + <ipxact:displayName>Enable tx_polinv port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_rx_bitrev_enable" type="int"> + <ipxact:name>std_rx_bitrev_enable</ipxact:name> + <ipxact:displayName>Enable RX bit reversal</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_std_bitrev_ena" type="int"> + <ipxact:name>enable_port_rx_std_bitrev_ena</ipxact:name> + <ipxact:displayName>Enable rx_std_bitrev_ena port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_rx_byterev_enable" type="int"> + <ipxact:name>std_rx_byterev_enable</ipxact:name> + <ipxact:displayName>Enable RX byte reversal</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_std_byterev_ena" type="int"> + <ipxact:name>enable_port_rx_std_byterev_ena</ipxact:name> + <ipxact:displayName>Enable rx_std_byterev_ena port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_rx_polinv_enable" type="int"> + <ipxact:name>std_rx_polinv_enable</ipxact:name> + <ipxact:displayName>Enable RX polarity inversion</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_polinv" type="int"> + <ipxact:name>enable_port_rx_polinv</ipxact:name> + <ipxact:displayName>Enable rx_polinv port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_std_signaldetect" type="int"> + <ipxact:name>enable_port_rx_std_signaldetect</ipxact:name> + <ipxact:displayName>Enable rx_std_signaldetect port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_ports_pipe_sw" type="int"> + <ipxact:name>enable_ports_pipe_sw</ipxact:name> + <ipxact:displayName>Enable PCIe dynamic datarate switch ports</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_ports_pipe_hclk" type="int"> + <ipxact:name>enable_ports_pipe_hclk</ipxact:name> + <ipxact:displayName>Enable PCIe pipe_hclk_in and pipe_hclk_out ports</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_ports_pipe_g3_analog" type="int"> + <ipxact:name>enable_ports_pipe_g3_analog</ipxact:name> + <ipxact:displayName>Enable PCIe Gen 3 analog control ports</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_ports_pipe_rx_elecidle" type="int"> + <ipxact:name>enable_ports_pipe_rx_elecidle</ipxact:name> + <ipxact:displayName>Enable PCIe electrical idle control and status ports</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_pipe_rx_polarity" type="int"> + <ipxact:name>enable_port_pipe_rx_polarity</ipxact:name> + <ipxact:displayName>Enable PCIe pipe_rx_polarity port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_pcs_pma_width" type="int"> + <ipxact:name>enh_pcs_pma_width</ipxact:name> + <ipxact:displayName>Enhanced PCS / PMA interface width</ipxact:displayName> + <ipxact:value>32</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_pld_pcs_width" type="int"> + <ipxact:name>enh_pld_pcs_width</ipxact:name> + <ipxact:displayName>FPGA fabric / Enhanced PCS interface width</ipxact:displayName> + <ipxact:value>66</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_low_latency_enable" type="int"> + <ipxact:name>enh_low_latency_enable</ipxact:name> + <ipxact:displayName>Enable 'Enhanced PCS' low latency mode</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_rxtxfifo_double_width" type="int"> + <ipxact:name>enh_rxtxfifo_double_width</ipxact:name> + <ipxact:displayName>Enable RX/TX FIFO double width mode</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_txfifo_mode" type="string"> + <ipxact:name>enh_txfifo_mode</ipxact:name> + <ipxact:displayName>TX FIFO mode</ipxact:displayName> + <ipxact:value>Phase compensation</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_txfifo_pfull" type="int"> + <ipxact:name>enh_txfifo_pfull</ipxact:name> + <ipxact:displayName>TX FIFO partially full threshold</ipxact:displayName> + <ipxact:value>11</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_txfifo_pempty" type="int"> + <ipxact:name>enh_txfifo_pempty</ipxact:name> + <ipxact:displayName>TX FIFO partially empty threshold</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_enh_fifo_full" type="int"> + <ipxact:name>enable_port_tx_enh_fifo_full</ipxact:name> + <ipxact:displayName>Enable tx_enh_fifo_full port</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_enh_fifo_pfull" type="int"> + <ipxact:name>enable_port_tx_enh_fifo_pfull</ipxact:name> + <ipxact:displayName>Enable tx_enh_fifo_pfull port</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_enh_fifo_empty" type="int"> + <ipxact:name>enable_port_tx_enh_fifo_empty</ipxact:name> + <ipxact:displayName>Enable tx_enh_fifo_empty port</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_enh_fifo_pempty" type="int"> + <ipxact:name>enable_port_tx_enh_fifo_pempty</ipxact:name> + <ipxact:displayName>Enable tx_enh_fifo_pempty port</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_enh_fifo_cnt" type="int"> + <ipxact:name>enable_port_tx_enh_fifo_cnt</ipxact:name> + <ipxact:displayName>Enable tx_enh_fifo_cnt port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_rxfifo_mode" type="string"> + <ipxact:name>enh_rxfifo_mode</ipxact:name> + <ipxact:displayName>RX FIFO mode</ipxact:displayName> + <ipxact:value>10GBase-R</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_rxfifo_pfull" type="int"> + <ipxact:name>enh_rxfifo_pfull</ipxact:name> + <ipxact:displayName>RX FIFO partially full threshold</ipxact:displayName> + <ipxact:value>23</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_rxfifo_pempty" type="int"> + <ipxact:name>enh_rxfifo_pempty</ipxact:name> + <ipxact:displayName>RX FIFO partially empty threshold</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_rxfifo_align_del" type="int"> + <ipxact:name>enh_rxfifo_align_del</ipxact:name> + <ipxact:displayName>Enable RX FIFO alignment word deletion (Interlaken)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_rxfifo_control_del" type="int"> + <ipxact:name>enh_rxfifo_control_del</ipxact:name> + <ipxact:displayName>Enable RX FIFO control word deletion (Interlaken)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_data_valid" type="int"> + <ipxact:name>enable_port_rx_enh_data_valid</ipxact:name> + <ipxact:displayName>Enable rx_enh_data_valid port</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_fifo_full" type="int"> + <ipxact:name>enable_port_rx_enh_fifo_full</ipxact:name> + <ipxact:displayName>Enable rx_enh_fifo_full port</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_fifo_pfull" type="int"> + <ipxact:name>enable_port_rx_enh_fifo_pfull</ipxact:name> + <ipxact:displayName>Enable rx_enh_fifo_pfull port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_fifo_empty" type="int"> + <ipxact:name>enable_port_rx_enh_fifo_empty</ipxact:name> + <ipxact:displayName>Enable rx_enh_fifo_empty port</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_fifo_pempty" type="int"> + <ipxact:name>enable_port_rx_enh_fifo_pempty</ipxact:name> + <ipxact:displayName>Enable rx_enh_fifo_pempty port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_fifo_cnt" type="int"> + <ipxact:name>enable_port_rx_enh_fifo_cnt</ipxact:name> + <ipxact:displayName>Enable rx_enh_fifo_cnt port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_fifo_del" type="int"> + <ipxact:name>enable_port_rx_enh_fifo_del</ipxact:name> + <ipxact:displayName>Enable rx_enh_fifo_del port (10GBASE-R)</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_fifo_insert" type="int"> + <ipxact:name>enable_port_rx_enh_fifo_insert</ipxact:name> + <ipxact:displayName>Enable rx_enh_fifo_insert port (10GBASE-R)</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_fifo_rd_en" type="int"> + <ipxact:name>enable_port_rx_enh_fifo_rd_en</ipxact:name> + <ipxact:displayName>Enable rx_enh_fifo_rd_en port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_fifo_align_val" type="int"> + <ipxact:name>enable_port_rx_enh_fifo_align_val</ipxact:name> + <ipxact:displayName>Enable rx_enh_fifo_align_val port (Interlaken)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_fifo_align_clr" type="int"> + <ipxact:name>enable_port_rx_enh_fifo_align_clr</ipxact:name> + <ipxact:displayName>Enable rx_enh_fifo_align_clr port (Interlaken)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_tx_frmgen_enable" type="int"> + <ipxact:name>enh_tx_frmgen_enable</ipxact:name> + <ipxact:displayName>Enable Interlaken frame generator</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_tx_frmgen_mfrm_length" type="int"> + <ipxact:name>enh_tx_frmgen_mfrm_length</ipxact:name> + <ipxact:displayName>Frame generator metaframe length</ipxact:displayName> + <ipxact:value>2048</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_tx_frmgen_burst_enable" type="int"> + <ipxact:name>enh_tx_frmgen_burst_enable</ipxact:name> + <ipxact:displayName>Enable frame generator burst control</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_enh_frame" type="int"> + <ipxact:name>enable_port_tx_enh_frame</ipxact:name> + <ipxact:displayName>Enable tx_enh_frame port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_enh_frame_diag_status" type="int"> + <ipxact:name>enable_port_tx_enh_frame_diag_status</ipxact:name> + <ipxact:displayName>Enable tx_enh_frame_diag_status port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_enh_frame_burst_en" type="int"> + <ipxact:name>enable_port_tx_enh_frame_burst_en</ipxact:name> + <ipxact:displayName>Enable tx_enh_frame_burst_en port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_rx_frmsync_enable" type="int"> + <ipxact:name>enh_rx_frmsync_enable</ipxact:name> + <ipxact:displayName>Enable Interlaken frame synchronizer</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_rx_frmsync_mfrm_length" type="int"> + <ipxact:name>enh_rx_frmsync_mfrm_length</ipxact:name> + <ipxact:displayName>Frame synchronizer metaframe length</ipxact:displayName> + <ipxact:value>2048</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_frame" type="int"> + <ipxact:name>enable_port_rx_enh_frame</ipxact:name> + <ipxact:displayName>Enable rx_enh_frame port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_frame_lock" type="int"> + <ipxact:name>enable_port_rx_enh_frame_lock</ipxact:name> + <ipxact:displayName>Enable rx_enh_frame_lock port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_frame_diag_status" type="int"> + <ipxact:name>enable_port_rx_enh_frame_diag_status</ipxact:name> + <ipxact:displayName>Enable rx_enh_frame_diag_status port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_tx_crcgen_enable" type="int"> + <ipxact:name>enh_tx_crcgen_enable</ipxact:name> + <ipxact:displayName>Enable Interlaken TX CRC-32 generator</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_tx_crcerr_enable" type="int"> + <ipxact:name>enh_tx_crcerr_enable</ipxact:name> + <ipxact:displayName>Enable Interlaken TX CRC-32 generator error insertion</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_rx_crcchk_enable" type="int"> + <ipxact:name>enh_rx_crcchk_enable</ipxact:name> + <ipxact:displayName>Enable Interlaken RX CRC-32 checker</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_crc32_err" type="int"> + <ipxact:name>enable_port_rx_enh_crc32_err</ipxact:name> + <ipxact:displayName>Enable rx_enh_crc32_err port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_highber" type="int"> + <ipxact:name>enable_port_rx_enh_highber</ipxact:name> + <ipxact:displayName>Enable rx_enh_highber port (10GBASE-R)</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_highber_clr_cnt" type="int"> + <ipxact:name>enable_port_rx_enh_highber_clr_cnt</ipxact:name> + <ipxact:displayName>Enable rx_enh_highber_clr_cnt port (10GBASE-R)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_clr_errblk_count" type="int"> + <ipxact:name>enable_port_rx_enh_clr_errblk_count</ipxact:name> + <ipxact:displayName>Enable rx_enh_clr_errblk_count port (10GBASE-R & FEC)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_clr_errblk_count_c10" type="int"> + <ipxact:name>enable_port_rx_enh_clr_errblk_count_c10</ipxact:name> + <ipxact:displayName>Enable rx_enh_clr_errblk_count port (10GBASE-R)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_tx_64b66b_enable" type="int"> + <ipxact:name>enh_tx_64b66b_enable</ipxact:name> + <ipxact:displayName>Enable TX 64b/66b encoder</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_rx_64b66b_enable" type="int"> + <ipxact:name>enh_rx_64b66b_enable</ipxact:name> + <ipxact:displayName>Enable RX 64b/66b decoder</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_tx_sh_err" type="int"> + <ipxact:name>enh_tx_sh_err</ipxact:name> + <ipxact:displayName>Enable TX sync header error insertion</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_tx_scram_enable" type="int"> + <ipxact:name>enh_tx_scram_enable</ipxact:name> + <ipxact:displayName>Enable TX scrambler (10GBASE-R/Interlaken)</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_tx_scram_seed" type="longint"> + <ipxact:name>enh_tx_scram_seed</ipxact:name> + <ipxact:displayName>TX scrambler seed (10GBASE-R/Interlaken)</ipxact:displayName> + <ipxact:value>288230376151711743</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_rx_descram_enable" type="int"> + <ipxact:name>enh_rx_descram_enable</ipxact:name> + <ipxact:displayName>Enable RX descrambler (10GBASE-R/Interlaken)</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_tx_dispgen_enable" type="int"> + <ipxact:name>enh_tx_dispgen_enable</ipxact:name> + <ipxact:displayName>Enable Interlaken TX disparity generator</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_rx_dispchk_enable" type="int"> + <ipxact:name>enh_rx_dispchk_enable</ipxact:name> + <ipxact:displayName>Enable Interlaken RX disparity checker</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_tx_randomdispbit_enable" type="int"> + <ipxact:name>enh_tx_randomdispbit_enable</ipxact:name> + <ipxact:displayName>Enable Interlaken TX random disparity bit</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_rx_blksync_enable" type="int"> + <ipxact:name>enh_rx_blksync_enable</ipxact:name> + <ipxact:displayName>Enable RX block synchronizer</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_blk_lock" type="int"> + <ipxact:name>enable_port_rx_enh_blk_lock</ipxact:name> + <ipxact:displayName>Enable rx_enh_blk_lock port</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_tx_bitslip_enable" type="int"> + <ipxact:name>enh_tx_bitslip_enable</ipxact:name> + <ipxact:displayName>Enable TX data bitslip</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_tx_polinv_enable" type="int"> + <ipxact:name>enh_tx_polinv_enable</ipxact:name> + <ipxact:displayName>Enable TX data polarity inversion</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_rx_bitslip_enable" type="int"> + <ipxact:name>enh_rx_bitslip_enable</ipxact:name> + <ipxact:displayName>Enable RX data bitslip</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_rx_polinv_enable" type="int"> + <ipxact:name>enh_rx_polinv_enable</ipxact:name> + <ipxact:displayName>Enable RX data polarity inversion</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_enh_bitslip" type="int"> + <ipxact:name>enable_port_tx_enh_bitslip</ipxact:name> + <ipxact:displayName>Enable tx_enh_bitslip port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_bitslip" type="int"> + <ipxact:name>enable_port_rx_enh_bitslip</ipxact:name> + <ipxact:displayName>Enable rx_bitslip port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_rx_krfec_err_mark_enable" type="int"> + <ipxact:name>enh_rx_krfec_err_mark_enable</ipxact:name> + <ipxact:displayName>Enable RX KR-FEC error marking</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_rx_krfec_err_mark_type" type="string"> + <ipxact:name>enh_rx_krfec_err_mark_type</ipxact:name> + <ipxact:displayName>Error marking type</ipxact:displayName> + <ipxact:value>10G</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_tx_krfec_burst_err_enable" type="int"> + <ipxact:name>enh_tx_krfec_burst_err_enable</ipxact:name> + <ipxact:displayName>Enable KR-FEC TX error insertion</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_tx_krfec_burst_err_len" type="int"> + <ipxact:name>enh_tx_krfec_burst_err_len</ipxact:name> + <ipxact:displayName>KR-FEC TX error insertion spacing</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_krfec_tx_enh_frame" type="int"> + <ipxact:name>enable_port_krfec_tx_enh_frame</ipxact:name> + <ipxact:displayName>Enable tx_enh_frame port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_krfec_rx_enh_frame" type="int"> + <ipxact:name>enable_port_krfec_rx_enh_frame</ipxact:name> + <ipxact:displayName>Enable rx_enh_frame port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_krfec_rx_enh_frame_diag_status" type="int"> + <ipxact:name>enable_port_krfec_rx_enh_frame_diag_status</ipxact:name> + <ipxact:displayName>Enable rx_enh_frame_diag_status port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pcs_direct_width" type="int"> + <ipxact:name>pcs_direct_width</ipxact:name> + <ipxact:displayName>PCS Direct interface width</ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="generate_docs" type="int"> + <ipxact:name>generate_docs</ipxact:name> + <ipxact:displayName>Generate parameter documentation file</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="generate_add_hdl_instance_example" type="int"> + <ipxact:name>generate_add_hdl_instance_example</ipxact:name> + <ipxact:displayName>Generate '_hw.tcl' 'add_hdl_instance' example file</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="validation_rule_select" type="string"> + <ipxact:name>validation_rule_select</ipxact:name> + <ipxact:displayName>View validation rule for parameter</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_advanced_options" type="int"> + <ipxact:name>enable_advanced_options</ipxact:name> + <ipxact:displayName>enable_advanced_options</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_physical_bonding_clocks" type="int"> + <ipxact:name>enable_physical_bonding_clocks</ipxact:name> + <ipxact:displayName>enable_physical_bonding_clocks</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_debug_options" type="int"> + <ipxact:name>enable_debug_options</ipxact:name> + <ipxact:displayName>enable_debug_options</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_advanced_avmm_options" type="int"> + <ipxact:name>enable_advanced_avmm_options</ipxact:name> + <ipxact:displayName>enable_advanced_avmm_options</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_odi_accelerator" type="int"> + <ipxact:name>enable_odi_accelerator</ipxact:name> + <ipxact:displayName>enable_odi_accelerator</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_advanced_upi_options" type="int"> + <ipxact:name>enable_advanced_upi_options</ipxact:name> + <ipxact:displayName>enable_advanced_upi_options</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_channels" type="int"> + <ipxact:name>l_channels</ipxact:name> + <ipxact:displayName>l_channels</ipxact:displayName> + <ipxact:value>12</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="tx_enable" type="int"> + <ipxact:name>tx_enable</ipxact:name> + <ipxact:displayName>tx_enable</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="datapath_select" type="string"> + <ipxact:name>datapath_select</ipxact:name> + <ipxact:displayName>datapath_select</ipxact:displayName> + <ipxact:value>Enhanced</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rx_enable" type="int"> + <ipxact:name>rx_enable</ipxact:name> + <ipxact:displayName>rx_enable</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_split_iface" type="int"> + <ipxact:name>l_split_iface</ipxact:name> + <ipxact:displayName>l_split_iface</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_pcs_pma_width" type="int"> + <ipxact:name>l_pcs_pma_width</ipxact:name> + <ipxact:displayName>l_pcs_pma_width</ipxact:displayName> + <ipxact:value>32</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_tx_pld_pcs_width" type="int"> + <ipxact:name>l_tx_pld_pcs_width</ipxact:name> + <ipxact:displayName>l_tx_pld_pcs_width</ipxact:displayName> + <ipxact:value>66</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_rx_pld_pcs_width" type="int"> + <ipxact:name>l_rx_pld_pcs_width</ipxact:name> + <ipxact:displayName>l_rx_pld_pcs_width</ipxact:displayName> + <ipxact:value>66</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_pll_settings" type="string"> + <ipxact:name>l_pll_settings</ipxact:name> + <ipxact:displayName>l_pll_settings</ipxact:displayName> + <ipxact:value>343.750000 {refclk 343.750000 m 15 n 1 lpfd 1 lpd 1 fvco 5156.25} 687.500000 {refclk 687.500000 m 15 n 2 lpfd 1 lpd 1 fvco 5156.25} 322.265625 {refclk 322.265625 m 16 n 1 lpfd 1 lpd 1 fvco 5156.25} 644.531250 {refclk 644.531250 m 16 n 2 lpfd 1 lpd 1 fvco 5156.25} 303.308824 {refclk 303.308824 m 17 n 1 lpfd 1 lpd 1 fvco 5156.25} 606.617647 {refclk 606.617647 m 17 n 2 lpfd 1 lpd 1 fvco 5156.25} 286.458333 {refclk 286.458333 m 18 n 1 lpfd 1 lpd 1 fvco 5156.25} 572.916667 {refclk 572.916667 m 18 n 2 lpfd 1 lpd 1 fvco 5156.25} 271.381579 {refclk 271.381579 m 19 n 1 lpfd 1 lpd 1 fvco 5156.25} 542.763158 {refclk 542.763158 m 19 n 2 lpfd 1 lpd 1 fvco 5156.25} 257.812500 {refclk 257.812500 m 20 n 1 lpfd 1 lpd 1 fvco 5156.25} 515.625000 {refclk 515.625000 m 20 n 2 lpfd 1 lpd 1 fvco 5156.25} 245.535714 {refclk 245.535714 m 21 n 1 lpfd 1 lpd 1 fvco 5156.25} 491.071429 {refclk 491.071429 m 21 n 2 lpfd 1 lpd 1 fvco 5156.25} 234.375000 {refclk 234.375000 m 22 n 1 lpfd 1 lpd 1 fvco 5156.25} 468.750000 {refclk 468.750000 m 22 n 2 lpfd 1 lpd 1 fvco 5156.25} 224.184783 {refclk 224.184783 m 23 n 1 lpfd 1 lpd 1 fvco 5156.25} 448.369565 {refclk 448.369565 m 23 n 2 lpfd 1 lpd 1 fvco 5156.25} 214.843750 {refclk 214.843750 m 24 n 1 lpfd 1 lpd 1 fvco 5156.25} 429.687500 {refclk 429.687500 m 24 n 2 lpfd 1 lpd 1 fvco 5156.25} 206.250000 {refclk 206.250000 m 25 n 1 lpfd 1 lpd 1 fvco 5156.25} 412.500000 {refclk 412.500000 m 25 n 2 lpfd 1 lpd 1 fvco 5156.25} 198.317308 {refclk 198.317308 m 26 n 1 lpfd 1 lpd 1 fvco 5156.25} 396.634615 {refclk 396.634615 m 26 n 2 lpfd 1 lpd 1 fvco 5156.25} 793.269231 {refclk 793.269231 m 26 n 4 lpfd 1 lpd 1 fvco 5156.25} 190.972222 {refclk 190.972222 m 27 n 1 lpfd 1 lpd 1 fvco 5156.25} 381.944444 {refclk 381.944444 m 27 n 2 lpfd 1 lpd 1 fvco 5156.25} 763.888889 {refclk 763.888889 m 27 n 4 lpfd 1 lpd 1 fvco 5156.25} 184.151786 {refclk 184.151786 m 28 n 1 lpfd 1 lpd 1 fvco 5156.25} 368.303571 {refclk 368.303571 m 28 n 2 lpfd 1 lpd 1 fvco 5156.25} 736.607143 {refclk 736.607143 m 28 n 4 lpfd 1 lpd 1 fvco 5156.25} 177.801724 {refclk 177.801724 m 29 n 1 lpfd 1 lpd 1 fvco 5156.25} 355.603448 {refclk 355.603448 m 29 n 2 lpfd 1 lpd 1 fvco 5156.25} 711.206897 {refclk 711.206897 m 29 n 4 lpfd 1 lpd 1 fvco 5156.25} 171.875000 {refclk 171.875000 m 30 n 1 lpfd 1 lpd 1 fvco 5156.25} 166.330645 {refclk 166.330645 m 31 n 1 lpfd 1 lpd 1 fvco 5156.25} 332.661290 {refclk 332.661290 m 31 n 2 lpfd 1 lpd 1 fvco 5156.25} 665.322581 {refclk 665.322581 m 31 n 4 lpfd 1 lpd 1 fvco 5156.25} 161.132812 {refclk 161.132812 m 32 n 1 lpfd 1 lpd 1 fvco 5156.25} 156.250000 {refclk 156.250000 m 33 n 1 lpfd 1 lpd 1 fvco 5156.25} 312.500000 {refclk 312.500000 m 33 n 2 lpfd 1 lpd 1 fvco 5156.25} 625.000000 {refclk 625.000000 m 33 n 4 lpfd 1 lpd 1 fvco 5156.25} 151.654412 {refclk 151.654412 m 34 n 1 lpfd 1 lpd 1 fvco 5156.25} 147.321429 {refclk 147.321429 m 35 n 1 lpfd 1 lpd 1 fvco 5156.25} 294.642857 {refclk 294.642857 m 35 n 2 lpfd 1 lpd 1 fvco 5156.25} 589.285714 {refclk 589.285714 m 35 n 4 lpfd 1 lpd 1 fvco 5156.25} 143.229167 {refclk 143.229167 m 36 n 1 lpfd 1 lpd 1 fvco 5156.25} 139.358108 {refclk 139.358108 m 37 n 1 lpfd 1 lpd 1 fvco 5156.25} 278.716216 {refclk 278.716216 m 37 n 2 lpfd 1 lpd 1 fvco 5156.25} 557.432432 {refclk 557.432432 m 37 n 4 lpfd 1 lpd 1 fvco 5156.25} 135.690789 {refclk 135.690789 m 38 n 1 lpfd 1 lpd 1 fvco 5156.25} 132.211538 {refclk 132.211538 m 39 n 1 lpfd 1 lpd 1 fvco 5156.25} 264.423077 {refclk 264.423077 m 39 n 2 lpfd 1 lpd 1 fvco 5156.25} 528.846154 {refclk 528.846154 m 39 n 4 lpfd 1 lpd 1 fvco 5156.25} 128.906250 {refclk 128.906250 m 40 n 1 lpfd 1 lpd 1 fvco 5156.25} 125.762195 {refclk 125.762195 m 41 n 1 lpfd 1 lpd 1 fvco 5156.25} 251.524390 {refclk 251.524390 m 41 n 2 lpfd 1 lpd 1 fvco 5156.25} 503.048780 {refclk 503.048780 m 41 n 4 lpfd 1 lpd 1 fvco 5156.25} 122.767857 {refclk 122.767857 m 42 n 1 lpfd 1 lpd 1 fvco 5156.25} 119.912791 {refclk 119.912791 m 43 n 1 lpfd 1 lpd 1 fvco 5156.25} 239.825581 {refclk 239.825581 m 43 n 2 lpfd 1 lpd 1 fvco 5156.25} 479.651163 {refclk 479.651163 m 43 n 4 lpfd 1 lpd 1 fvco 5156.25} 117.187500 {refclk 117.187500 m 44 n 1 lpfd 1 lpd 1 fvco 5156.25} 114.583333 {refclk 114.583333 m 45 n 1 lpfd 1 lpd 1 fvco 5156.25} 229.166667 {refclk 229.166667 m 45 n 2 lpfd 1 lpd 1 fvco 5156.25} 458.333333 {refclk 458.333333 m 45 n 4 lpfd 1 lpd 1 fvco 5156.25} 112.092391 {refclk 112.092391 m 46 n 1 lpfd 1 lpd 1 fvco 5156.25} 109.707447 {refclk 109.707447 m 47 n 1 lpfd 1 lpd 1 fvco 5156.25} 219.414894 {refclk 219.414894 m 47 n 2 lpfd 1 lpd 1 fvco 5156.25} 438.829787 {refclk 438.829787 m 47 n 4 lpfd 1 lpd 1 fvco 5156.25} 107.421875 {refclk 107.421875 m 48 n 1 lpfd 1 lpd 1 fvco 5156.25} 105.229592 {refclk 105.229592 m 49 n 1 lpfd 1 lpd 1 fvco 5156.25} 210.459184 {refclk 210.459184 m 49 n 2 lpfd 1 lpd 1 fvco 5156.25} 420.918367 {refclk 420.918367 m 49 n 4 lpfd 1 lpd 1 fvco 5156.25} 103.125000 {refclk 103.125000 m 50 n 1 lpfd 1 lpd 1 fvco 5156.25} 101.102941 {refclk 101.102941 m 51 n 1 lpfd 1 lpd 1 fvco 5156.25} 202.205882 {refclk 202.205882 m 51 n 2 lpfd 1 lpd 1 fvco 5156.25} 404.411765 {refclk 404.411765 m 51 n 4 lpfd 1 lpd 1 fvco 5156.25} 99.158654 {refclk 99.158654 m 52 n 1 lpfd 1 lpd 1 fvco 5156.25} 97.287736 {refclk 97.287736 m 53 n 1 lpfd 1 lpd 1 fvco 5156.25} 194.575472 {refclk 194.575472 m 53 n 2 lpfd 1 lpd 1 fvco 5156.25} 389.150943 {refclk 389.150943 m 53 n 4 lpfd 1 lpd 1 fvco 5156.25} 778.301887 {refclk 778.301887 m 53 n 8 lpfd 1 lpd 1 fvco 5156.25} 95.486111 {refclk 95.486111 m 54 n 1 lpfd 1 lpd 1 fvco 5156.25} 93.750000 {refclk 93.750000 m 55 n 1 lpfd 1 lpd 1 fvco 5156.25} 187.500000 {refclk 187.500000 m 55 n 2 lpfd 1 lpd 1 fvco 5156.25} 375.000000 {refclk 375.000000 m 55 n 4 lpfd 1 lpd 1 fvco 5156.25} 750.000000 {refclk 750.000000 m 55 n 8 lpfd 1 lpd 1 fvco 5156.25} 92.075893 {refclk 92.075893 m 56 n 1 lpfd 1 lpd 1 fvco 5156.25} 90.460526 {refclk 90.460526 m 57 n 1 lpfd 1 lpd 1 fvco 5156.25} 180.921053 {refclk 180.921053 m 57 n 2 lpfd 1 lpd 1 fvco 5156.25} 361.842105 {refclk 361.842105 m 57 n 4 lpfd 1 lpd 1 fvco 5156.25} 723.684211 {refclk 723.684211 m 57 n 8 lpfd 1 lpd 1 fvco 5156.25} 88.900862 {refclk 88.900862 m 58 n 1 lpfd 1 lpd 1 fvco 5156.25} 87.394068 {refclk 87.394068 m 59 n 1 lpfd 1 lpd 1 fvco 5156.25} 174.788136 {refclk 174.788136 m 59 n 2 lpfd 1 lpd 1 fvco 5156.25} 349.576271 {refclk 349.576271 m 59 n 4 lpfd 1 lpd 1 fvco 5156.25} 699.152542 {refclk 699.152542 m 59 n 8 lpfd 1 lpd 1 fvco 5156.25} 85.937500 {refclk 85.937500 m 60 n 1 lpfd 1 lpd 1 fvco 5156.25} 84.528689 {refclk 84.528689 m 61 n 1 lpfd 1 lpd 1 fvco 5156.25} 169.057377 {refclk 169.057377 m 61 n 2 lpfd 1 lpd 1 fvco 5156.25} 338.114754 {refclk 338.114754 m 61 n 4 lpfd 1 lpd 1 fvco 5156.25} 676.229508 {refclk 676.229508 m 61 n 8 lpfd 1 lpd 1 fvco 5156.25} 83.165323 {refclk 83.165323 m 62 n 1 lpfd 1 lpd 1 fvco 5156.25} 81.845238 {refclk 81.845238 m 63 n 1 lpfd 1 lpd 1 fvco 5156.25} 163.690476 {refclk 163.690476 m 63 n 2 lpfd 1 lpd 1 fvco 5156.25} 327.380952 {refclk 327.380952 m 63 n 4 lpfd 1 lpd 1 fvco 5156.25} 654.761905 {refclk 654.761905 m 63 n 8 lpfd 1 lpd 1 fvco 5156.25} 80.566406 {refclk 80.566406 m 64 n 1 lpfd 1 lpd 1 fvco 5156.25} 79.326923 {refclk 79.326923 m 65 n 1 lpfd 1 lpd 1 fvco 5156.25} 158.653846 {refclk 158.653846 m 65 n 2 lpfd 1 lpd 1 fvco 5156.25} 317.307692 {refclk 317.307692 m 65 n 4 lpfd 1 lpd 1 fvco 5156.25} 634.615385 {refclk 634.615385 m 65 n 8 lpfd 1 lpd 1 fvco 5156.25} 78.125000 {refclk 78.125000 m 66 n 1 lpfd 1 lpd 1 fvco 5156.25} 76.958955 {refclk 76.958955 m 67 n 1 lpfd 1 lpd 1 fvco 5156.25} 153.917910 {refclk 153.917910 m 67 n 2 lpfd 1 lpd 1 fvco 5156.25} 307.835821 {refclk 307.835821 m 67 n 4 lpfd 1 lpd 1 fvco 5156.25} 615.671642 {refclk 615.671642 m 67 n 8 lpfd 1 lpd 1 fvco 5156.25} 75.827206 {refclk 75.827206 m 68 n 1 lpfd 1 lpd 1 fvco 5156.25} 74.728261 {refclk 74.728261 m 69 n 1 lpfd 1 lpd 1 fvco 5156.25} 149.456522 {refclk 149.456522 m 69 n 2 lpfd 1 lpd 1 fvco 5156.25} 298.913043 {refclk 298.913043 m 69 n 4 lpfd 1 lpd 1 fvco 5156.25} 597.826087 {refclk 597.826087 m 69 n 8 lpfd 1 lpd 1 fvco 5156.25} 73.660714 {refclk 73.660714 m 70 n 1 lpfd 1 lpd 1 fvco 5156.25} 72.623239 {refclk 72.623239 m 71 n 1 lpfd 1 lpd 1 fvco 5156.25} 145.246479 {refclk 145.246479 m 71 n 2 lpfd 1 lpd 1 fvco 5156.25} 290.492958 {refclk 290.492958 m 71 n 4 lpfd 1 lpd 1 fvco 5156.25} 580.985915 {refclk 580.985915 m 71 n 8 lpfd 1 lpd 1 fvco 5156.25} 71.614583 {refclk 71.614583 m 72 n 1 lpfd 1 lpd 1 fvco 5156.25} 70.633562 {refclk 70.633562 m 73 n 1 lpfd 1 lpd 1 fvco 5156.25} 141.267123 {refclk 141.267123 m 73 n 2 lpfd 1 lpd 1 fvco 5156.25} 282.534247 {refclk 282.534247 m 73 n 4 lpfd 1 lpd 1 fvco 5156.25} 565.068493 {refclk 565.068493 m 73 n 8 lpfd 1 lpd 1 fvco 5156.25} 69.679054 {refclk 69.679054 m 74 n 1 lpfd 1 lpd 1 fvco 5156.25} 68.750000 {refclk 68.750000 m 75 n 1 lpfd 1 lpd 1 fvco 5156.25} 137.500000 {refclk 137.500000 m 75 n 2 lpfd 1 lpd 1 fvco 5156.25} 275.000000 {refclk 275.000000 m 75 n 4 lpfd 1 lpd 1 fvco 5156.25} 550.000000 {refclk 550.000000 m 75 n 8 lpfd 1 lpd 1 fvco 5156.25} 67.845395 {refclk 67.845395 m 76 n 1 lpfd 1 lpd 1 fvco 5156.25} 66.964286 {refclk 66.964286 m 77 n 1 lpfd 1 lpd 1 fvco 5156.25} 133.928571 {refclk 133.928571 m 77 n 2 lpfd 1 lpd 1 fvco 5156.25} 267.857143 {refclk 267.857143 m 77 n 4 lpfd 1 lpd 1 fvco 5156.25} 535.714286 {refclk 535.714286 m 77 n 8 lpfd 1 lpd 1 fvco 5156.25} 66.105769 {refclk 66.105769 m 78 n 1 lpfd 1 lpd 1 fvco 5156.25} 65.268987 {refclk 65.268987 m 79 n 1 lpfd 1 lpd 1 fvco 5156.25} 130.537975 {refclk 130.537975 m 79 n 2 lpfd 1 lpd 1 fvco 5156.25} 261.075949 {refclk 261.075949 m 79 n 4 lpfd 1 lpd 1 fvco 5156.25} 522.151899 {refclk 522.151899 m 79 n 8 lpfd 1 lpd 1 fvco 5156.25} 64.453125 {refclk 64.453125 m 80 n 1 lpfd 1 lpd 1 fvco 5156.25} 63.657407 {refclk 63.657407 m 81 n 1 lpfd 1 lpd 1 fvco 5156.25} 127.314815 {refclk 127.314815 m 81 n 2 lpfd 1 lpd 1 fvco 5156.25} 254.629630 {refclk 254.629630 m 81 n 4 lpfd 1 lpd 1 fvco 5156.25} 509.259259 {refclk 509.259259 m 81 n 8 lpfd 1 lpd 1 fvco 5156.25} 62.881098 {refclk 62.881098 m 82 n 1 lpfd 1 lpd 1 fvco 5156.25} 62.123494 {refclk 62.123494 m 83 n 1 lpfd 1 lpd 1 fvco 5156.25} 124.246988 {refclk 124.246988 m 83 n 2 lpfd 1 lpd 1 fvco 5156.25} 248.493976 {refclk 248.493976 m 83 n 4 lpfd 1 lpd 1 fvco 5156.25} 496.987952 {refclk 496.987952 m 83 n 8 lpfd 1 lpd 1 fvco 5156.25} 61.383929 {refclk 61.383929 m 84 n 1 lpfd 1 lpd 1 fvco 5156.25} 60.661765 {refclk 60.661765 m 85 n 1 lpfd 1 lpd 1 fvco 5156.25} 121.323529 {refclk 121.323529 m 85 n 2 lpfd 1 lpd 1 fvco 5156.25} 242.647059 {refclk 242.647059 m 85 n 4 lpfd 1 lpd 1 fvco 5156.25} 485.294118 {refclk 485.294118 m 85 n 8 lpfd 1 lpd 1 fvco 5156.25} 59.956395 {refclk 59.956395 m 86 n 1 lpfd 1 lpd 1 fvco 5156.25} 59.267241 {refclk 59.267241 m 87 n 1 lpfd 1 lpd 1 fvco 5156.25} 118.534483 {refclk 118.534483 m 87 n 2 lpfd 1 lpd 1 fvco 5156.25} 237.068966 {refclk 237.068966 m 87 n 4 lpfd 1 lpd 1 fvco 5156.25} 474.137931 {refclk 474.137931 m 87 n 8 lpfd 1 lpd 1 fvco 5156.25} 58.593750 {refclk 58.593750 m 88 n 1 lpfd 1 lpd 1 fvco 5156.25} 57.935393 {refclk 57.935393 m 89 n 1 lpfd 1 lpd 1 fvco 5156.25} 115.870787 {refclk 115.870787 m 89 n 2 lpfd 1 lpd 1 fvco 5156.25} 231.741573 {refclk 231.741573 m 89 n 4 lpfd 1 lpd 1 fvco 5156.25} 463.483146 {refclk 463.483146 m 89 n 8 lpfd 1 lpd 1 fvco 5156.25} 57.291667 {refclk 57.291667 m 90 n 1 lpfd 1 lpd 1 fvco 5156.25} 56.662088 {refclk 56.662088 m 91 n 1 lpfd 1 lpd 1 fvco 5156.25} 113.324176 {refclk 113.324176 m 91 n 2 lpfd 1 lpd 1 fvco 5156.25} 226.648352 {refclk 226.648352 m 91 n 4 lpfd 1 lpd 1 fvco 5156.25} 453.296703 {refclk 453.296703 m 91 n 8 lpfd 1 lpd 1 fvco 5156.25} 56.046196 {refclk 56.046196 m 92 n 1 lpfd 1 lpd 1 fvco 5156.25} 55.443548 {refclk 55.443548 m 93 n 1 lpfd 1 lpd 1 fvco 5156.25} 110.887097 {refclk 110.887097 m 93 n 2 lpfd 1 lpd 1 fvco 5156.25} 221.774194 {refclk 221.774194 m 93 n 4 lpfd 1 lpd 1 fvco 5156.25} 443.548387 {refclk 443.548387 m 93 n 8 lpfd 1 lpd 1 fvco 5156.25} 54.853723 {refclk 54.853723 m 94 n 1 lpfd 1 lpd 1 fvco 5156.25} 54.276316 {refclk 54.276316 m 95 n 1 lpfd 1 lpd 1 fvco 5156.25} 108.552632 {refclk 108.552632 m 95 n 2 lpfd 1 lpd 1 fvco 5156.25} 217.105263 {refclk 217.105263 m 95 n 4 lpfd 1 lpd 1 fvco 5156.25} 434.210526 {refclk 434.210526 m 95 n 8 lpfd 1 lpd 1 fvco 5156.25} 53.710938 {refclk 53.710938 m 96 n 1 lpfd 1 lpd 1 fvco 5156.25} 53.157216 {refclk 53.157216 m 97 n 1 lpfd 1 lpd 1 fvco 5156.25} 106.314433 {refclk 106.314433 m 97 n 2 lpfd 1 lpd 1 fvco 5156.25} 212.628866 {refclk 212.628866 m 97 n 4 lpfd 1 lpd 1 fvco 5156.25} 425.257732 {refclk 425.257732 m 97 n 8 lpfd 1 lpd 1 fvco 5156.25} 52.614796 {refclk 52.614796 m 98 n 1 lpfd 1 lpd 1 fvco 5156.25} 52.083333 {refclk 52.083333 m 99 n 1 lpfd 1 lpd 1 fvco 5156.25} 104.166667 {refclk 104.166667 m 99 n 2 lpfd 1 lpd 1 fvco 5156.25} 208.333333 {refclk 208.333333 m 99 n 4 lpfd 1 lpd 1 fvco 5156.25} 416.666667 {refclk 416.666667 m 99 n 8 lpfd 1 lpd 1 fvco 5156.25} 51.562500 {refclk 51.562500 m 100 n 1 lpfd 1 lpd 1 fvco 5156.25} 51.051980 {refclk 51.051980 m 101 n 1 lpfd 1 lpd 1 fvco 5156.25} 102.103960 {refclk 102.103960 m 101 n 2 lpfd 1 lpd 1 fvco 5156.25} 204.207921 {refclk 204.207921 m 101 n 4 lpfd 1 lpd 1 fvco 5156.25} 408.415842 {refclk 408.415842 m 101 n 8 lpfd 1 lpd 1 fvco 5156.25} 50.551471 {refclk 50.551471 m 102 n 1 lpfd 1 lpd 1 fvco 5156.25} 50.060680 {refclk 50.060680 m 103 n 1 lpfd 1 lpd 1 fvco 5156.25} 100.121359 {refclk 100.121359 m 103 n 2 lpfd 1 lpd 1 fvco 5156.25} 200.242718 {refclk 200.242718 m 103 n 4 lpfd 1 lpd 1 fvco 5156.25} 400.485437 {refclk 400.485437 m 103 n 8 lpfd 1 lpd 1 fvco 5156.25} allowed_ranges {50.060680 50.551471 51.051980 51.562500 52.083333 52.614796 53.157216 53.710938 54.276316 54.853723 55.443548 56.046196 56.662088 57.291667 57.935393 58.593750 59.267241 59.956395 60.661765 61.383929 62.123494 62.881098 63.657407 64.453125 65.268987 66.105769 66.964286 67.845395 68.750000 69.679054 70.633562 71.614583 72.623239 73.660714 74.728261 75.827206 76.958955 78.125000 79.326923 80.566406 81.845238 83.165323 84.528689 85.937500 87.394068 88.900862 90.460526 92.075893 93.750000 95.486111 97.287736 99.158654 100.121359 101.102941 102.103960 103.125000 104.166667 105.229592 106.314433 107.421875 108.552632 109.707447 110.887097 112.092391 113.324176 114.583333 115.870787 117.187500 118.534483 119.912791 121.323529 122.767857 124.246988 125.762195 127.314815 128.906250 130.537975 132.211538 133.928571 135.690789 137.500000 139.358108 141.267123 143.229167 145.246479 147.321429 149.456522 151.654412 153.917910 156.250000 158.653846 161.132812 163.690476 166.330645 169.057377 171.875000 174.788136 177.801724 180.921053 184.151786 187.500000 190.972222 194.575472 198.317308 200.242718 202.205882 204.207921 206.250000 208.333333 210.459184 212.628866 214.843750 217.105263 219.414894 221.774194 224.184783 226.648352 229.166667 231.741573 234.375000 237.068966 239.825581 242.647059 245.535714 248.493976 251.524390 254.629630 257.812500 261.075949 264.423077 267.857143 271.381579 275.000000 278.716216 282.534247 286.458333 290.492958 294.642857 298.913043 303.308824 307.835821 312.500000 317.307692 322.265625 327.380952 332.661290 338.114754 343.750000 349.576271 355.603448 361.842105 368.303571 375.000000 381.944444 389.150943 396.634615 400.485437 404.411765 408.415842 412.500000 416.666667 420.918367 425.257732 429.687500 434.210526 438.829787 443.548387 448.369565 453.296703 458.333333 463.483146 468.750000 474.137931 479.651163 485.294118 491.071429 496.987952 503.048780 509.259259 515.625000 522.151899 528.846154 535.714286 542.763158 550.000000 557.432432 565.068493 572.916667 580.985915 589.285714 597.826087 606.617647 615.671642 625.000000 634.615385 644.531250 654.761905 665.322581 676.229508 687.500000 699.152542 711.206897 723.684211 736.607143 750.000000 763.888889 778.301887 793.269231}</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_pll_settings_key" type="string"> + <ipxact:name>l_pll_settings_key</ipxact:name> + <ipxact:displayName>l_pll_settings_key</ipxact:displayName> + <ipxact:value>644.531250</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_enable_pma_bonding" type="int"> + <ipxact:name>l_enable_pma_bonding</ipxact:name> + <ipxact:displayName>l_enable_pma_bonding</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_enable_reve_support" type="int"> + <ipxact:name>l_enable_reve_support</ipxact:name> + <ipxact:displayName>l_enable_reve_support</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_std" type="int"> + <ipxact:name>enable_std</ipxact:name> + <ipxact:displayName>enable_std</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_enable_std_pipe" type="int"> + <ipxact:name>l_enable_std_pipe</ipxact:name> + <ipxact:displayName>l_enable_std_pipe</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_enable_tx_std" type="int"> + <ipxact:name>l_enable_tx_std</ipxact:name> + <ipxact:displayName>l_enable_tx_std</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_enable_rx_std" type="int"> + <ipxact:name>l_enable_rx_std</ipxact:name> + <ipxact:displayName>l_enable_rx_std</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_enable_tx_std_iface" type="int"> + <ipxact:name>l_enable_tx_std_iface</ipxact:name> + <ipxact:displayName>l_enable_tx_std_iface</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_enable_rx_std_iface" type="int"> + <ipxact:name>l_enable_rx_std_iface</ipxact:name> + <ipxact:displayName>l_enable_rx_std_iface</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_std_tx_word_count" type="int"> + <ipxact:name>l_std_tx_word_count</ipxact:name> + <ipxact:displayName>l_std_tx_word_count</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_std_tx_word_width" type="int"> + <ipxact:name>l_std_tx_word_width</ipxact:name> + <ipxact:displayName>l_std_tx_word_width</ipxact:displayName> + <ipxact:value>10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_std_tx_field_width" type="int"> + <ipxact:name>l_std_tx_field_width</ipxact:name> + <ipxact:displayName>l_std_tx_field_width</ipxact:displayName> + <ipxact:value>11</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_std_rx_word_count" type="int"> + <ipxact:name>l_std_rx_word_count</ipxact:name> + <ipxact:displayName>l_std_rx_word_count</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_std_rx_word_width" type="int"> + <ipxact:name>l_std_rx_word_width</ipxact:name> + <ipxact:displayName>l_std_rx_word_width</ipxact:displayName> + <ipxact:value>10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_std_rx_field_width" type="int"> + <ipxact:name>l_std_rx_field_width</ipxact:name> + <ipxact:displayName>l_std_rx_field_width</ipxact:displayName> + <ipxact:value>16</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_std_tx_pld_pcs_width" type="int"> + <ipxact:name>l_std_tx_pld_pcs_width</ipxact:name> + <ipxact:displayName>l_std_tx_pld_pcs_width</ipxact:displayName> + <ipxact:value>10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_std_rx_pld_pcs_width" type="int"> + <ipxact:name>l_std_rx_pld_pcs_width</ipxact:name> + <ipxact:displayName>l_std_rx_pld_pcs_width</ipxact:displayName> + <ipxact:value>10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_std_data_mask_count_multi" type="int"> + <ipxact:name>l_std_data_mask_count_multi</ipxact:name> + <ipxact:displayName>l_std_data_mask_count_multi</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_enh" type="int"> + <ipxact:name>enable_enh</ipxact:name> + <ipxact:displayName>enable_enh</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_enable_tx_enh" type="int"> + <ipxact:name>l_enable_tx_enh</ipxact:name> + <ipxact:displayName>l_enable_tx_enh</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_enable_rx_enh" type="int"> + <ipxact:name>l_enable_rx_enh</ipxact:name> + <ipxact:displayName>l_enable_rx_enh</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_enable_tx_enh_iface" type="int"> + <ipxact:name>l_enable_tx_enh_iface</ipxact:name> + <ipxact:displayName>l_enable_tx_enh_iface</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_enable_rx_enh_iface" type="int"> + <ipxact:name>l_enable_rx_enh_iface</ipxact:name> + <ipxact:displayName>l_enable_rx_enh_iface</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_pcs_dir" type="int"> + <ipxact:name>enable_pcs_dir</ipxact:name> + <ipxact:displayName>enable_pcs_dir</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_enable_tx_pcs_dir" type="int"> + <ipxact:name>l_enable_tx_pcs_dir</ipxact:name> + <ipxact:displayName>l_enable_tx_pcs_dir</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_enable_rx_pcs_dir" type="int"> + <ipxact:name>l_enable_rx_pcs_dir</ipxact:name> + <ipxact:displayName>l_enable_rx_pcs_dir</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_rcfg_ifaces" type="int"> + <ipxact:name>l_rcfg_ifaces</ipxact:name> + <ipxact:displayName>l_rcfg_ifaces</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_rcfg_addr_bits" type="int"> + <ipxact:name>l_rcfg_addr_bits</ipxact:name> + <ipxact:displayName>l_rcfg_addr_bits</ipxact:displayName> + <ipxact:value>14</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="is_c10" type="int"> + <ipxact:name>is_c10</ipxact:name> + <ipxact:displayName>is_c10</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_enable" type="int"> + <ipxact:name>rcfg_enable</ipxact:name> + <ipxact:displayName>Enable dynamic reconfiguration</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_shared" type="int"> + <ipxact:name>rcfg_shared</ipxact:name> + <ipxact:displayName>Share reconfiguration interface</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_jtag_enable" type="int"> + <ipxact:name>rcfg_jtag_enable</ipxact:name> + <ipxact:displayName>Enable Native PHY Debug Master Endpoint</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_separate_avmm_busy" type="int"> + <ipxact:name>rcfg_separate_avmm_busy</ipxact:name> + <ipxact:displayName>Separate reconfig_waitrequest from the status of AVMM arbitration with PreSICE</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_enable_avmm_busy_port" type="int"> + <ipxact:name>rcfg_enable_avmm_busy_port</ipxact:name> + <ipxact:displayName>Enable avmm_busy port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adme_prot_mode" type="string"> + <ipxact:name>adme_prot_mode</ipxact:name> + <ipxact:displayName>adme_prot_mode</ipxact:displayName> + <ipxact:value>teng_baser_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adme_pma_mode" type="string"> + <ipxact:name>adme_pma_mode</ipxact:name> + <ipxact:displayName>adme_pma_mode</ipxact:displayName> + <ipxact:value>basic</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adme_tx_power_mode" type="string"> + <ipxact:name>adme_tx_power_mode</ipxact:name> + <ipxact:displayName>adme_tx_power_mode</ipxact:displayName> + <ipxact:value>mid_power</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adme_data_rate" type="string"> + <ipxact:name>adme_data_rate</ipxact:name> + <ipxact:displayName>adme_data_rate</ipxact:displayName> + <ipxact:value>10312500000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_pcie_dfe_ip" type="bit"> + <ipxact:name>enable_pcie_dfe_ip</ipxact:name> + <ipxact:displayName>Enable PICe DFE IP</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="sim_reduced_counters" type="bit"> + <ipxact:name>sim_reduced_counters</ipxact:name> + <ipxact:displayName>Enable fast sim</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="disable_continuous_dfe" type="bit"> + <ipxact:name>disable_continuous_dfe</ipxact:name> + <ipxact:displayName>Disable DFE Continuous</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_embedded_debug_enable" type="int"> + <ipxact:name>set_embedded_debug_enable</ipxact:name> + <ipxact:displayName>Enable embedded debug</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_capability_reg_enable" type="int"> + <ipxact:name>set_capability_reg_enable</ipxact:name> + <ipxact:displayName>Enable capability registers</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_user_identifier" type="int"> + <ipxact:name>set_user_identifier</ipxact:name> + <ipxact:displayName>Set user-defined IP identifier</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_csr_soft_logic_enable" type="int"> + <ipxact:name>set_csr_soft_logic_enable</ipxact:name> + <ipxact:displayName>Enable control and status registers</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_prbs_soft_logic_enable" type="int"> + <ipxact:name>set_prbs_soft_logic_enable</ipxact:name> + <ipxact:displayName>Enable PRBS soft accumulators</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_odi_soft_logic_enable" type="int"> + <ipxact:name>set_odi_soft_logic_enable</ipxact:name> + <ipxact:displayName>Enable ODI acceleration logic</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="dbg_embedded_debug_enable" type="int"> + <ipxact:name>dbg_embedded_debug_enable</ipxact:name> + <ipxact:displayName>dbg_embedded_debug_enable</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="dbg_capability_reg_enable" type="int"> + <ipxact:name>dbg_capability_reg_enable</ipxact:name> + <ipxact:displayName>dbg_capability_reg_enable</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="dbg_user_identifier" type="int"> + <ipxact:name>dbg_user_identifier</ipxact:name> + <ipxact:displayName>dbg_user_identifier</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="dbg_stat_soft_logic_enable" type="int"> + <ipxact:name>dbg_stat_soft_logic_enable</ipxact:name> + <ipxact:displayName>dbg_stat_soft_logic_enable</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="dbg_ctrl_soft_logic_enable" type="int"> + <ipxact:name>dbg_ctrl_soft_logic_enable</ipxact:name> + <ipxact:displayName>dbg_ctrl_soft_logic_enable</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="dbg_prbs_soft_logic_enable" type="int"> + <ipxact:name>dbg_prbs_soft_logic_enable</ipxact:name> + <ipxact:displayName>dbg_prbs_soft_logic_enable</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="dbg_odi_soft_logic_enable" type="int"> + <ipxact:name>dbg_odi_soft_logic_enable</ipxact:name> + <ipxact:displayName>dbg_odi_soft_logic_enable</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_file_prefix" type="string"> + <ipxact:name>rcfg_file_prefix</ipxact:name> + <ipxact:displayName>Configuration file prefix</ipxact:displayName> + <ipxact:value>altera_xcvr_native_a10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_sv_file_enable" type="int"> + <ipxact:name>rcfg_sv_file_enable</ipxact:name> + <ipxact:displayName>Generate SystemVerilog package file</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_h_file_enable" type="int"> + <ipxact:name>rcfg_h_file_enable</ipxact:name> + <ipxact:displayName>Generate C header file</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_mif_file_enable" type="int"> + <ipxact:name>rcfg_mif_file_enable</ipxact:name> + <ipxact:displayName>Generate MIF (Memory Initialization File)</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_multi_enable" type="int"> + <ipxact:name>rcfg_multi_enable</ipxact:name> + <ipxact:displayName>Enable multiple reconfiguration profiles</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_rcfg_emb_strm_enable" type="int"> + <ipxact:name>set_rcfg_emb_strm_enable</ipxact:name> + <ipxact:displayName>Enable embedded reconfiguration streamer</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_emb_strm_enable" type="int"> + <ipxact:name>rcfg_emb_strm_enable</ipxact:name> + <ipxact:displayName>rcfg_emb_strm_enable</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_reduced_files_enable" type="int"> + <ipxact:name>rcfg_reduced_files_enable</ipxact:name> + <ipxact:displayName>Generate reduced reconfiguration files</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_profile_cnt" type="int"> + <ipxact:name>rcfg_profile_cnt</ipxact:name> + <ipxact:displayName>Number of reconfiguration profiles</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_profile_select" type="int"> + <ipxact:name>rcfg_profile_select</ipxact:name> + <ipxact:displayName>Selected reconfiguration profile</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_profile_data0" type="string"> + <ipxact:name>rcfg_profile_data0</ipxact:name> + <ipxact:displayName>rcfg_profile_data0</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_profile_data1" type="string"> + <ipxact:name>rcfg_profile_data1</ipxact:name> + <ipxact:displayName>rcfg_profile_data1</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_profile_data2" type="string"> + <ipxact:name>rcfg_profile_data2</ipxact:name> + <ipxact:displayName>rcfg_profile_data2</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_profile_data3" type="string"> + <ipxact:name>rcfg_profile_data3</ipxact:name> + <ipxact:displayName>rcfg_profile_data3</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_profile_data4" type="string"> + <ipxact:name>rcfg_profile_data4</ipxact:name> + <ipxact:displayName>rcfg_profile_data4</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_profile_data5" type="string"> + <ipxact:name>rcfg_profile_data5</ipxact:name> + <ipxact:displayName>rcfg_profile_data5</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_profile_data6" type="string"> + <ipxact:name>rcfg_profile_data6</ipxact:name> + <ipxact:displayName>rcfg_profile_data6</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_profile_data7" type="string"> + <ipxact:name>rcfg_profile_data7</ipxact:name> + <ipxact:displayName>rcfg_profile_data7</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_params" type="string"> + <ipxact:name>rcfg_params</ipxact:name> + <ipxact:displayName>rcfg_params</ipxact:displayName> + <ipxact:value>anlg_voltage,anlg_link,support_mode,protocol_mode,pma_mode,duplex_mode,channels,set_data_rate,rcfg_iface_enable,enable_simple_interface,enable_split_interface,set_enable_calibration,enable_parallel_loopback,enable_upi_pipeline_options,pcs_tx_delay1_ctrl,pcs_tx_delay1_data_sel,pcs_tx_delay2_ctrl,bonded_mode,set_pcs_bonding_master,tx_pma_clk_div,plls,pll_select,enable_port_tx_analog_reset_ack,enable_port_tx_pma_clkout,enable_port_tx_pma_div_clkout,tx_pma_div_clkout_divider,enable_port_tx_pma_iqtxrx_clkout,enable_port_tx_pma_elecidle,enable_port_tx_pma_qpipullup,enable_port_tx_pma_qpipulldn,enable_port_tx_pma_txdetectrx,enable_port_tx_pma_rxfound,enable_port_rx_seriallpbken_tx,number_physical_bonding_clocks,cdr_refclk_cnt,cdr_refclk_select,set_cdr_refclk_freq,rx_ppm_detect_threshold,rx_pma_ctle_adaptation_mode,rx_pma_dfe_adaptation_mode,rx_pma_dfe_fixed_taps,enable_ports_adaptation,enable_port_rx_analog_reset_ack,enable_port_rx_pma_clkout,enable_port_rx_pma_div_clkout,rx_pma_div_clkout_divider,enable_port_rx_pma_iqtxrx_clkout,enable_port_rx_pma_clkslip,enable_port_rx_pma_qpipulldn,enable_port_rx_is_lockedtodata,enable_port_rx_is_lockedtoref,enable_ports_rx_manual_cdr_mode,enable_ports_rx_manual_ppm,enable_port_rx_signaldetect,enable_port_rx_seriallpbken,enable_ports_rx_prbs,std_pcs_pma_width,std_low_latency_bypass_enable,enable_hip,enable_hard_reset,set_hip_cal_en,std_tx_pcfifo_mode,std_rx_pcfifo_mode,enable_port_tx_std_pcfifo_full,enable_port_tx_std_pcfifo_empty,enable_port_rx_std_pcfifo_full,enable_port_rx_std_pcfifo_empty,std_tx_byte_ser_mode,std_rx_byte_deser_mode,std_tx_8b10b_enable,std_tx_8b10b_disp_ctrl_enable,std_rx_8b10b_enable,std_rx_rmfifo_mode,std_rx_rmfifo_pattern_n,std_rx_rmfifo_pattern_p,enable_port_rx_std_rmfifo_full,enable_port_rx_std_rmfifo_empty,pcie_rate_match,std_tx_bitslip_enable,enable_port_tx_std_bitslipboundarysel,std_rx_word_aligner_mode,std_rx_word_aligner_pattern_len,std_rx_word_aligner_pattern,std_rx_word_aligner_rknumber,std_rx_word_aligner_renumber,std_rx_word_aligner_rgnumber,std_rx_word_aligner_fast_sync_status_enable,enable_port_rx_std_wa_patternalign,enable_port_rx_std_wa_a1a2size,enable_port_rx_std_bitslipboundarysel,enable_port_rx_std_bitslip,std_tx_bitrev_enable,std_tx_byterev_enable,std_tx_polinv_enable,enable_port_tx_polinv,std_rx_bitrev_enable,enable_port_rx_std_bitrev_ena,std_rx_byterev_enable,enable_port_rx_std_byterev_ena,std_rx_polinv_enable,enable_port_rx_polinv,enable_port_rx_std_signaldetect,enable_ports_pipe_sw,enable_ports_pipe_hclk,enable_ports_pipe_g3_analog,enable_ports_pipe_rx_elecidle,enable_port_pipe_rx_polarity,enh_pcs_pma_width,enh_pld_pcs_width,enh_low_latency_enable,enh_rxtxfifo_double_width,enh_txfifo_mode,enh_txfifo_pfull,enh_txfifo_pempty,enable_port_tx_enh_fifo_full,enable_port_tx_enh_fifo_pfull,enable_port_tx_enh_fifo_empty,enable_port_tx_enh_fifo_pempty,enable_port_tx_enh_fifo_cnt,enh_rxfifo_mode,enh_rxfifo_pfull,enh_rxfifo_pempty,enh_rxfifo_align_del,enh_rxfifo_control_del,enable_port_rx_enh_data_valid,enable_port_rx_enh_fifo_full,enable_port_rx_enh_fifo_pfull,enable_port_rx_enh_fifo_empty,enable_port_rx_enh_fifo_pempty,enable_port_rx_enh_fifo_cnt,enable_port_rx_enh_fifo_del,enable_port_rx_enh_fifo_insert,enable_port_rx_enh_fifo_rd_en,enable_port_rx_enh_fifo_align_val,enable_port_rx_enh_fifo_align_clr,enh_tx_frmgen_enable,enh_tx_frmgen_mfrm_length,enh_tx_frmgen_burst_enable,enable_port_tx_enh_frame,enable_port_tx_enh_frame_diag_status,enable_port_tx_enh_frame_burst_en,enh_rx_frmsync_enable,enh_rx_frmsync_mfrm_length,enable_port_rx_enh_frame,enable_port_rx_enh_frame_lock,enable_port_rx_enh_frame_diag_status,enh_tx_crcgen_enable,enh_tx_crcerr_enable,enh_rx_crcchk_enable,enable_port_rx_enh_crc32_err,enable_port_rx_enh_highber,enable_port_rx_enh_highber_clr_cnt,enable_port_rx_enh_clr_errblk_count,enable_port_rx_enh_clr_errblk_count_c10,enh_tx_64b66b_enable,enh_rx_64b66b_enable,enh_tx_sh_err,enh_tx_scram_enable,enh_tx_scram_seed,enh_rx_descram_enable,enh_tx_dispgen_enable,enh_rx_dispchk_enable,enh_tx_randomdispbit_enable,enh_rx_blksync_enable,enable_port_rx_enh_blk_lock,enh_tx_bitslip_enable,enh_tx_polinv_enable,enh_rx_bitslip_enable,enh_rx_polinv_enable,enable_port_tx_enh_bitslip,enable_port_rx_enh_bitslip,enh_rx_krfec_err_mark_enable,enh_rx_krfec_err_mark_type,enh_tx_krfec_burst_err_enable,enh_tx_krfec_burst_err_len,enable_port_krfec_tx_enh_frame,enable_port_krfec_rx_enh_frame,enable_port_krfec_rx_enh_frame_diag_status,pcs_direct_width,enable_analog_settings,anlg_tx_analog_mode,anlg_enable_tx_default_ovr,anlg_tx_vod_output_swing_ctrl,anlg_tx_pre_emp_sign_pre_tap_1t,anlg_tx_pre_emp_switching_ctrl_pre_tap_1t,anlg_tx_pre_emp_sign_pre_tap_2t,anlg_tx_pre_emp_switching_ctrl_pre_tap_2t,anlg_tx_pre_emp_sign_1st_post_tap,anlg_tx_pre_emp_switching_ctrl_1st_post_tap,anlg_tx_pre_emp_sign_2nd_post_tap,anlg_tx_pre_emp_switching_ctrl_2nd_post_tap,anlg_tx_slew_rate_ctrl,anlg_tx_compensation_en,anlg_tx_term_sel,anlg_enable_rx_default_ovr,anlg_rx_one_stage_enable,anlg_rx_eq_dc_gain_trim,anlg_rx_adp_ctle_acgain_4s,anlg_rx_adp_ctle_eqz_1s_sel,anlg_rx_adp_vga_sel,anlg_rx_adp_dfe_fxtap1,anlg_rx_adp_dfe_fxtap2,anlg_rx_adp_dfe_fxtap3,anlg_rx_adp_dfe_fxtap4,anlg_rx_adp_dfe_fxtap5,anlg_rx_adp_dfe_fxtap6,anlg_rx_adp_dfe_fxtap7,anlg_rx_adp_dfe_fxtap8,anlg_rx_adp_dfe_fxtap9,anlg_rx_adp_dfe_fxtap10,anlg_rx_adp_dfe_fxtap11,anlg_rx_term_sel</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_param_labels" type="string"> + <ipxact:name>rcfg_param_labels</ipxact:name> + <ipxact:displayName>IP Parameters</ipxact:displayName> + <ipxact:value>VCCR_GXB and VCCT_GXB supply voltage for the Transceiver,Tranceiver Link Type,Protocol support mode,Transceiver configuration rules,PMA configuration rules,Transceiver mode,Number of data channels,Data rate,Enable datapath and interface reconfiguration,Enable simplified data interface,Provide separate interface for each channel,Enable calibration,Enable parallel loopback,Enable UPI Pipeline Options,Delay1 setting,Delay1 mode,Delay2 setting,TX channel bonding mode,PCS TX channel bonding master,TX local clock division factor,Number of TX PLL clock inputs per channel,Initial TX PLL clock input selection,Enable tx_analog_reset_ack port,Enable tx_pma_clkout port,Enable tx_pma_div_clkout port,tx_pma_div_clkout division factor,Enable tx_pma_iqtxrx_clkout port,Enable tx_pma_elecidle port,Enable tx_pma_qpipullup port (QPI),Enable tx_pma_qpipulldn port (QPI),Enable tx_pma_txdetectrx port (QPI),Enable tx_pma_rxfound port (QPI),Enable rx_seriallpbken port,Number of physical bonding clock ports to use.,Number of CDR reference clocks,Selected CDR reference clock,Selected CDR reference clock frequency,PPM detector threshold,CTLE mode,DFE mode,Number of fixed dfe taps,Enable adaptation control ports,Enable rx_analog_reset_ack port,Enable rx_pma_clkout port,Enable rx_pma_div_clkout port,rx_pma_div_clkout division factor,Enable rx_pma_iqtxrx_clkout port,Enable rx_pma_clkslip port,Enable rx_pma_qpipulldn port (QPI),Enable rx_is_lockedtodata port,Enable rx_is_lockedtoref port,Enable rx_set_locktodata and rx_set_locktoref ports,Enable rx_fref and rx_clklow ports,Enable rx_signaldetect port,Enable rx_seriallpbken port,Enable PRBS verifier control and status ports,Standard PCS / PMA interface width,Enable 'Standard PCS' low latency mode,Enable PCIe hard IP support,Enable hard reset controller (HIP),Enable PCIe hard IP calibration,TX FIFO mode,RX FIFO mode,Enable tx_std_pcfifo_full port,Enable tx_std_pcfifo_empty port,Enable rx_std_pcfifo_full port,Enable rx_std_pcfifo_empty port,TX byte serializer mode,RX byte deserializer mode,Enable TX 8B/10B encoder,Enable TX 8B/10B disparity control,Enable RX 8B/10B decoder,RX rate match FIFO mode,RX rate match insert/delete -ve pattern (hex),RX rate match insert/delete +ve pattern (hex),Enable rx_std_rmfifo_full port,Enable rx_std_rmfifo_empty port,PCI Express Gen 3 rate match FIFO mode,Enable TX bitslip,Enable tx_std_bitslipboundarysel port,RX word aligner mode,RX word aligner pattern length,RX word aligner pattern (hex),Number of word alignment patterns to achieve sync,Number of invalid data words to lose sync,Number of valid data words to decrement error count,Enable fast sync status reporting for deterministic latency SM,Enable rx_std_wa_patternalign port,Enable rx_std_wa_a1a2size port,Enable rx_std_bitslipboundarysel port,Enable rx_bitslip port,Enable TX bit reversal,Enable TX byte reversal,Enable TX polarity inversion,Enable tx_polinv port,Enable RX bit reversal,Enable rx_std_bitrev_ena port,Enable RX byte reversal,Enable rx_std_byterev_ena port,Enable RX polarity inversion,Enable rx_polinv port,Enable rx_std_signaldetect port,Enable PCIe dynamic datarate switch ports,Enable PCIe pipe_hclk_in and pipe_hclk_out ports,Enable PCIe Gen 3 analog control ports,Enable PCIe electrical idle control and status ports,Enable PCIe pipe_rx_polarity port,Enhanced PCS / PMA interface width,FPGA fabric / Enhanced PCS interface width,Enable 'Enhanced PCS' low latency mode,Enable RX/TX FIFO double width mode,TX FIFO mode,TX FIFO partially full threshold,TX FIFO partially empty threshold,Enable tx_enh_fifo_full port,Enable tx_enh_fifo_pfull port,Enable tx_enh_fifo_empty port,Enable tx_enh_fifo_pempty port,Enable tx_enh_fifo_cnt port,RX FIFO mode,RX FIFO partially full threshold,RX FIFO partially empty threshold,Enable RX FIFO alignment word deletion (Interlaken),Enable RX FIFO control word deletion (Interlaken),Enable rx_enh_data_valid port,Enable rx_enh_fifo_full port,Enable rx_enh_fifo_pfull port,Enable rx_enh_fifo_empty port,Enable rx_enh_fifo_pempty port,Enable rx_enh_fifo_cnt port,Enable rx_enh_fifo_del port (10GBASE-R),Enable rx_enh_fifo_insert port (10GBASE-R),Enable rx_enh_fifo_rd_en port,Enable rx_enh_fifo_align_val port (Interlaken),Enable rx_enh_fifo_align_clr port (Interlaken),Enable Interlaken frame generator,Frame generator metaframe length,Enable frame generator burst control,Enable tx_enh_frame port,Enable tx_enh_frame_diag_status port,Enable tx_enh_frame_burst_en port,Enable Interlaken frame synchronizer,Frame synchronizer metaframe length,Enable rx_enh_frame port,Enable rx_enh_frame_lock port,Enable rx_enh_frame_diag_status port,Enable Interlaken TX CRC-32 generator,Enable Interlaken TX CRC-32 generator error insertion,Enable Interlaken RX CRC-32 checker,Enable rx_enh_crc32_err port,Enable rx_enh_highber port (10GBASE-R),Enable rx_enh_highber_clr_cnt port (10GBASE-R),Enable rx_enh_clr_errblk_count port (10GBASE-R & FEC),Enable rx_enh_clr_errblk_count port (10GBASE-R),Enable TX 64b/66b encoder,Enable RX 64b/66b decoder,Enable TX sync header error insertion,Enable TX scrambler (10GBASE-R/Interlaken),TX scrambler seed (10GBASE-R/Interlaken),Enable RX descrambler (10GBASE-R/Interlaken),Enable Interlaken TX disparity generator,Enable Interlaken RX disparity checker,Enable Interlaken TX random disparity bit,Enable RX block synchronizer,Enable rx_enh_blk_lock port,Enable TX data bitslip,Enable TX data polarity inversion,Enable RX data bitslip,Enable RX data polarity inversion,Enable tx_enh_bitslip port,Enable rx_bitslip port,Enable RX KR-FEC error marking,Error marking type,Enable KR-FEC TX error insertion,KR-FEC TX error insertion spacing,Enable tx_enh_frame port,Enable rx_enh_frame port,Enable rx_enh_frame_diag_status port,PCS Direct interface width,Include PMA analog settings in configuration files,Analog Mode (Intel-recommended Default Setting Rules),Override Intel-recommended Analog Mode Default Settings,Output Swing Level (VOD),Pre-Emphasis First Pre-Tap Polarity,Pre-Emphasis First Pre-Tap Magnitude,Pre-Emphasis Second Pre-Tap Polarity,Pre-Emphasis Second Pre-Tap Magnitude,Pre-Emphasis First Post-Tap Polarity,Pre-Emphasis First Post-Tap Magnitude,Pre-Emphasis Second Post-Tap Polarity,Pre-Emphasis Second Post-Tap Magnitude,Slew Rate Control,High-Speed Compensation,On-Chip Termination,Override Intel-recommended Default Settings,CTLE (Continuous Time Linear Equalizer) mode,DC Gain Control of High Gain Mode CTLE,AC Gain Control of High Gain Mode CTLE,AC Gain Control of High Data Rate Mode CTLE,Variable Gain Amplifier (VGA) Voltage Swing Select,Decision Feedback Equalizer (DFE) Fixed Tap 1 Co-efficient,Decision Feedback Equalizer (DFE) Fixed Tap 2 Co-efficient,Decision Feedback Equalizer (DFE) Fixed Tap 3 Co-efficient,Decision Feedback Equalizer (DFE) Fixed Tap 4 Co-efficient,Decision Feedback Equalizer (DFE) Fixed Tap 5 Co-efficient,Decision Feedback Equalizer (DFE) Fixed Tap 6 Co-efficient,Decision Feedback Equalizer (DFE) Fixed Tap 7 Co-efficient,Decision Feedback Equalizer (DFE) Fixed Tap 8 Co-efficient,Decision Feedback Equalizer (DFE) Fixed Tap 9 Co-efficient,Decision Feedback Equalizer (DFE) Fixed Tap 10 Co-efficient,Decision Feedback Equalizer (DFE) Fixed Tap 11 Co-efficient,On-Chip Termination</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_param_vals0" type="string"> + <ipxact:name>rcfg_param_vals0</ipxact:name> + <ipxact:displayName>Profile 0</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_param_vals1" type="string"> + <ipxact:name>rcfg_param_vals1</ipxact:name> + <ipxact:displayName>Profile 1</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_param_vals2" type="string"> + <ipxact:name>rcfg_param_vals2</ipxact:name> + <ipxact:displayName>Profile 2</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_param_vals3" type="string"> + <ipxact:name>rcfg_param_vals3</ipxact:name> + <ipxact:displayName>Profile 3</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_param_vals4" type="string"> + <ipxact:name>rcfg_param_vals4</ipxact:name> + <ipxact:displayName>Profile 4</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_param_vals5" type="string"> + <ipxact:name>rcfg_param_vals5</ipxact:name> + <ipxact:displayName>Profile 5</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_param_vals6" type="string"> + <ipxact:name>rcfg_param_vals6</ipxact:name> + <ipxact:displayName>Profile 6</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_param_vals7" type="string"> + <ipxact:name>rcfg_param_vals7</ipxact:name> + <ipxact:displayName>Profile 7</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_rcfg_datapath_message" type="int"> + <ipxact:name>l_rcfg_datapath_message</ipxact:name> + <ipxact:displayName>l_rcfg_datapath_message</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_analog_settings" type="int"> + <ipxact:name>enable_analog_settings</ipxact:name> + <ipxact:displayName>Include PMA analog settings in configuration files</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_tx_analog_mode" type="string"> + <ipxact:name>anlg_tx_analog_mode</ipxact:name> + <ipxact:displayName>Analog Mode (Intel-recommended Default Setting Rules)</ipxact:displayName> + <ipxact:value>user_custom</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_enable_tx_default_ovr" type="int"> + <ipxact:name>anlg_enable_tx_default_ovr</ipxact:name> + <ipxact:displayName>Override Intel-recommended Analog Mode Default Settings</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_tx_vod_output_swing_ctrl" type="int"> + <ipxact:name>anlg_tx_vod_output_swing_ctrl</ipxact:name> + <ipxact:displayName>Output Swing Level (VOD)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_tx_pre_emp_sign_pre_tap_1t" type="string"> + <ipxact:name>anlg_tx_pre_emp_sign_pre_tap_1t</ipxact:name> + <ipxact:displayName>Pre-Emphasis First Pre-Tap Polarity</ipxact:displayName> + <ipxact:value>fir_pre_1t_neg</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_tx_pre_emp_switching_ctrl_pre_tap_1t" type="int"> + <ipxact:name>anlg_tx_pre_emp_switching_ctrl_pre_tap_1t</ipxact:name> + <ipxact:displayName>Pre-Emphasis First Pre-Tap Magnitude</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_tx_pre_emp_sign_pre_tap_2t" type="string"> + <ipxact:name>anlg_tx_pre_emp_sign_pre_tap_2t</ipxact:name> + <ipxact:displayName>Pre-Emphasis Second Pre-Tap Polarity</ipxact:displayName> + <ipxact:value>fir_pre_2t_neg</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_tx_pre_emp_switching_ctrl_pre_tap_2t" type="int"> + <ipxact:name>anlg_tx_pre_emp_switching_ctrl_pre_tap_2t</ipxact:name> + <ipxact:displayName>Pre-Emphasis Second Pre-Tap Magnitude</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_tx_pre_emp_sign_1st_post_tap" type="string"> + <ipxact:name>anlg_tx_pre_emp_sign_1st_post_tap</ipxact:name> + <ipxact:displayName>Pre-Emphasis First Post-Tap Polarity</ipxact:displayName> + <ipxact:value>fir_post_1t_neg</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_tx_pre_emp_switching_ctrl_1st_post_tap" type="int"> + <ipxact:name>anlg_tx_pre_emp_switching_ctrl_1st_post_tap</ipxact:name> + <ipxact:displayName>Pre-Emphasis First Post-Tap Magnitude</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_tx_pre_emp_sign_2nd_post_tap" type="string"> + <ipxact:name>anlg_tx_pre_emp_sign_2nd_post_tap</ipxact:name> + <ipxact:displayName>Pre-Emphasis Second Post-Tap Polarity</ipxact:displayName> + <ipxact:value>fir_post_2t_neg</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_tx_pre_emp_switching_ctrl_2nd_post_tap" type="int"> + <ipxact:name>anlg_tx_pre_emp_switching_ctrl_2nd_post_tap</ipxact:name> + <ipxact:displayName>Pre-Emphasis Second Post-Tap Magnitude</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_tx_slew_rate_ctrl" type="string"> + <ipxact:name>anlg_tx_slew_rate_ctrl</ipxact:name> + <ipxact:displayName>Slew Rate Control</ipxact:displayName> + <ipxact:value>slew_r7</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_tx_compensation_en" type="string"> + <ipxact:name>anlg_tx_compensation_en</ipxact:name> + <ipxact:displayName>High-Speed Compensation</ipxact:displayName> + <ipxact:value>enable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_tx_term_sel" type="string"> + <ipxact:name>anlg_tx_term_sel</ipxact:name> + <ipxact:displayName>On-Chip Termination</ipxact:displayName> + <ipxact:value>r_r1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_enable_rx_default_ovr" type="int"> + <ipxact:name>anlg_enable_rx_default_ovr</ipxact:name> + <ipxact:displayName>Override Intel-recommended Default Settings</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_rx_one_stage_enable" type="string"> + <ipxact:name>anlg_rx_one_stage_enable</ipxact:name> + <ipxact:displayName>CTLE (Continuous Time Linear Equalizer) mode</ipxact:displayName> + <ipxact:value>s1_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_rx_eq_dc_gain_trim" type="string"> + <ipxact:name>anlg_rx_eq_dc_gain_trim</ipxact:name> + <ipxact:displayName>DC Gain Control of High Gain Mode CTLE</ipxact:displayName> + <ipxact:value>stg2_gain7</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_rx_adp_ctle_acgain_4s" type="string"> + <ipxact:name>anlg_rx_adp_ctle_acgain_4s</ipxact:name> + <ipxact:displayName>AC Gain Control of High Gain Mode CTLE</ipxact:displayName> + <ipxact:value>radp_ctle_acgain_4s_1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_rx_adp_ctle_eqz_1s_sel" type="string"> + <ipxact:name>anlg_rx_adp_ctle_eqz_1s_sel</ipxact:name> + <ipxact:displayName>AC Gain Control of High Data Rate Mode CTLE</ipxact:displayName> + <ipxact:value>radp_ctle_eqz_1s_sel_3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_rx_adp_vga_sel" type="string"> + <ipxact:name>anlg_rx_adp_vga_sel</ipxact:name> + <ipxact:displayName>Variable Gain Amplifier (VGA) Voltage Swing Select</ipxact:displayName> + <ipxact:value>radp_vga_sel_2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_rx_adp_dfe_fxtap1" type="string"> + <ipxact:name>anlg_rx_adp_dfe_fxtap1</ipxact:name> + <ipxact:displayName>Decision Feedback Equalizer (DFE) Fixed Tap 1 Co-efficient</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap1_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_rx_adp_dfe_fxtap2" type="string"> + <ipxact:name>anlg_rx_adp_dfe_fxtap2</ipxact:name> + <ipxact:displayName>Decision Feedback Equalizer (DFE) Fixed Tap 2 Co-efficient</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap2_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_rx_adp_dfe_fxtap3" type="string"> + <ipxact:name>anlg_rx_adp_dfe_fxtap3</ipxact:name> + <ipxact:displayName>Decision Feedback Equalizer (DFE) Fixed Tap 3 Co-efficient</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap3_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_rx_adp_dfe_fxtap4" type="string"> + <ipxact:name>anlg_rx_adp_dfe_fxtap4</ipxact:name> + <ipxact:displayName>Decision Feedback Equalizer (DFE) Fixed Tap 4 Co-efficient</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap4_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_rx_adp_dfe_fxtap5" type="string"> + <ipxact:name>anlg_rx_adp_dfe_fxtap5</ipxact:name> + <ipxact:displayName>Decision Feedback Equalizer (DFE) Fixed Tap 5 Co-efficient</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap5_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_rx_adp_dfe_fxtap6" type="string"> + <ipxact:name>anlg_rx_adp_dfe_fxtap6</ipxact:name> + <ipxact:displayName>Decision Feedback Equalizer (DFE) Fixed Tap 6 Co-efficient</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap6_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_rx_adp_dfe_fxtap7" type="string"> + <ipxact:name>anlg_rx_adp_dfe_fxtap7</ipxact:name> + <ipxact:displayName>Decision Feedback Equalizer (DFE) Fixed Tap 7 Co-efficient</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap7_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_rx_adp_dfe_fxtap8" type="string"> + <ipxact:name>anlg_rx_adp_dfe_fxtap8</ipxact:name> + <ipxact:displayName>Decision Feedback Equalizer (DFE) Fixed Tap 8 Co-efficient</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap8_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_rx_adp_dfe_fxtap9" type="string"> + <ipxact:name>anlg_rx_adp_dfe_fxtap9</ipxact:name> + <ipxact:displayName>Decision Feedback Equalizer (DFE) Fixed Tap 9 Co-efficient</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap9_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_rx_adp_dfe_fxtap10" type="string"> + <ipxact:name>anlg_rx_adp_dfe_fxtap10</ipxact:name> + <ipxact:displayName>Decision Feedback Equalizer (DFE) Fixed Tap 10 Co-efficient</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap10_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_rx_adp_dfe_fxtap11" type="string"> + <ipxact:name>anlg_rx_adp_dfe_fxtap11</ipxact:name> + <ipxact:displayName>Decision Feedback Equalizer (DFE) Fixed Tap 11 Co-efficient</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap11_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_rx_term_sel" type="string"> + <ipxact:name>anlg_rx_term_sel</ipxact:name> + <ipxact:displayName>On-Chip Termination</ipxact:displayName> + <ipxact:value>r_r1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_anlg_tx_enable" type="int"> + <ipxact:name>l_anlg_tx_enable</ipxact:name> + <ipxact:displayName>l_anlg_tx_enable</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_anlg_rx_enable" type="int"> + <ipxact:name>l_anlg_rx_enable</ipxact:name> + <ipxact:displayName>l_anlg_rx_enable</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_rx_pcs_block_sync" type="string"> + <ipxact:name>hssi_gen3_rx_pcs_block_sync</ipxact:name> + <ipxact:displayName>hssi_gen3_rx_pcs_block_sync</ipxact:displayName> + <ipxact:value>bypass_block_sync</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_rx_pcs_block_sync_sm" type="string"> + <ipxact:name>hssi_gen3_rx_pcs_block_sync_sm</ipxact:name> + <ipxact:displayName>hssi_gen3_rx_pcs_block_sync_sm</ipxact:displayName> + <ipxact:value>disable_blk_sync_sm</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_rx_pcs_cdr_ctrl_force_unalgn" type="string"> + <ipxact:name>hssi_gen3_rx_pcs_cdr_ctrl_force_unalgn</ipxact:name> + <ipxact:displayName>hssi_gen3_rx_pcs_cdr_ctrl_force_unalgn</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_rx_pcs_lpbk_force" type="string"> + <ipxact:name>hssi_gen3_rx_pcs_lpbk_force</ipxact:name> + <ipxact:displayName>hssi_gen3_rx_pcs_lpbk_force</ipxact:displayName> + <ipxact:value>lpbk_frce_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_rx_pcs_mode" type="string"> + <ipxact:name>hssi_gen3_rx_pcs_mode</ipxact:name> + <ipxact:displayName>hssi_gen3_rx_pcs_mode</ipxact:displayName> + <ipxact:value>disable_pcs</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_rx_pcs_rate_match_fifo" type="string"> + <ipxact:name>hssi_gen3_rx_pcs_rate_match_fifo</ipxact:name> + <ipxact:displayName>hssi_gen3_rx_pcs_rate_match_fifo</ipxact:displayName> + <ipxact:value>bypass_rm_fifo</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_rx_pcs_rate_match_fifo_latency" type="string"> + <ipxact:name>hssi_gen3_rx_pcs_rate_match_fifo_latency</ipxact:name> + <ipxact:displayName>hssi_gen3_rx_pcs_rate_match_fifo_latency</ipxact:displayName> + <ipxact:value>low_latency</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_rx_pcs_reverse_lpbk" type="string"> + <ipxact:name>hssi_gen3_rx_pcs_reverse_lpbk</ipxact:name> + <ipxact:displayName>hssi_gen3_rx_pcs_reverse_lpbk</ipxact:displayName> + <ipxact:value>rev_lpbk_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_rx_pcs_rx_b4gb_par_lpbk" type="string"> + <ipxact:name>hssi_gen3_rx_pcs_rx_b4gb_par_lpbk</ipxact:name> + <ipxact:displayName>hssi_gen3_rx_pcs_rx_b4gb_par_lpbk</ipxact:displayName> + <ipxact:value>b4gb_par_lpbk_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_rx_pcs_rx_force_balign" type="string"> + <ipxact:name>hssi_gen3_rx_pcs_rx_force_balign</ipxact:name> + <ipxact:displayName>hssi_gen3_rx_pcs_rx_force_balign</ipxact:displayName> + <ipxact:value>dis_force_balign</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_rx_pcs_rx_ins_del_one_skip" type="string"> + <ipxact:name>hssi_gen3_rx_pcs_rx_ins_del_one_skip</ipxact:name> + <ipxact:displayName>hssi_gen3_rx_pcs_rx_ins_del_one_skip</ipxact:displayName> + <ipxact:value>ins_del_one_skip_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_rx_pcs_rx_num_fixed_pat" type="int"> + <ipxact:name>hssi_gen3_rx_pcs_rx_num_fixed_pat</ipxact:name> + <ipxact:displayName>hssi_gen3_rx_pcs_rx_num_fixed_pat</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_rx_pcs_rx_test_out_sel" type="string"> + <ipxact:name>hssi_gen3_rx_pcs_rx_test_out_sel</ipxact:name> + <ipxact:displayName>hssi_gen3_rx_pcs_rx_test_out_sel</ipxact:displayName> + <ipxact:value>rx_test_out0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_rx_pcs_sup_mode" type="string"> + <ipxact:name>hssi_gen3_rx_pcs_sup_mode</ipxact:name> + <ipxact:displayName>hssi_gen3_rx_pcs_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_rx_pcs_silicon_rev" type="string"> + <ipxact:name>hssi_gen3_rx_pcs_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_gen3_rx_pcs_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_rx_pcs_reconfig_settings" type="string"> + <ipxact:name>hssi_gen3_rx_pcs_reconfig_settings</ipxact:name> + <ipxact:displayName>hssi_gen3_rx_pcs_reconfig_settings</ipxact:displayName> + <ipxact:value>{}</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_tx_pcs_mode" type="string"> + <ipxact:name>hssi_gen3_tx_pcs_mode</ipxact:name> + <ipxact:displayName>hssi_gen3_tx_pcs_mode</ipxact:displayName> + <ipxact:value>disable_pcs</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_tx_pcs_reverse_lpbk" type="string"> + <ipxact:name>hssi_gen3_tx_pcs_reverse_lpbk</ipxact:name> + <ipxact:displayName>hssi_gen3_tx_pcs_reverse_lpbk</ipxact:displayName> + <ipxact:value>rev_lpbk_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_tx_pcs_sup_mode" type="string"> + <ipxact:name>hssi_gen3_tx_pcs_sup_mode</ipxact:name> + <ipxact:displayName>hssi_gen3_tx_pcs_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_tx_pcs_tx_bitslip" type="int"> + <ipxact:name>hssi_gen3_tx_pcs_tx_bitslip</ipxact:name> + <ipxact:displayName>hssi_gen3_tx_pcs_tx_bitslip</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_tx_pcs_tx_gbox_byp" type="string"> + <ipxact:name>hssi_gen3_tx_pcs_tx_gbox_byp</ipxact:name> + <ipxact:displayName>hssi_gen3_tx_pcs_tx_gbox_byp</ipxact:displayName> + <ipxact:value>bypass_gbox</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_tx_pcs_silicon_rev" type="string"> + <ipxact:name>hssi_gen3_tx_pcs_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_gen3_tx_pcs_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_blksync_cor_en" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_blksync_cor_en</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_blksync_cor_en</ipxact:displayName> + <ipxact:value>detect</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_bypass_gb" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_bypass_gb</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_bypass_gb</ipxact:displayName> + <ipxact:value>bypass_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_clr_ctrl" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_clr_ctrl</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_clr_ctrl</ipxact:displayName> + <ipxact:value>both_enabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_ctrl_bit_reverse" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_ctrl_bit_reverse</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_ctrl_bit_reverse</ipxact:displayName> + <ipxact:value>ctrl_bit_reverse_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_data_bit_reverse" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_data_bit_reverse</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_data_bit_reverse</ipxact:displayName> + <ipxact:value>data_bit_reverse_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_dv_start" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_dv_start</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_dv_start</ipxact:displayName> + <ipxact:value>with_blklock</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_err_mark_type" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_err_mark_type</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_err_mark_type</ipxact:displayName> + <ipxact:value>err_mark_10g</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_error_marking_en" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_error_marking_en</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_error_marking_en</ipxact:displayName> + <ipxact:value>err_mark_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_low_latency_en" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_low_latency_en</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_low_latency_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_lpbk_mode" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_lpbk_mode</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_lpbk_mode</ipxact:displayName> + <ipxact:value>lpbk_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_parity_invalid_enum" type="int"> + <ipxact:name>hssi_krfec_rx_pcs_parity_invalid_enum</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_parity_invalid_enum</ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_parity_valid_num" type="int"> + <ipxact:name>hssi_krfec_rx_pcs_parity_valid_num</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_parity_valid_num</ipxact:displayName> + <ipxact:value>4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_pipeln_blksync" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_pipeln_blksync</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_pipeln_blksync</ipxact:displayName> + <ipxact:value>enable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_pipeln_descrm" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_pipeln_descrm</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_pipeln_descrm</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_pipeln_errcorrect" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_pipeln_errcorrect</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_pipeln_errcorrect</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_pipeln_errtrap_ind" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_pipeln_errtrap_ind</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_pipeln_errtrap_ind</ipxact:displayName> + <ipxact:value>enable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_pipeln_errtrap_lfsr" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_pipeln_errtrap_lfsr</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_pipeln_errtrap_lfsr</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_pipeln_errtrap_loc" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_pipeln_errtrap_loc</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_pipeln_errtrap_loc</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_pipeln_errtrap_pat" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_pipeln_errtrap_pat</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_pipeln_errtrap_pat</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_pipeln_gearbox" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_pipeln_gearbox</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_pipeln_gearbox</ipxact:displayName> + <ipxact:value>enable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_pipeln_syndrm" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_pipeln_syndrm</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_pipeln_syndrm</ipxact:displayName> + <ipxact:value>enable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_pipeln_trans_dec" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_pipeln_trans_dec</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_pipeln_trans_dec</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_prot_mode" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_prot_mode</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_prot_mode</ipxact:displayName> + <ipxact:value>disable_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_receive_order" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_receive_order</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_receive_order</ipxact:displayName> + <ipxact:value>receive_lsb</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_rx_testbus_sel" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_rx_testbus_sel</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_rx_testbus_sel</ipxact:displayName> + <ipxact:value>overall</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_signal_ok_en" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_signal_ok_en</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_signal_ok_en</ipxact:displayName> + <ipxact:value>sig_ok_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_sup_mode" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_sup_mode</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_silicon_rev" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_reconfig_settings" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_reconfig_settings</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_reconfig_settings</ipxact:displayName> + <ipxact:value>{}</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_tx_pcs_burst_err" type="string"> + <ipxact:name>hssi_krfec_tx_pcs_burst_err</ipxact:name> + <ipxact:displayName>hssi_krfec_tx_pcs_burst_err</ipxact:displayName> + <ipxact:value>burst_err_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_tx_pcs_burst_err_len" type="string"> + <ipxact:name>hssi_krfec_tx_pcs_burst_err_len</ipxact:name> + <ipxact:displayName>hssi_krfec_tx_pcs_burst_err_len</ipxact:displayName> + <ipxact:value>burst_err_len1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_tx_pcs_ctrl_bit_reverse" type="string"> + <ipxact:name>hssi_krfec_tx_pcs_ctrl_bit_reverse</ipxact:name> + <ipxact:displayName>hssi_krfec_tx_pcs_ctrl_bit_reverse</ipxact:displayName> + <ipxact:value>ctrl_bit_reverse_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_tx_pcs_data_bit_reverse" type="string"> + <ipxact:name>hssi_krfec_tx_pcs_data_bit_reverse</ipxact:name> + <ipxact:displayName>hssi_krfec_tx_pcs_data_bit_reverse</ipxact:displayName> + <ipxact:value>data_bit_reverse_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_tx_pcs_enc_frame_query" type="string"> + <ipxact:name>hssi_krfec_tx_pcs_enc_frame_query</ipxact:name> + <ipxact:displayName>hssi_krfec_tx_pcs_enc_frame_query</ipxact:displayName> + <ipxact:value>enc_query_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_tx_pcs_low_latency_en" type="string"> + <ipxact:name>hssi_krfec_tx_pcs_low_latency_en</ipxact:name> + <ipxact:displayName>hssi_krfec_tx_pcs_low_latency_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_tx_pcs_pipeln_encoder" type="string"> + <ipxact:name>hssi_krfec_tx_pcs_pipeln_encoder</ipxact:name> + <ipxact:displayName>hssi_krfec_tx_pcs_pipeln_encoder</ipxact:displayName> + <ipxact:value>enable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_tx_pcs_pipeln_scrambler" type="string"> + <ipxact:name>hssi_krfec_tx_pcs_pipeln_scrambler</ipxact:name> + <ipxact:displayName>hssi_krfec_tx_pcs_pipeln_scrambler</ipxact:displayName> + <ipxact:value>enable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_tx_pcs_prot_mode" type="string"> + <ipxact:name>hssi_krfec_tx_pcs_prot_mode</ipxact:name> + <ipxact:displayName>hssi_krfec_tx_pcs_prot_mode</ipxact:displayName> + <ipxact:value>disable_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_tx_pcs_sup_mode" type="string"> + <ipxact:name>hssi_krfec_tx_pcs_sup_mode</ipxact:name> + <ipxact:displayName>hssi_krfec_tx_pcs_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_tx_pcs_transcode_err" type="string"> + <ipxact:name>hssi_krfec_tx_pcs_transcode_err</ipxact:name> + <ipxact:displayName>hssi_krfec_tx_pcs_transcode_err</ipxact:displayName> + <ipxact:value>trans_err_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_tx_pcs_transmit_order" type="string"> + <ipxact:name>hssi_krfec_tx_pcs_transmit_order</ipxact:name> + <ipxact:displayName>hssi_krfec_tx_pcs_transmit_order</ipxact:displayName> + <ipxact:value>transmit_lsb</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_tx_pcs_tx_testbus_sel" type="string"> + <ipxact:name>hssi_krfec_tx_pcs_tx_testbus_sel</ipxact:name> + <ipxact:displayName>hssi_krfec_tx_pcs_tx_testbus_sel</ipxact:displayName> + <ipxact:value>overall</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_tx_pcs_silicon_rev" type="string"> + <ipxact:name>hssi_krfec_tx_pcs_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_krfec_tx_pcs_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_align_del" type="string"> + <ipxact:name>hssi_10g_rx_pcs_align_del</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_align_del</ipxact:displayName> + <ipxact:value>align_del_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_ber_bit_err_total_cnt" type="string"> + <ipxact:name>hssi_10g_rx_pcs_ber_bit_err_total_cnt</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_ber_bit_err_total_cnt</ipxact:displayName> + <ipxact:value>bit_err_total_cnt_10g</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_ber_clken" type="string"> + <ipxact:name>hssi_10g_rx_pcs_ber_clken</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_ber_clken</ipxact:displayName> + <ipxact:value>ber_clk_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_ber_xus_timer_window" type="int"> + <ipxact:name>hssi_10g_rx_pcs_ber_xus_timer_window</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_ber_xus_timer_window</ipxact:displayName> + <ipxact:value>19530</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_bitslip_mode" type="string"> + <ipxact:name>hssi_10g_rx_pcs_bitslip_mode</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_bitslip_mode</ipxact:displayName> + <ipxact:value>bitslip_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_blksync_bitslip_type" type="string"> + <ipxact:name>hssi_10g_rx_pcs_blksync_bitslip_type</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_blksync_bitslip_type</ipxact:displayName> + <ipxact:value>bitslip_comb</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_blksync_bitslip_wait_cnt" type="int"> + <ipxact:name>hssi_10g_rx_pcs_blksync_bitslip_wait_cnt</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_blksync_bitslip_wait_cnt</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_blksync_bitslip_wait_type" type="string"> + <ipxact:name>hssi_10g_rx_pcs_blksync_bitslip_wait_type</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_blksync_bitslip_wait_type</ipxact:displayName> + <ipxact:value>bitslip_cnt</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_blksync_bypass" type="string"> + <ipxact:name>hssi_10g_rx_pcs_blksync_bypass</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_blksync_bypass</ipxact:displayName> + <ipxact:value>blksync_bypass_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_blksync_clken" type="string"> + <ipxact:name>hssi_10g_rx_pcs_blksync_clken</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_blksync_clken</ipxact:displayName> + <ipxact:value>blksync_clk_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_blksync_enum_invalid_sh_cnt" type="string"> + <ipxact:name>hssi_10g_rx_pcs_blksync_enum_invalid_sh_cnt</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_blksync_enum_invalid_sh_cnt</ipxact:displayName> + <ipxact:value>enum_invalid_sh_cnt_10g</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_blksync_knum_sh_cnt_postlock" type="string"> + <ipxact:name>hssi_10g_rx_pcs_blksync_knum_sh_cnt_postlock</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_blksync_knum_sh_cnt_postlock</ipxact:displayName> + <ipxact:value>knum_sh_cnt_postlock_10g</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_blksync_knum_sh_cnt_prelock" type="string"> + <ipxact:name>hssi_10g_rx_pcs_blksync_knum_sh_cnt_prelock</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_blksync_knum_sh_cnt_prelock</ipxact:displayName> + <ipxact:value>knum_sh_cnt_prelock_10g</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_blksync_pipeln" type="string"> + <ipxact:name>hssi_10g_rx_pcs_blksync_pipeln</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_blksync_pipeln</ipxact:displayName> + <ipxact:value>blksync_pipeln_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_clr_errblk_cnt_en" type="string"> + <ipxact:name>hssi_10g_rx_pcs_clr_errblk_cnt_en</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_clr_errblk_cnt_en</ipxact:displayName> + <ipxact:value>enable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_control_del" type="string"> + <ipxact:name>hssi_10g_rx_pcs_control_del</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_control_del</ipxact:displayName> + <ipxact:value>control_del_none</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_crcchk_bypass" type="string"> + <ipxact:name>hssi_10g_rx_pcs_crcchk_bypass</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_crcchk_bypass</ipxact:displayName> + <ipxact:value>crcchk_bypass_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_crcchk_clken" type="string"> + <ipxact:name>hssi_10g_rx_pcs_crcchk_clken</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_crcchk_clken</ipxact:displayName> + <ipxact:value>crcchk_clk_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_crcchk_inv" type="string"> + <ipxact:name>hssi_10g_rx_pcs_crcchk_inv</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_crcchk_inv</ipxact:displayName> + <ipxact:value>crcchk_inv_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_crcchk_pipeln" type="string"> + <ipxact:name>hssi_10g_rx_pcs_crcchk_pipeln</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_crcchk_pipeln</ipxact:displayName> + <ipxact:value>crcchk_pipeln_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_crcflag_pipeln" type="string"> + <ipxact:name>hssi_10g_rx_pcs_crcflag_pipeln</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_crcflag_pipeln</ipxact:displayName> + <ipxact:value>crcflag_pipeln_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_ctrl_bit_reverse" type="string"> + <ipxact:name>hssi_10g_rx_pcs_ctrl_bit_reverse</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_ctrl_bit_reverse</ipxact:displayName> + <ipxact:value>ctrl_bit_reverse_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_data_bit_reverse" type="string"> + <ipxact:name>hssi_10g_rx_pcs_data_bit_reverse</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_data_bit_reverse</ipxact:displayName> + <ipxact:value>data_bit_reverse_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_dec_64b66b_rxsm_bypass" type="string"> + <ipxact:name>hssi_10g_rx_pcs_dec_64b66b_rxsm_bypass</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_dec_64b66b_rxsm_bypass</ipxact:displayName> + <ipxact:value>dec_64b66b_rxsm_bypass_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_dec64b66b_clken" type="string"> + <ipxact:name>hssi_10g_rx_pcs_dec64b66b_clken</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_dec64b66b_clken</ipxact:displayName> + <ipxact:value>dec64b66b_clk_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_descrm_bypass" type="string"> + <ipxact:name>hssi_10g_rx_pcs_descrm_bypass</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_descrm_bypass</ipxact:displayName> + <ipxact:value>descrm_bypass_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_descrm_clken" type="string"> + <ipxact:name>hssi_10g_rx_pcs_descrm_clken</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_descrm_clken</ipxact:displayName> + <ipxact:value>descrm_clk_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_descrm_mode" type="string"> + <ipxact:name>hssi_10g_rx_pcs_descrm_mode</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_descrm_mode</ipxact:displayName> + <ipxact:value>async</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_descrm_pipeln" type="string"> + <ipxact:name>hssi_10g_rx_pcs_descrm_pipeln</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_descrm_pipeln</ipxact:displayName> + <ipxact:value>enable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_dft_clk_out_sel" type="string"> + <ipxact:name>hssi_10g_rx_pcs_dft_clk_out_sel</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_dft_clk_out_sel</ipxact:displayName> + <ipxact:value>rx_master_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_dis_signal_ok" type="string"> + <ipxact:name>hssi_10g_rx_pcs_dis_signal_ok</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_dis_signal_ok</ipxact:displayName> + <ipxact:value>dis_signal_ok_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_dispchk_bypass" type="string"> + <ipxact:name>hssi_10g_rx_pcs_dispchk_bypass</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_dispchk_bypass</ipxact:displayName> + <ipxact:value>dispchk_bypass_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_empty_flag_type" type="string"> + <ipxact:name>hssi_10g_rx_pcs_empty_flag_type</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_empty_flag_type</ipxact:displayName> + <ipxact:value>empty_rd_side</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_fast_path" type="string"> + <ipxact:name>hssi_10g_rx_pcs_fast_path</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_fast_path</ipxact:displayName> + <ipxact:value>fast_path_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_fec_clken" type="string"> + <ipxact:name>hssi_10g_rx_pcs_fec_clken</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_fec_clken</ipxact:displayName> + <ipxact:value>fec_clk_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_fec_enable" type="string"> + <ipxact:name>hssi_10g_rx_pcs_fec_enable</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_fec_enable</ipxact:displayName> + <ipxact:value>fec_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_fifo_double_read" type="string"> + <ipxact:name>hssi_10g_rx_pcs_fifo_double_read</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_fifo_double_read</ipxact:displayName> + <ipxact:value>fifo_double_read_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_fifo_stop_rd" type="string"> + <ipxact:name>hssi_10g_rx_pcs_fifo_stop_rd</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_fifo_stop_rd</ipxact:displayName> + <ipxact:value>n_rd_empty</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_fifo_stop_wr" type="string"> + <ipxact:name>hssi_10g_rx_pcs_fifo_stop_wr</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_fifo_stop_wr</ipxact:displayName> + <ipxact:value>n_wr_full</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_force_align" type="string"> + <ipxact:name>hssi_10g_rx_pcs_force_align</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_force_align</ipxact:displayName> + <ipxact:value>force_align_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_frmsync_bypass" type="string"> + <ipxact:name>hssi_10g_rx_pcs_frmsync_bypass</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_frmsync_bypass</ipxact:displayName> + <ipxact:value>frmsync_bypass_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_frmsync_clken" type="string"> + <ipxact:name>hssi_10g_rx_pcs_frmsync_clken</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_frmsync_clken</ipxact:displayName> + <ipxact:value>frmsync_clk_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_frmsync_enum_scrm" type="string"> + <ipxact:name>hssi_10g_rx_pcs_frmsync_enum_scrm</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_frmsync_enum_scrm</ipxact:displayName> + <ipxact:value>enum_scrm_default</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_frmsync_enum_sync" type="string"> + <ipxact:name>hssi_10g_rx_pcs_frmsync_enum_sync</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_frmsync_enum_sync</ipxact:displayName> + <ipxact:value>enum_sync_default</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_frmsync_flag_type" type="string"> + <ipxact:name>hssi_10g_rx_pcs_frmsync_flag_type</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_frmsync_flag_type</ipxact:displayName> + <ipxact:value>location_only</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_frmsync_knum_sync" type="string"> + <ipxact:name>hssi_10g_rx_pcs_frmsync_knum_sync</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_frmsync_knum_sync</ipxact:displayName> + <ipxact:value>knum_sync_default</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_frmsync_mfrm_length" type="int"> + <ipxact:name>hssi_10g_rx_pcs_frmsync_mfrm_length</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_frmsync_mfrm_length</ipxact:displayName> + <ipxact:value>2048</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_frmsync_pipeln" type="string"> + <ipxact:name>hssi_10g_rx_pcs_frmsync_pipeln</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_frmsync_pipeln</ipxact:displayName> + <ipxact:value>frmsync_pipeln_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_full_flag_type" type="string"> + <ipxact:name>hssi_10g_rx_pcs_full_flag_type</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_full_flag_type</ipxact:displayName> + <ipxact:value>full_wr_side</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_gb_rx_idwidth" type="string"> + <ipxact:name>hssi_10g_rx_pcs_gb_rx_idwidth</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_gb_rx_idwidth</ipxact:displayName> + <ipxact:value>width_32</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_gb_rx_odwidth" type="string"> + <ipxact:name>hssi_10g_rx_pcs_gb_rx_odwidth</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_gb_rx_odwidth</ipxact:displayName> + <ipxact:value>width_66</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_gbexp_clken" type="string"> + <ipxact:name>hssi_10g_rx_pcs_gbexp_clken</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_gbexp_clken</ipxact:displayName> + <ipxact:value>gbexp_clk_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_low_latency_en" type="string"> + <ipxact:name>hssi_10g_rx_pcs_low_latency_en</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_low_latency_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_lpbk_mode" type="string"> + <ipxact:name>hssi_10g_rx_pcs_lpbk_mode</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_lpbk_mode</ipxact:displayName> + <ipxact:value>lpbk_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_master_clk_sel" type="string"> + <ipxact:name>hssi_10g_rx_pcs_master_clk_sel</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_master_clk_sel</ipxact:displayName> + <ipxact:value>master_rx_pma_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_pempty_flag_type" type="string"> + <ipxact:name>hssi_10g_rx_pcs_pempty_flag_type</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_pempty_flag_type</ipxact:displayName> + <ipxact:value>pempty_rd_side</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_pfull_flag_type" type="string"> + <ipxact:name>hssi_10g_rx_pcs_pfull_flag_type</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_pfull_flag_type</ipxact:displayName> + <ipxact:value>pfull_wr_side</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_phcomp_rd_del" type="string"> + <ipxact:name>hssi_10g_rx_pcs_phcomp_rd_del</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_phcomp_rd_del</ipxact:displayName> + <ipxact:value>phcomp_rd_del2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_pld_if_type" type="string"> + <ipxact:name>hssi_10g_rx_pcs_pld_if_type</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_pld_if_type</ipxact:displayName> + <ipxact:value>fifo</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_prot_mode" type="string"> + <ipxact:name>hssi_10g_rx_pcs_prot_mode</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_prot_mode</ipxact:displayName> + <ipxact:value>teng_baser_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_rand_clken" type="string"> + <ipxact:name>hssi_10g_rx_pcs_rand_clken</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_rand_clken</ipxact:displayName> + <ipxact:value>rand_clk_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_rd_clk_sel" type="string"> + <ipxact:name>hssi_10g_rx_pcs_rd_clk_sel</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_rd_clk_sel</ipxact:displayName> + <ipxact:value>rd_rx_pld_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_rdfifo_clken" type="string"> + <ipxact:name>hssi_10g_rx_pcs_rdfifo_clken</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_rdfifo_clken</ipxact:displayName> + <ipxact:value>rdfifo_clk_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_rx_fifo_write_ctrl" type="string"> + <ipxact:name>hssi_10g_rx_pcs_rx_fifo_write_ctrl</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_rx_fifo_write_ctrl</ipxact:displayName> + <ipxact:value>blklock_stops</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_rx_scrm_width" type="string"> + <ipxact:name>hssi_10g_rx_pcs_rx_scrm_width</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_rx_scrm_width</ipxact:displayName> + <ipxact:value>bit64</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_rx_sh_location" type="string"> + <ipxact:name>hssi_10g_rx_pcs_rx_sh_location</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_rx_sh_location</ipxact:displayName> + <ipxact:value>lsb</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_rx_signal_ok_sel" type="string"> + <ipxact:name>hssi_10g_rx_pcs_rx_signal_ok_sel</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_rx_signal_ok_sel</ipxact:displayName> + <ipxact:value>synchronized_ver</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_rx_sm_bypass" type="string"> + <ipxact:name>hssi_10g_rx_pcs_rx_sm_bypass</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_rx_sm_bypass</ipxact:displayName> + <ipxact:value>rx_sm_bypass_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_rx_sm_hiber" type="string"> + <ipxact:name>hssi_10g_rx_pcs_rx_sm_hiber</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_rx_sm_hiber</ipxact:displayName> + <ipxact:value>rx_sm_hiber_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_rx_sm_pipeln" type="string"> + <ipxact:name>hssi_10g_rx_pcs_rx_sm_pipeln</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_rx_sm_pipeln</ipxact:displayName> + <ipxact:value>rx_sm_pipeln_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_rx_testbus_sel" type="string"> + <ipxact:name>hssi_10g_rx_pcs_rx_testbus_sel</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_rx_testbus_sel</ipxact:displayName> + <ipxact:value>rx_fifo_testbus1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_rx_true_b2b" type="string"> + <ipxact:name>hssi_10g_rx_pcs_rx_true_b2b</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_rx_true_b2b</ipxact:displayName> + <ipxact:value>b2b</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_rxfifo_empty" type="string"> + <ipxact:name>hssi_10g_rx_pcs_rxfifo_empty</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_rxfifo_empty</ipxact:displayName> + <ipxact:value>empty_default</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_rxfifo_full" type="string"> + <ipxact:name>hssi_10g_rx_pcs_rxfifo_full</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_rxfifo_full</ipxact:displayName> + <ipxact:value>full_default</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_rxfifo_mode" type="string"> + <ipxact:name>hssi_10g_rx_pcs_rxfifo_mode</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_rxfifo_mode</ipxact:displayName> + <ipxact:value>clk_comp_10g</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_rxfifo_pempty" type="int"> + <ipxact:name>hssi_10g_rx_pcs_rxfifo_pempty</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_rxfifo_pempty</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_rxfifo_pfull" type="int"> + <ipxact:name>hssi_10g_rx_pcs_rxfifo_pfull</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_rxfifo_pfull</ipxact:displayName> + <ipxact:value>23</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_stretch_num_stages" type="string"> + <ipxact:name>hssi_10g_rx_pcs_stretch_num_stages</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_stretch_num_stages</ipxact:displayName> + <ipxact:value>two_stage</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_sup_mode" type="string"> + <ipxact:name>hssi_10g_rx_pcs_sup_mode</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_test_mode" type="string"> + <ipxact:name>hssi_10g_rx_pcs_test_mode</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_test_mode</ipxact:displayName> + <ipxact:value>test_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_wrfifo_clken" type="string"> + <ipxact:name>hssi_10g_rx_pcs_wrfifo_clken</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_wrfifo_clken</ipxact:displayName> + <ipxact:value>wrfifo_clk_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_advanced_user_mode" type="string"> + <ipxact:name>hssi_10g_rx_pcs_advanced_user_mode</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_advanced_user_mode</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_silicon_rev" type="string"> + <ipxact:name>hssi_10g_rx_pcs_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_reconfig_settings" type="string"> + <ipxact:name>hssi_10g_rx_pcs_reconfig_settings</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_reconfig_settings</ipxact:displayName> + <ipxact:value>{}</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_bitslip_en" type="string"> + <ipxact:name>hssi_10g_tx_pcs_bitslip_en</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_bitslip_en</ipxact:displayName> + <ipxact:value>bitslip_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_bonding_dft_en" type="string"> + <ipxact:name>hssi_10g_tx_pcs_bonding_dft_en</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_bonding_dft_en</ipxact:displayName> + <ipxact:value>dft_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_bonding_dft_val" type="string"> + <ipxact:name>hssi_10g_tx_pcs_bonding_dft_val</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_bonding_dft_val</ipxact:displayName> + <ipxact:value>dft_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_comp_cnt" type="int"> + <ipxact:name>hssi_10g_tx_pcs_comp_cnt</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_comp_cnt</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_compin_sel" type="string"> + <ipxact:name>hssi_10g_tx_pcs_compin_sel</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_compin_sel</ipxact:displayName> + <ipxact:value>compin_master</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_crcgen_bypass" type="string"> + <ipxact:name>hssi_10g_tx_pcs_crcgen_bypass</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_crcgen_bypass</ipxact:displayName> + <ipxact:value>crcgen_bypass_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_crcgen_clken" type="string"> + <ipxact:name>hssi_10g_tx_pcs_crcgen_clken</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_crcgen_clken</ipxact:displayName> + <ipxact:value>crcgen_clk_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_crcgen_err" type="string"> + <ipxact:name>hssi_10g_tx_pcs_crcgen_err</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_crcgen_err</ipxact:displayName> + <ipxact:value>crcgen_err_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_crcgen_inv" type="string"> + <ipxact:name>hssi_10g_tx_pcs_crcgen_inv</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_crcgen_inv</ipxact:displayName> + <ipxact:value>crcgen_inv_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_ctrl_bit_reverse" type="string"> + <ipxact:name>hssi_10g_tx_pcs_ctrl_bit_reverse</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_ctrl_bit_reverse</ipxact:displayName> + <ipxact:value>ctrl_bit_reverse_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_ctrl_plane_bonding" type="string"> + <ipxact:name>hssi_10g_tx_pcs_ctrl_plane_bonding</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_ctrl_plane_bonding</ipxact:displayName> + <ipxact:value>individual</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_data_bit_reverse" type="string"> + <ipxact:name>hssi_10g_tx_pcs_data_bit_reverse</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_data_bit_reverse</ipxact:displayName> + <ipxact:value>data_bit_reverse_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_dft_clk_out_sel" type="string"> + <ipxact:name>hssi_10g_tx_pcs_dft_clk_out_sel</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_dft_clk_out_sel</ipxact:displayName> + <ipxact:value>tx_master_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_dispgen_bypass" type="string"> + <ipxact:name>hssi_10g_tx_pcs_dispgen_bypass</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_dispgen_bypass</ipxact:displayName> + <ipxact:value>dispgen_bypass_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_dispgen_clken" type="string"> + <ipxact:name>hssi_10g_tx_pcs_dispgen_clken</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_dispgen_clken</ipxact:displayName> + <ipxact:value>dispgen_clk_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_dispgen_err" type="string"> + <ipxact:name>hssi_10g_tx_pcs_dispgen_err</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_dispgen_err</ipxact:displayName> + <ipxact:value>dispgen_err_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_dispgen_pipeln" type="string"> + <ipxact:name>hssi_10g_tx_pcs_dispgen_pipeln</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_dispgen_pipeln</ipxact:displayName> + <ipxact:value>dispgen_pipeln_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_distdwn_bypass_pipeln" type="string"> + <ipxact:name>hssi_10g_tx_pcs_distdwn_bypass_pipeln</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_distdwn_bypass_pipeln</ipxact:displayName> + <ipxact:value>distdwn_bypass_pipeln_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_distdwn_master" type="string"> + <ipxact:name>hssi_10g_tx_pcs_distdwn_master</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_distdwn_master</ipxact:displayName> + <ipxact:value>distdwn_master_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_distup_bypass_pipeln" type="string"> + <ipxact:name>hssi_10g_tx_pcs_distup_bypass_pipeln</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_distup_bypass_pipeln</ipxact:displayName> + <ipxact:value>distup_bypass_pipeln_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_distup_master" type="string"> + <ipxact:name>hssi_10g_tx_pcs_distup_master</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_distup_master</ipxact:displayName> + <ipxact:value>distup_master_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_dv_bond" type="string"> + <ipxact:name>hssi_10g_tx_pcs_dv_bond</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_dv_bond</ipxact:displayName> + <ipxact:value>dv_bond_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_empty_flag_type" type="string"> + <ipxact:name>hssi_10g_tx_pcs_empty_flag_type</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_empty_flag_type</ipxact:displayName> + <ipxact:value>empty_rd_side</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_enc_64b66b_txsm_bypass" type="string"> + <ipxact:name>hssi_10g_tx_pcs_enc_64b66b_txsm_bypass</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_enc_64b66b_txsm_bypass</ipxact:displayName> + <ipxact:value>enc_64b66b_txsm_bypass_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_enc64b66b_txsm_clken" type="string"> + <ipxact:name>hssi_10g_tx_pcs_enc64b66b_txsm_clken</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_enc64b66b_txsm_clken</ipxact:displayName> + <ipxact:value>enc64b66b_txsm_clk_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_fastpath" type="string"> + <ipxact:name>hssi_10g_tx_pcs_fastpath</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_fastpath</ipxact:displayName> + <ipxact:value>fastpath_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_fec_clken" type="string"> + <ipxact:name>hssi_10g_tx_pcs_fec_clken</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_fec_clken</ipxact:displayName> + <ipxact:value>fec_clk_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_fec_enable" type="string"> + <ipxact:name>hssi_10g_tx_pcs_fec_enable</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_fec_enable</ipxact:displayName> + <ipxact:value>fec_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_fifo_double_write" type="string"> + <ipxact:name>hssi_10g_tx_pcs_fifo_double_write</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_fifo_double_write</ipxact:displayName> + <ipxact:value>fifo_double_write_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_fifo_reg_fast" type="string"> + <ipxact:name>hssi_10g_tx_pcs_fifo_reg_fast</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_fifo_reg_fast</ipxact:displayName> + <ipxact:value>fifo_reg_fast_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_fifo_stop_rd" type="string"> + <ipxact:name>hssi_10g_tx_pcs_fifo_stop_rd</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_fifo_stop_rd</ipxact:displayName> + <ipxact:value>rd_empty</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_fifo_stop_wr" type="string"> + <ipxact:name>hssi_10g_tx_pcs_fifo_stop_wr</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_fifo_stop_wr</ipxact:displayName> + <ipxact:value>n_wr_full</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_frmgen_burst" type="string"> + <ipxact:name>hssi_10g_tx_pcs_frmgen_burst</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_frmgen_burst</ipxact:displayName> + <ipxact:value>frmgen_burst_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_frmgen_bypass" type="string"> + <ipxact:name>hssi_10g_tx_pcs_frmgen_bypass</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_frmgen_bypass</ipxact:displayName> + <ipxact:value>frmgen_bypass_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_frmgen_clken" type="string"> + <ipxact:name>hssi_10g_tx_pcs_frmgen_clken</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_frmgen_clken</ipxact:displayName> + <ipxact:value>frmgen_clk_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_frmgen_mfrm_length" type="int"> + <ipxact:name>hssi_10g_tx_pcs_frmgen_mfrm_length</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_frmgen_mfrm_length</ipxact:displayName> + <ipxact:value>2048</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_frmgen_pipeln" type="string"> + <ipxact:name>hssi_10g_tx_pcs_frmgen_pipeln</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_frmgen_pipeln</ipxact:displayName> + <ipxact:value>frmgen_pipeln_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_frmgen_pyld_ins" type="string"> + <ipxact:name>hssi_10g_tx_pcs_frmgen_pyld_ins</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_frmgen_pyld_ins</ipxact:displayName> + <ipxact:value>frmgen_pyld_ins_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_frmgen_wordslip" type="string"> + <ipxact:name>hssi_10g_tx_pcs_frmgen_wordslip</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_frmgen_wordslip</ipxact:displayName> + <ipxact:value>frmgen_wordslip_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_full_flag_type" type="string"> + <ipxact:name>hssi_10g_tx_pcs_full_flag_type</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_full_flag_type</ipxact:displayName> + <ipxact:value>full_wr_side</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_gb_pipeln_bypass" type="string"> + <ipxact:name>hssi_10g_tx_pcs_gb_pipeln_bypass</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_gb_pipeln_bypass</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_gb_tx_idwidth" type="string"> + <ipxact:name>hssi_10g_tx_pcs_gb_tx_idwidth</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_gb_tx_idwidth</ipxact:displayName> + <ipxact:value>width_66</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_gb_tx_odwidth" type="string"> + <ipxact:name>hssi_10g_tx_pcs_gb_tx_odwidth</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_gb_tx_odwidth</ipxact:displayName> + <ipxact:value>width_32</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_gbred_clken" type="string"> + <ipxact:name>hssi_10g_tx_pcs_gbred_clken</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_gbred_clken</ipxact:displayName> + <ipxact:value>gbred_clk_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_indv" type="string"> + <ipxact:name>hssi_10g_tx_pcs_indv</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_indv</ipxact:displayName> + <ipxact:value>indv_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_low_latency_en" type="string"> + <ipxact:name>hssi_10g_tx_pcs_low_latency_en</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_low_latency_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_master_clk_sel" type="string"> + <ipxact:name>hssi_10g_tx_pcs_master_clk_sel</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_master_clk_sel</ipxact:displayName> + <ipxact:value>master_tx_pma_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_pempty_flag_type" type="string"> + <ipxact:name>hssi_10g_tx_pcs_pempty_flag_type</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_pempty_flag_type</ipxact:displayName> + <ipxact:value>pempty_rd_side</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_pfull_flag_type" type="string"> + <ipxact:name>hssi_10g_tx_pcs_pfull_flag_type</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_pfull_flag_type</ipxact:displayName> + <ipxact:value>pfull_wr_side</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_phcomp_rd_del" type="string"> + <ipxact:name>hssi_10g_tx_pcs_phcomp_rd_del</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_phcomp_rd_del</ipxact:displayName> + <ipxact:value>phcomp_rd_del4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_pld_if_type" type="string"> + <ipxact:name>hssi_10g_tx_pcs_pld_if_type</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_pld_if_type</ipxact:displayName> + <ipxact:value>fifo</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_prot_mode" type="string"> + <ipxact:name>hssi_10g_tx_pcs_prot_mode</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_prot_mode</ipxact:displayName> + <ipxact:value>teng_baser_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_pseudo_random" type="string"> + <ipxact:name>hssi_10g_tx_pcs_pseudo_random</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_pseudo_random</ipxact:displayName> + <ipxact:value>all_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_pseudo_seed_a" type="string"> + <ipxact:name>hssi_10g_tx_pcs_pseudo_seed_a</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_pseudo_seed_a</ipxact:displayName> + <ipxact:value>288230376151711743</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_pseudo_seed_b" type="string"> + <ipxact:name>hssi_10g_tx_pcs_pseudo_seed_b</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_pseudo_seed_b</ipxact:displayName> + <ipxact:value>288230376151711743</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_random_disp" type="string"> + <ipxact:name>hssi_10g_tx_pcs_random_disp</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_random_disp</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_rdfifo_clken" type="string"> + <ipxact:name>hssi_10g_tx_pcs_rdfifo_clken</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_rdfifo_clken</ipxact:displayName> + <ipxact:value>rdfifo_clk_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_scrm_bypass" type="string"> + <ipxact:name>hssi_10g_tx_pcs_scrm_bypass</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_scrm_bypass</ipxact:displayName> + <ipxact:value>scrm_bypass_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_scrm_clken" type="string"> + <ipxact:name>hssi_10g_tx_pcs_scrm_clken</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_scrm_clken</ipxact:displayName> + <ipxact:value>scrm_clk_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_scrm_mode" type="string"> + <ipxact:name>hssi_10g_tx_pcs_scrm_mode</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_scrm_mode</ipxact:displayName> + <ipxact:value>async</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_scrm_pipeln" type="string"> + <ipxact:name>hssi_10g_tx_pcs_scrm_pipeln</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_scrm_pipeln</ipxact:displayName> + <ipxact:value>enable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_sh_err" type="string"> + <ipxact:name>hssi_10g_tx_pcs_sh_err</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_sh_err</ipxact:displayName> + <ipxact:value>sh_err_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_sop_mark" type="string"> + <ipxact:name>hssi_10g_tx_pcs_sop_mark</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_sop_mark</ipxact:displayName> + <ipxact:value>sop_mark_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_stretch_num_stages" type="string"> + <ipxact:name>hssi_10g_tx_pcs_stretch_num_stages</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_stretch_num_stages</ipxact:displayName> + <ipxact:value>two_stage</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_sup_mode" type="string"> + <ipxact:name>hssi_10g_tx_pcs_sup_mode</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_test_mode" type="string"> + <ipxact:name>hssi_10g_tx_pcs_test_mode</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_test_mode</ipxact:displayName> + <ipxact:value>test_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_tx_scrm_err" type="string"> + <ipxact:name>hssi_10g_tx_pcs_tx_scrm_err</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_tx_scrm_err</ipxact:displayName> + <ipxact:value>scrm_err_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_tx_scrm_width" type="string"> + <ipxact:name>hssi_10g_tx_pcs_tx_scrm_width</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_tx_scrm_width</ipxact:displayName> + <ipxact:value>bit64</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_tx_sh_location" type="string"> + <ipxact:name>hssi_10g_tx_pcs_tx_sh_location</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_tx_sh_location</ipxact:displayName> + <ipxact:value>lsb</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_tx_sm_bypass" type="string"> + <ipxact:name>hssi_10g_tx_pcs_tx_sm_bypass</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_tx_sm_bypass</ipxact:displayName> + <ipxact:value>tx_sm_bypass_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_tx_sm_pipeln" type="string"> + <ipxact:name>hssi_10g_tx_pcs_tx_sm_pipeln</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_tx_sm_pipeln</ipxact:displayName> + <ipxact:value>tx_sm_pipeln_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_tx_testbus_sel" type="string"> + <ipxact:name>hssi_10g_tx_pcs_tx_testbus_sel</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_tx_testbus_sel</ipxact:displayName> + <ipxact:value>tx_fifo_testbus1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_txfifo_empty" type="string"> + <ipxact:name>hssi_10g_tx_pcs_txfifo_empty</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_txfifo_empty</ipxact:displayName> + <ipxact:value>empty_default</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_txfifo_full" type="string"> + <ipxact:name>hssi_10g_tx_pcs_txfifo_full</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_txfifo_full</ipxact:displayName> + <ipxact:value>full_default</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_txfifo_mode" type="string"> + <ipxact:name>hssi_10g_tx_pcs_txfifo_mode</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_txfifo_mode</ipxact:displayName> + <ipxact:value>phase_comp</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_txfifo_pempty" type="int"> + <ipxact:name>hssi_10g_tx_pcs_txfifo_pempty</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_txfifo_pempty</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_txfifo_pfull" type="int"> + <ipxact:name>hssi_10g_tx_pcs_txfifo_pfull</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_txfifo_pfull</ipxact:displayName> + <ipxact:value>11</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_wr_clk_sel" type="string"> + <ipxact:name>hssi_10g_tx_pcs_wr_clk_sel</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_wr_clk_sel</ipxact:displayName> + <ipxact:value>wr_tx_pld_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_wrfifo_clken" type="string"> + <ipxact:name>hssi_10g_tx_pcs_wrfifo_clken</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_wrfifo_clken</ipxact:displayName> + <ipxact:value>wrfifo_clk_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_advanced_user_mode" type="string"> + <ipxact:name>hssi_10g_tx_pcs_advanced_user_mode</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_advanced_user_mode</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_silicon_rev" type="string"> + <ipxact:name>hssi_10g_tx_pcs_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_reconfig_settings" type="string"> + <ipxact:name>hssi_10g_tx_pcs_reconfig_settings</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_reconfig_settings</ipxact:displayName> + <ipxact:value>{}</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_auto_error_replacement" type="string"> + <ipxact:name>hssi_8g_rx_pcs_auto_error_replacement</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_auto_error_replacement</ipxact:displayName> + <ipxact:value>dis_err_replace</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_auto_speed_nego" type="string"> + <ipxact:name>hssi_8g_rx_pcs_auto_speed_nego</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_auto_speed_nego</ipxact:displayName> + <ipxact:value>dis_asn</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_bit_reversal" type="string"> + <ipxact:name>hssi_8g_rx_pcs_bit_reversal</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_bit_reversal</ipxact:displayName> + <ipxact:value>dis_bit_reversal</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_bonding_dft_en" type="string"> + <ipxact:name>hssi_8g_rx_pcs_bonding_dft_en</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_bonding_dft_en</ipxact:displayName> + <ipxact:value>dft_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_bonding_dft_val" type="string"> + <ipxact:name>hssi_8g_rx_pcs_bonding_dft_val</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_bonding_dft_val</ipxact:displayName> + <ipxact:value>dft_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_bypass_pipeline_reg" type="string"> + <ipxact:name>hssi_8g_rx_pcs_bypass_pipeline_reg</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_bypass_pipeline_reg</ipxact:displayName> + <ipxact:value>dis_bypass_pipeline</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_byte_deserializer" type="string"> + <ipxact:name>hssi_8g_rx_pcs_byte_deserializer</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_byte_deserializer</ipxact:displayName> + <ipxact:value>dis_bds</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_cdr_ctrl_rxvalid_mask" type="string"> + <ipxact:name>hssi_8g_rx_pcs_cdr_ctrl_rxvalid_mask</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_cdr_ctrl_rxvalid_mask</ipxact:displayName> + <ipxact:value>dis_rxvalid_mask</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_clkcmp_pattern_n" type="int"> + <ipxact:name>hssi_8g_rx_pcs_clkcmp_pattern_n</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_clkcmp_pattern_n</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_clkcmp_pattern_p" type="int"> + <ipxact:name>hssi_8g_rx_pcs_clkcmp_pattern_p</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_clkcmp_pattern_p</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_clock_gate_bds_dec_asn" type="string"> + <ipxact:name>hssi_8g_rx_pcs_clock_gate_bds_dec_asn</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_clock_gate_bds_dec_asn</ipxact:displayName> + <ipxact:value>en_bds_dec_asn_clk_gating</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_clock_gate_cdr_eidle" type="string"> + <ipxact:name>hssi_8g_rx_pcs_clock_gate_cdr_eidle</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_clock_gate_cdr_eidle</ipxact:displayName> + <ipxact:value>en_cdr_eidle_clk_gating</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_clock_gate_dw_pc_wrclk" type="string"> + <ipxact:name>hssi_8g_rx_pcs_clock_gate_dw_pc_wrclk</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_clock_gate_dw_pc_wrclk</ipxact:displayName> + <ipxact:value>en_dw_pc_wrclk_gating</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_clock_gate_dw_rm_rd" type="string"> + <ipxact:name>hssi_8g_rx_pcs_clock_gate_dw_rm_rd</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_clock_gate_dw_rm_rd</ipxact:displayName> + <ipxact:value>en_dw_rm_rdclk_gating</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_clock_gate_dw_rm_wr" type="string"> + <ipxact:name>hssi_8g_rx_pcs_clock_gate_dw_rm_wr</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_clock_gate_dw_rm_wr</ipxact:displayName> + <ipxact:value>en_dw_rm_wrclk_gating</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_clock_gate_dw_wa" type="string"> + <ipxact:name>hssi_8g_rx_pcs_clock_gate_dw_wa</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_clock_gate_dw_wa</ipxact:displayName> + <ipxact:value>en_dw_wa_clk_gating</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_clock_gate_pc_rdclk" type="string"> + <ipxact:name>hssi_8g_rx_pcs_clock_gate_pc_rdclk</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_clock_gate_pc_rdclk</ipxact:displayName> + <ipxact:value>en_pc_rdclk_gating</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_clock_gate_sw_pc_wrclk" type="string"> + <ipxact:name>hssi_8g_rx_pcs_clock_gate_sw_pc_wrclk</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_clock_gate_sw_pc_wrclk</ipxact:displayName> + <ipxact:value>en_sw_pc_wrclk_gating</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_clock_gate_sw_rm_rd" type="string"> + <ipxact:name>hssi_8g_rx_pcs_clock_gate_sw_rm_rd</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_clock_gate_sw_rm_rd</ipxact:displayName> + <ipxact:value>en_sw_rm_rdclk_gating</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_clock_gate_sw_rm_wr" type="string"> + <ipxact:name>hssi_8g_rx_pcs_clock_gate_sw_rm_wr</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_clock_gate_sw_rm_wr</ipxact:displayName> + <ipxact:value>en_sw_rm_wrclk_gating</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_clock_gate_sw_wa" type="string"> + <ipxact:name>hssi_8g_rx_pcs_clock_gate_sw_wa</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_clock_gate_sw_wa</ipxact:displayName> + <ipxact:value>en_sw_wa_clk_gating</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_clock_observation_in_pld_core" type="string"> + <ipxact:name>hssi_8g_rx_pcs_clock_observation_in_pld_core</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_clock_observation_in_pld_core</ipxact:displayName> + <ipxact:value>internal_sw_wa_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_ctrl_plane_bonding_compensation" type="string"> + <ipxact:name>hssi_8g_rx_pcs_ctrl_plane_bonding_compensation</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_ctrl_plane_bonding_compensation</ipxact:displayName> + <ipxact:value>dis_compensation</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_ctrl_plane_bonding_consumption" type="string"> + <ipxact:name>hssi_8g_rx_pcs_ctrl_plane_bonding_consumption</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_ctrl_plane_bonding_consumption</ipxact:displayName> + <ipxact:value>individual</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_ctrl_plane_bonding_distribution" type="string"> + <ipxact:name>hssi_8g_rx_pcs_ctrl_plane_bonding_distribution</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_ctrl_plane_bonding_distribution</ipxact:displayName> + <ipxact:value>not_master_chnl_distr</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_eidle_entry_eios" type="string"> + <ipxact:name>hssi_8g_rx_pcs_eidle_entry_eios</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_eidle_entry_eios</ipxact:displayName> + <ipxact:value>dis_eidle_eios</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_eidle_entry_iei" type="string"> + <ipxact:name>hssi_8g_rx_pcs_eidle_entry_iei</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_eidle_entry_iei</ipxact:displayName> + <ipxact:value>dis_eidle_iei</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_eidle_entry_sd" type="string"> + <ipxact:name>hssi_8g_rx_pcs_eidle_entry_sd</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_eidle_entry_sd</ipxact:displayName> + <ipxact:value>dis_eidle_sd</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_eightb_tenb_decoder" type="string"> + <ipxact:name>hssi_8g_rx_pcs_eightb_tenb_decoder</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_eightb_tenb_decoder</ipxact:displayName> + <ipxact:value>en_8b10b_ibm</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_err_flags_sel" type="string"> + <ipxact:name>hssi_8g_rx_pcs_err_flags_sel</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_err_flags_sel</ipxact:displayName> + <ipxact:value>err_flags_wa</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_fixed_pat_det" type="string"> + <ipxact:name>hssi_8g_rx_pcs_fixed_pat_det</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_fixed_pat_det</ipxact:displayName> + <ipxact:value>dis_fixed_patdet</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_fixed_pat_num" type="int"> + <ipxact:name>hssi_8g_rx_pcs_fixed_pat_num</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_fixed_pat_num</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_force_signal_detect" type="string"> + <ipxact:name>hssi_8g_rx_pcs_force_signal_detect</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_force_signal_detect</ipxact:displayName> + <ipxact:value>en_force_signal_detect</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_gen3_clk_en" type="string"> + <ipxact:name>hssi_8g_rx_pcs_gen3_clk_en</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_gen3_clk_en</ipxact:displayName> + <ipxact:value>disable_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_gen3_rx_clk_sel" type="string"> + <ipxact:name>hssi_8g_rx_pcs_gen3_rx_clk_sel</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_gen3_rx_clk_sel</ipxact:displayName> + <ipxact:value>rcvd_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_gen3_tx_clk_sel" type="string"> + <ipxact:name>hssi_8g_rx_pcs_gen3_tx_clk_sel</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_gen3_tx_clk_sel</ipxact:displayName> + <ipxact:value>tx_pma_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_hip_mode" type="string"> + <ipxact:name>hssi_8g_rx_pcs_hip_mode</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_hip_mode</ipxact:displayName> + <ipxact:value>dis_hip</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_ibm_invalid_code" type="string"> + <ipxact:name>hssi_8g_rx_pcs_ibm_invalid_code</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_ibm_invalid_code</ipxact:displayName> + <ipxact:value>dis_ibm_invalid_code</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_invalid_code_flag_only" type="string"> + <ipxact:name>hssi_8g_rx_pcs_invalid_code_flag_only</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_invalid_code_flag_only</ipxact:displayName> + <ipxact:value>dis_invalid_code_only</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_pad_or_edb_error_replace" type="string"> + <ipxact:name>hssi_8g_rx_pcs_pad_or_edb_error_replace</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_pad_or_edb_error_replace</ipxact:displayName> + <ipxact:value>replace_edb</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_pcs_bypass" type="string"> + <ipxact:name>hssi_8g_rx_pcs_pcs_bypass</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_pcs_bypass</ipxact:displayName> + <ipxact:value>dis_pcs_bypass</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_phase_comp_rdptr" type="string"> + <ipxact:name>hssi_8g_rx_pcs_phase_comp_rdptr</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_phase_comp_rdptr</ipxact:displayName> + <ipxact:value>disable_rdptr</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_phase_compensation_fifo" type="string"> + <ipxact:name>hssi_8g_rx_pcs_phase_compensation_fifo</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_phase_compensation_fifo</ipxact:displayName> + <ipxact:value>low_latency</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_pipe_if_enable" type="string"> + <ipxact:name>hssi_8g_rx_pcs_pipe_if_enable</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_pipe_if_enable</ipxact:displayName> + <ipxact:value>dis_pipe_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_pma_dw" type="string"> + <ipxact:name>hssi_8g_rx_pcs_pma_dw</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_pma_dw</ipxact:displayName> + <ipxact:value>ten_bit</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_polinv_8b10b_dec" type="string"> + <ipxact:name>hssi_8g_rx_pcs_polinv_8b10b_dec</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_polinv_8b10b_dec</ipxact:displayName> + <ipxact:value>dis_polinv_8b10b_dec</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_prot_mode" type="string"> + <ipxact:name>hssi_8g_rx_pcs_prot_mode</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_prot_mode</ipxact:displayName> + <ipxact:value>disabled_prot_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_rate_match" type="string"> + <ipxact:name>hssi_8g_rx_pcs_rate_match</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_rate_match</ipxact:displayName> + <ipxact:value>dis_rm</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_rate_match_del_thres" type="string"> + <ipxact:name>hssi_8g_rx_pcs_rate_match_del_thres</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_rate_match_del_thres</ipxact:displayName> + <ipxact:value>dis_rm_del_thres</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_rate_match_empty_thres" type="string"> + <ipxact:name>hssi_8g_rx_pcs_rate_match_empty_thres</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_rate_match_empty_thres</ipxact:displayName> + <ipxact:value>dis_rm_empty_thres</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_rate_match_full_thres" type="string"> + <ipxact:name>hssi_8g_rx_pcs_rate_match_full_thres</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_rate_match_full_thres</ipxact:displayName> + <ipxact:value>dis_rm_full_thres</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_rate_match_ins_thres" type="string"> + <ipxact:name>hssi_8g_rx_pcs_rate_match_ins_thres</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_rate_match_ins_thres</ipxact:displayName> + <ipxact:value>dis_rm_ins_thres</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_rate_match_start_thres" type="string"> + <ipxact:name>hssi_8g_rx_pcs_rate_match_start_thres</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_rate_match_start_thres</ipxact:displayName> + <ipxact:value>dis_rm_start_thres</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_rx_clk_free_running" type="string"> + <ipxact:name>hssi_8g_rx_pcs_rx_clk_free_running</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_rx_clk_free_running</ipxact:displayName> + <ipxact:value>en_rx_clk_free_run</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_rx_clk2" type="string"> + <ipxact:name>hssi_8g_rx_pcs_rx_clk2</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_rx_clk2</ipxact:displayName> + <ipxact:value>rcvd_clk_clk2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_rx_pcs_urst" type="string"> + <ipxact:name>hssi_8g_rx_pcs_rx_pcs_urst</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_rx_pcs_urst</ipxact:displayName> + <ipxact:value>en_rx_pcs_urst</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_rx_rcvd_clk" type="string"> + <ipxact:name>hssi_8g_rx_pcs_rx_rcvd_clk</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_rx_rcvd_clk</ipxact:displayName> + <ipxact:value>rcvd_clk_rcvd_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_rx_rd_clk" type="string"> + <ipxact:name>hssi_8g_rx_pcs_rx_rd_clk</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_rx_rd_clk</ipxact:displayName> + <ipxact:value>pld_rx_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_rx_refclk" type="string"> + <ipxact:name>hssi_8g_rx_pcs_rx_refclk</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_rx_refclk</ipxact:displayName> + <ipxact:value>dis_refclk_sel</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_rx_wr_clk" type="string"> + <ipxact:name>hssi_8g_rx_pcs_rx_wr_clk</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_rx_wr_clk</ipxact:displayName> + <ipxact:value>rx_clk2_div_1_2_4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_sup_mode" type="string"> + <ipxact:name>hssi_8g_rx_pcs_sup_mode</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_symbol_swap" type="string"> + <ipxact:name>hssi_8g_rx_pcs_symbol_swap</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_symbol_swap</ipxact:displayName> + <ipxact:value>dis_symbol_swap</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_sync_sm_idle_eios" type="string"> + <ipxact:name>hssi_8g_rx_pcs_sync_sm_idle_eios</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_sync_sm_idle_eios</ipxact:displayName> + <ipxact:value>dis_syncsm_idle</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_test_bus_sel" type="string"> + <ipxact:name>hssi_8g_rx_pcs_test_bus_sel</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_test_bus_sel</ipxact:displayName> + <ipxact:value>tx_testbus</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_tx_rx_parallel_loopback" type="string"> + <ipxact:name>hssi_8g_rx_pcs_tx_rx_parallel_loopback</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_tx_rx_parallel_loopback</ipxact:displayName> + <ipxact:value>dis_plpbk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_wa_boundary_lock_ctrl" type="string"> + <ipxact:name>hssi_8g_rx_pcs_wa_boundary_lock_ctrl</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_wa_boundary_lock_ctrl</ipxact:displayName> + <ipxact:value>sync_sm</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_wa_clk_slip_spacing" type="int"> + <ipxact:name>hssi_8g_rx_pcs_wa_clk_slip_spacing</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_wa_clk_slip_spacing</ipxact:displayName> + <ipxact:value>16</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_wa_det_latency_sync_status_beh" type="string"> + <ipxact:name>hssi_8g_rx_pcs_wa_det_latency_sync_status_beh</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_wa_det_latency_sync_status_beh</ipxact:displayName> + <ipxact:value>dont_care_assert_sync</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_wa_disp_err_flag" type="string"> + <ipxact:name>hssi_8g_rx_pcs_wa_disp_err_flag</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_wa_disp_err_flag</ipxact:displayName> + <ipxact:value>en_disp_err_flag</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_wa_kchar" type="string"> + <ipxact:name>hssi_8g_rx_pcs_wa_kchar</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_wa_kchar</ipxact:displayName> + <ipxact:value>dis_kchar</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_wa_pd" type="string"> + <ipxact:name>hssi_8g_rx_pcs_wa_pd</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_wa_pd</ipxact:displayName> + <ipxact:value>wa_pd_10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_wa_pd_data" type="string"> + <ipxact:name>hssi_8g_rx_pcs_wa_pd_data</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_wa_pd_data</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_wa_pd_polarity" type="string"> + <ipxact:name>hssi_8g_rx_pcs_wa_pd_polarity</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_wa_pd_polarity</ipxact:displayName> + <ipxact:value>dont_care_both_pol</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_wa_pld_controlled" type="string"> + <ipxact:name>hssi_8g_rx_pcs_wa_pld_controlled</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_wa_pld_controlled</ipxact:displayName> + <ipxact:value>dis_pld_ctrl</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_wa_renumber_data" type="int"> + <ipxact:name>hssi_8g_rx_pcs_wa_renumber_data</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_wa_renumber_data</ipxact:displayName> + <ipxact:value>3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_wa_rgnumber_data" type="int"> + <ipxact:name>hssi_8g_rx_pcs_wa_rgnumber_data</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_wa_rgnumber_data</ipxact:displayName> + <ipxact:value>3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_wa_rknumber_data" type="int"> + <ipxact:name>hssi_8g_rx_pcs_wa_rknumber_data</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_wa_rknumber_data</ipxact:displayName> + <ipxact:value>3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_wa_rosnumber_data" type="int"> + <ipxact:name>hssi_8g_rx_pcs_wa_rosnumber_data</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_wa_rosnumber_data</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_wa_rvnumber_data" type="int"> + <ipxact:name>hssi_8g_rx_pcs_wa_rvnumber_data</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_wa_rvnumber_data</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_wa_sync_sm_ctrl" type="string"> + <ipxact:name>hssi_8g_rx_pcs_wa_sync_sm_ctrl</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_wa_sync_sm_ctrl</ipxact:displayName> + <ipxact:value>gige_sync_sm</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_wait_cnt" type="int"> + <ipxact:name>hssi_8g_rx_pcs_wait_cnt</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_wait_cnt</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_silicon_rev" type="string"> + <ipxact:name>hssi_8g_rx_pcs_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_reconfig_settings" type="string"> + <ipxact:name>hssi_8g_rx_pcs_reconfig_settings</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_reconfig_settings</ipxact:displayName> + <ipxact:value>{}</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_auto_speed_nego_gen2" type="string"> + <ipxact:name>hssi_8g_tx_pcs_auto_speed_nego_gen2</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_auto_speed_nego_gen2</ipxact:displayName> + <ipxact:value>dis_asn_g2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_bit_reversal" type="string"> + <ipxact:name>hssi_8g_tx_pcs_bit_reversal</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_bit_reversal</ipxact:displayName> + <ipxact:value>dis_bit_reversal</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_bonding_dft_en" type="string"> + <ipxact:name>hssi_8g_tx_pcs_bonding_dft_en</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_bonding_dft_en</ipxact:displayName> + <ipxact:value>dft_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_bonding_dft_val" type="string"> + <ipxact:name>hssi_8g_tx_pcs_bonding_dft_val</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_bonding_dft_val</ipxact:displayName> + <ipxact:value>dft_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_bypass_pipeline_reg" type="string"> + <ipxact:name>hssi_8g_tx_pcs_bypass_pipeline_reg</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_bypass_pipeline_reg</ipxact:displayName> + <ipxact:value>dis_bypass_pipeline</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_byte_serializer" type="string"> + <ipxact:name>hssi_8g_tx_pcs_byte_serializer</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_byte_serializer</ipxact:displayName> + <ipxact:value>dis_bs</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_clock_gate_bs_enc" type="string"> + <ipxact:name>hssi_8g_tx_pcs_clock_gate_bs_enc</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_clock_gate_bs_enc</ipxact:displayName> + <ipxact:value>en_bs_enc_clk_gating</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_clock_gate_dw_fifowr" type="string"> + <ipxact:name>hssi_8g_tx_pcs_clock_gate_dw_fifowr</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_clock_gate_dw_fifowr</ipxact:displayName> + <ipxact:value>en_dw_fifowr_clk_gating</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_clock_gate_fiford" type="string"> + <ipxact:name>hssi_8g_tx_pcs_clock_gate_fiford</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_clock_gate_fiford</ipxact:displayName> + <ipxact:value>en_fiford_clk_gating</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_clock_gate_sw_fifowr" type="string"> + <ipxact:name>hssi_8g_tx_pcs_clock_gate_sw_fifowr</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_clock_gate_sw_fifowr</ipxact:displayName> + <ipxact:value>en_sw_fifowr_clk_gating</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_clock_observation_in_pld_core" type="string"> + <ipxact:name>hssi_8g_tx_pcs_clock_observation_in_pld_core</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_clock_observation_in_pld_core</ipxact:displayName> + <ipxact:value>internal_refclk_b</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_ctrl_plane_bonding_compensation" type="string"> + <ipxact:name>hssi_8g_tx_pcs_ctrl_plane_bonding_compensation</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_ctrl_plane_bonding_compensation</ipxact:displayName> + <ipxact:value>dis_compensation</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_ctrl_plane_bonding_consumption" type="string"> + <ipxact:name>hssi_8g_tx_pcs_ctrl_plane_bonding_consumption</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_ctrl_plane_bonding_consumption</ipxact:displayName> + <ipxact:value>individual</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_ctrl_plane_bonding_distribution" type="string"> + <ipxact:name>hssi_8g_tx_pcs_ctrl_plane_bonding_distribution</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_ctrl_plane_bonding_distribution</ipxact:displayName> + <ipxact:value>not_master_chnl_distr</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_data_selection_8b10b_encoder_input" type="string"> + <ipxact:name>hssi_8g_tx_pcs_data_selection_8b10b_encoder_input</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_data_selection_8b10b_encoder_input</ipxact:displayName> + <ipxact:value>normal_data_path</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_dynamic_clk_switch" type="string"> + <ipxact:name>hssi_8g_tx_pcs_dynamic_clk_switch</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_dynamic_clk_switch</ipxact:displayName> + <ipxact:value>dis_dyn_clk_switch</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_eightb_tenb_disp_ctrl" type="string"> + <ipxact:name>hssi_8g_tx_pcs_eightb_tenb_disp_ctrl</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_eightb_tenb_disp_ctrl</ipxact:displayName> + <ipxact:value>dis_disp_ctrl</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_eightb_tenb_encoder" type="string"> + <ipxact:name>hssi_8g_tx_pcs_eightb_tenb_encoder</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_eightb_tenb_encoder</ipxact:displayName> + <ipxact:value>en_8b10b_ibm</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_force_echar" type="string"> + <ipxact:name>hssi_8g_tx_pcs_force_echar</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_force_echar</ipxact:displayName> + <ipxact:value>dis_force_echar</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_force_kchar" type="string"> + <ipxact:name>hssi_8g_tx_pcs_force_kchar</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_force_kchar</ipxact:displayName> + <ipxact:value>dis_force_kchar</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_gen3_tx_clk_sel" type="string"> + <ipxact:name>hssi_8g_tx_pcs_gen3_tx_clk_sel</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_gen3_tx_clk_sel</ipxact:displayName> + <ipxact:value>dis_tx_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_gen3_tx_pipe_clk_sel" type="string"> + <ipxact:name>hssi_8g_tx_pcs_gen3_tx_pipe_clk_sel</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_gen3_tx_pipe_clk_sel</ipxact:displayName> + <ipxact:value>dis_tx_pipe_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_hip_mode" type="string"> + <ipxact:name>hssi_8g_tx_pcs_hip_mode</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_hip_mode</ipxact:displayName> + <ipxact:value>dis_hip</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_pcs_bypass" type="string"> + <ipxact:name>hssi_8g_tx_pcs_pcs_bypass</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_pcs_bypass</ipxact:displayName> + <ipxact:value>dis_pcs_bypass</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_phase_comp_rdptr" type="string"> + <ipxact:name>hssi_8g_tx_pcs_phase_comp_rdptr</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_phase_comp_rdptr</ipxact:displayName> + <ipxact:value>disable_rdptr</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_phase_compensation_fifo" type="string"> + <ipxact:name>hssi_8g_tx_pcs_phase_compensation_fifo</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_phase_compensation_fifo</ipxact:displayName> + <ipxact:value>low_latency</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_phfifo_write_clk_sel" type="string"> + <ipxact:name>hssi_8g_tx_pcs_phfifo_write_clk_sel</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_phfifo_write_clk_sel</ipxact:displayName> + <ipxact:value>pld_tx_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_pma_dw" type="string"> + <ipxact:name>hssi_8g_tx_pcs_pma_dw</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_pma_dw</ipxact:displayName> + <ipxact:value>ten_bit</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_prot_mode" type="string"> + <ipxact:name>hssi_8g_tx_pcs_prot_mode</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_prot_mode</ipxact:displayName> + <ipxact:value>disabled_prot_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_refclk_b_clk_sel" type="string"> + <ipxact:name>hssi_8g_tx_pcs_refclk_b_clk_sel</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_refclk_b_clk_sel</ipxact:displayName> + <ipxact:value>tx_pma_clock</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_revloop_back_rm" type="string"> + <ipxact:name>hssi_8g_tx_pcs_revloop_back_rm</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_revloop_back_rm</ipxact:displayName> + <ipxact:value>dis_rev_loopback_rx_rm</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_sup_mode" type="string"> + <ipxact:name>hssi_8g_tx_pcs_sup_mode</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_symbol_swap" type="string"> + <ipxact:name>hssi_8g_tx_pcs_symbol_swap</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_symbol_swap</ipxact:displayName> + <ipxact:value>dis_symbol_swap</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_tx_bitslip" type="string"> + <ipxact:name>hssi_8g_tx_pcs_tx_bitslip</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_tx_bitslip</ipxact:displayName> + <ipxact:value>dis_tx_bitslip</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_tx_compliance_controlled_disparity" type="string"> + <ipxact:name>hssi_8g_tx_pcs_tx_compliance_controlled_disparity</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_tx_compliance_controlled_disparity</ipxact:displayName> + <ipxact:value>dis_txcompliance</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_tx_fast_pld_reg" type="string"> + <ipxact:name>hssi_8g_tx_pcs_tx_fast_pld_reg</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_tx_fast_pld_reg</ipxact:displayName> + <ipxact:value>dis_tx_fast_pld_reg</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_txclk_freerun" type="string"> + <ipxact:name>hssi_8g_tx_pcs_txclk_freerun</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_txclk_freerun</ipxact:displayName> + <ipxact:value>en_freerun_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_txpcs_urst" type="string"> + <ipxact:name>hssi_8g_tx_pcs_txpcs_urst</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_txpcs_urst</ipxact:displayName> + <ipxact:value>en_txpcs_urst</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_silicon_rev" type="string"> + <ipxact:name>hssi_8g_tx_pcs_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_reconfig_settings" type="string"> + <ipxact:name>hssi_8g_tx_pcs_reconfig_settings</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_reconfig_settings</ipxact:displayName> + <ipxact:value>{}</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_hip_en" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_hip_en</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_hip_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_hrdrstctl_en" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_hrdrstctl_en</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_hrdrstctl_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_prot_mode_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_prot_mode_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_prot_mode_tx</ipxact:displayName> + <ipxact:value>teng_baser_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_ctrl_plane_bonding_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_ctrl_plane_bonding_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_ctrl_plane_bonding_tx</ipxact:displayName> + <ipxact:value>individual_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_pma_dw_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_pma_dw_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_pma_dw_tx</ipxact:displayName> + <ipxact:value>pma_32b_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_pld_fifo_mode_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_pld_fifo_mode_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_pld_fifo_mode_tx</ipxact:displayName> + <ipxact:value>fifo_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_shared_fifo_width_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_shared_fifo_width_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_shared_fifo_width_tx</ipxact:displayName> + <ipxact:value>single_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_low_latency_en_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_low_latency_en_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_low_latency_en_tx</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_func_mode" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_func_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_func_mode</ipxact:displayName> + <ipxact:value>enable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_sup_mode" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_sup_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_channel_operation_mode" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_channel_operation_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_channel_operation_mode</ipxact:displayName> + <ipxact:value>tx_rx_pair_enabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_lpbk_en" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_lpbk_en</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_lpbk_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_frequency_rules_en" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_frequency_rules_en</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_frequency_rules_en</ipxact:displayName> + <ipxact:value>enable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_speed_grade" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_speed_grade</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_speed_grade</ipxact:displayName> + <ipxact:value>e3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_pma_tx_clk_hz" type="int"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_pma_tx_clk_hz</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_pma_tx_clk_hz</ipxact:displayName> + <ipxact:value>322265625</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_pld_tx_clk_hz" type="int"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_pld_tx_clk_hz</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_pld_tx_clk_hz</ipxact:displayName> + <ipxact:value>156250000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_pld_uhsif_tx_clk_hz" type="int"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_pld_uhsif_tx_clk_hz</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_pld_uhsif_tx_clk_hz</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_hclk_clk_hz" type="int"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_hclk_clk_hz</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_hclk_clk_hz</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_pld_pcs_refclk_dig_nonatpg_mode_clk_hz" type="int"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_pld_pcs_refclk_dig_nonatpg_mode_clk_hz</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_pld_pcs_refclk_dig_nonatpg_mode_clk_hz</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_pld_8g_refclk_dig_nonatpg_mode_clk_hz" type="int"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_pld_8g_refclk_dig_nonatpg_mode_clk_hz</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_pld_8g_refclk_dig_nonatpg_mode_clk_hz</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_pcs_tx_ac_pwr_uw_per_mhz" type="int"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_pcs_tx_ac_pwr_uw_per_mhz</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_pcs_tx_ac_pwr_uw_per_mhz</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_pcs_tx_pwr_scaling_clk" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_pcs_tx_pwr_scaling_clk</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_pcs_tx_pwr_scaling_clk</ipxact:displayName> + <ipxact:value>pma_tx_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_fifo_sup_mode" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_fifo_sup_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_fifo_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_fifo_channel_operation_mode" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_fifo_channel_operation_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_fifo_channel_operation_mode</ipxact:displayName> + <ipxact:value>tx_rx_pair_enabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_fifo_prot_mode_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_fifo_prot_mode_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_fifo_prot_mode_tx</ipxact:displayName> + <ipxact:value>teng_mode_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_fifo_shared_fifo_width_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_fifo_shared_fifo_width_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_fifo_shared_fifo_width_tx</ipxact:displayName> + <ipxact:value>single_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_10g_sup_mode" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_10g_sup_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_10g_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_10g_channel_operation_mode" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_10g_channel_operation_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_10g_channel_operation_mode</ipxact:displayName> + <ipxact:value>tx_rx_pair_enabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_10g_lpbk_en" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_10g_lpbk_en</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_10g_lpbk_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_10g_advanced_user_mode_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_10g_advanced_user_mode_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_10g_advanced_user_mode_tx</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_10g_pma_dw_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_10g_pma_dw_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_10g_pma_dw_tx</ipxact:displayName> + <ipxact:value>pma_32b_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_10g_fifo_mode_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_10g_fifo_mode_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_10g_fifo_mode_tx</ipxact:displayName> + <ipxact:value>fifo_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_10g_prot_mode_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_10g_prot_mode_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_10g_prot_mode_tx</ipxact:displayName> + <ipxact:value>teng_baser_mode_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_10g_ctrl_plane_bonding_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_10g_ctrl_plane_bonding_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_10g_ctrl_plane_bonding_tx</ipxact:displayName> + <ipxact:value>individual_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_10g_low_latency_en_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_10g_low_latency_en_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_10g_low_latency_en_tx</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_10g_shared_fifo_width_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_10g_shared_fifo_width_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_10g_shared_fifo_width_tx</ipxact:displayName> + <ipxact:value>single_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_8g_sup_mode" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_8g_sup_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_8g_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_8g_channel_operation_mode" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_8g_channel_operation_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_8g_channel_operation_mode</ipxact:displayName> + <ipxact:value>tx_rx_pair_enabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_8g_lpbk_en" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_8g_lpbk_en</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_8g_lpbk_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_8g_prot_mode_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_8g_prot_mode_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_8g_prot_mode_tx</ipxact:displayName> + <ipxact:value>disabled_prot_mode_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_8g_hip_mode" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_8g_hip_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_8g_hip_mode</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_8g_ctrl_plane_bonding_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_8g_ctrl_plane_bonding_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_8g_ctrl_plane_bonding_tx</ipxact:displayName> + <ipxact:value>ctrl_master_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_8g_pma_dw_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_8g_pma_dw_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_8g_pma_dw_tx</ipxact:displayName> + <ipxact:value>pma_10b_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_8g_fifo_mode_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_8g_fifo_mode_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_8g_fifo_mode_tx</ipxact:displayName> + <ipxact:value>fifo_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_g3_sup_mode" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_g3_sup_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_g3_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_g3_prot_mode" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_g3_prot_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_g3_prot_mode</ipxact:displayName> + <ipxact:value>disabled_prot_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_krfec_sup_mode" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_krfec_sup_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_krfec_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_krfec_channel_operation_mode" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_krfec_channel_operation_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_krfec_channel_operation_mode</ipxact:displayName> + <ipxact:value>tx_rx_pair_enabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_krfec_lpbk_en" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_krfec_lpbk_en</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_krfec_lpbk_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_krfec_prot_mode_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_krfec_prot_mode_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_krfec_prot_mode_tx</ipxact:displayName> + <ipxact:value>disabled_prot_mode_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_krfec_low_latency_en_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_krfec_low_latency_en_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_krfec_low_latency_en_tx</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_pmaif_sup_mode" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_pmaif_sup_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_pmaif_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_pmaif_lpbk_en" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_pmaif_lpbk_en</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_pmaif_lpbk_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_pmaif_channel_operation_mode" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_pmaif_channel_operation_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_pmaif_channel_operation_mode</ipxact:displayName> + <ipxact:value>tx_rx_pair_enabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_pmaif_sim_mode" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_pmaif_sim_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_pmaif_sim_mode</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_pmaif_prot_mode_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_pmaif_prot_mode_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_pmaif_prot_mode_tx</ipxact:displayName> + <ipxact:value>teng_krfec_mode_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_pmaif_ctrl_plane_bonding" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_pmaif_ctrl_plane_bonding</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_pmaif_ctrl_plane_bonding</ipxact:displayName> + <ipxact:value>individual</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_pmaif_pma_dw_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_pmaif_pma_dw_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_pmaif_pma_dw_tx</ipxact:displayName> + <ipxact:value>pma_32b_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_pldif_prot_mode_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_pldif_prot_mode_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_pldif_prot_mode_tx</ipxact:displayName> + <ipxact:value>teng_pld_fifo_mode_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_pldif_hrdrstctl_en" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_pldif_hrdrstctl_en</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_pldif_hrdrstctl_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_pldif_sup_mode" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_pldif_sup_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_pldif_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_pcs_tx_clk_source" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_pcs_tx_clk_source</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_pcs_tx_clk_source</ipxact:displayName> + <ipxact:value>teng</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_pcs_tx_data_source" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_pcs_tx_data_source</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_pcs_tx_data_source</ipxact:displayName> + <ipxact:value>hip_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_pcs_tx_delay1_clk_en" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_pcs_tx_delay1_clk_en</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_pcs_tx_delay1_clk_en</ipxact:displayName> + <ipxact:value>delay1_clk_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_pcs_tx_delay1_clk_sel" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_pcs_tx_delay1_clk_sel</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_pcs_tx_delay1_clk_sel</ipxact:displayName> + <ipxact:value>pcs_tx_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_pcs_tx_delay1_ctrl" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_pcs_tx_delay1_ctrl</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_pcs_tx_delay1_ctrl</ipxact:displayName> + <ipxact:value>delay1_path0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_pcs_tx_delay1_data_sel" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_pcs_tx_delay1_data_sel</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_pcs_tx_delay1_data_sel</ipxact:displayName> + <ipxact:value>one_ff_delay</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_pcs_tx_delay2_clk_en" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_pcs_tx_delay2_clk_en</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_pcs_tx_delay2_clk_en</ipxact:displayName> + <ipxact:value>delay2_clk_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_pcs_tx_delay2_ctrl" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_pcs_tx_delay2_ctrl</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_pcs_tx_delay2_ctrl</ipxact:displayName> + <ipxact:value>delay2_path0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_pcs_tx_output_sel" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_pcs_tx_output_sel</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_pcs_tx_output_sel</ipxact:displayName> + <ipxact:value>teng_output</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_silicon_rev" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_pcs_tx_clk_out_sel" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_pcs_tx_clk_out_sel</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_pcs_tx_clk_out_sel</ipxact:displayName> + <ipxact:value>teng_clk_out</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_reconfig_settings" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_reconfig_settings</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_reconfig_settings</ipxact:displayName> + <ipxact:value>{}</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_hip_en" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_hip_en</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_hip_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_transparent_pcs_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_transparent_pcs_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_transparent_pcs_rx</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_hrdrstctl_en" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_hrdrstctl_en</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_hrdrstctl_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_prot_mode_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_prot_mode_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_prot_mode_rx</ipxact:displayName> + <ipxact:value>teng_baser_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_ctrl_plane_bonding_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_ctrl_plane_bonding_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_ctrl_plane_bonding_rx</ipxact:displayName> + <ipxact:value>individual_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_pma_dw_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_pma_dw_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_pma_dw_rx</ipxact:displayName> + <ipxact:value>pma_32b_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_pld_fifo_mode_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_pld_fifo_mode_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_pld_fifo_mode_rx</ipxact:displayName> + <ipxact:value>fifo_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_shared_fifo_width_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_shared_fifo_width_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_shared_fifo_width_rx</ipxact:displayName> + <ipxact:value>single_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_low_latency_en_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_low_latency_en_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_low_latency_en_rx</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_func_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_func_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_func_mode</ipxact:displayName> + <ipxact:value>enable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_sup_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_sup_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_channel_operation_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_channel_operation_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_channel_operation_mode</ipxact:displayName> + <ipxact:value>tx_rx_pair_enabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_lpbk_en" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_lpbk_en</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_lpbk_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_10g_advanced_user_mode_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_10g_advanced_user_mode_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_10g_advanced_user_mode_rx</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_frequency_rules_en" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_frequency_rules_en</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_frequency_rules_en</ipxact:displayName> + <ipxact:value>enable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_speed_grade" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_speed_grade</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_speed_grade</ipxact:displayName> + <ipxact:value>e3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_pma_rx_clk_hz" type="int"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_pma_rx_clk_hz</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_pma_rx_clk_hz</ipxact:displayName> + <ipxact:value>322265625</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_pld_rx_clk_hz" type="int"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_pld_rx_clk_hz</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_pld_rx_clk_hz</ipxact:displayName> + <ipxact:value>156250000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_fref_clk_hz" type="int"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_fref_clk_hz</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_fref_clk_hz</ipxact:displayName> + <ipxact:value>322265625</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_clklow_clk_hz" type="int"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_clklow_clk_hz</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_clklow_clk_hz</ipxact:displayName> + <ipxact:value>322265625</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_hclk_clk_hz" type="int"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_hclk_clk_hz</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_hclk_clk_hz</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_pld_pcs_refclk_dig_nonatpg_mode_clk_hz" type="int"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_pld_pcs_refclk_dig_nonatpg_mode_clk_hz</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_pld_pcs_refclk_dig_nonatpg_mode_clk_hz</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_pld_8g_refclk_dig_nonatpg_mode_clk_hz" type="int"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_pld_8g_refclk_dig_nonatpg_mode_clk_hz</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_pld_8g_refclk_dig_nonatpg_mode_clk_hz</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_pcs_rx_ac_pwr_uw_per_mhz" type="int"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_pcs_rx_ac_pwr_uw_per_mhz</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_pcs_rx_ac_pwr_uw_per_mhz</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_operating_voltage" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_operating_voltage</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_operating_voltage</ipxact:displayName> + <ipxact:value>standard</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_pcs_ac_pwr_rules_en" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_pcs_ac_pwr_rules_en</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_pcs_ac_pwr_rules_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_pcs_rx_pwr_scaling_clk" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_pcs_rx_pwr_scaling_clk</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_pcs_rx_pwr_scaling_clk</ipxact:displayName> + <ipxact:value>pma_rx_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_pcs_pair_ac_pwr_uw_per_mhz" type="int"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_pcs_pair_ac_pwr_uw_per_mhz</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_pcs_pair_ac_pwr_uw_per_mhz</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_fifo_sup_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_fifo_sup_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_fifo_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_fifo_channel_operation_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_fifo_channel_operation_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_fifo_channel_operation_mode</ipxact:displayName> + <ipxact:value>tx_rx_pair_enabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_fifo_prot_mode_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_fifo_prot_mode_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_fifo_prot_mode_rx</ipxact:displayName> + <ipxact:value>teng_mode_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_fifo_shared_fifo_width_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_fifo_shared_fifo_width_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_fifo_shared_fifo_width_rx</ipxact:displayName> + <ipxact:value>single_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_10g_sup_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_10g_sup_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_10g_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_10g_channel_operation_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_10g_channel_operation_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_10g_channel_operation_mode</ipxact:displayName> + <ipxact:value>tx_rx_pair_enabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_10g_lpbk_en" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_10g_lpbk_en</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_10g_lpbk_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_10g_pma_dw_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_10g_pma_dw_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_10g_pma_dw_rx</ipxact:displayName> + <ipxact:value>pma_32b_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_10g_fifo_mode_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_10g_fifo_mode_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_10g_fifo_mode_rx</ipxact:displayName> + <ipxact:value>fifo_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_10g_prot_mode_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_10g_prot_mode_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_10g_prot_mode_rx</ipxact:displayName> + <ipxact:value>teng_baser_mode_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_10g_ctrl_plane_bonding_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_10g_ctrl_plane_bonding_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_10g_ctrl_plane_bonding_rx</ipxact:displayName> + <ipxact:value>individual_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_10g_low_latency_en_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_10g_low_latency_en_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_10g_low_latency_en_rx</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_10g_shared_fifo_width_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_10g_shared_fifo_width_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_10g_shared_fifo_width_rx</ipxact:displayName> + <ipxact:value>single_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_10g_test_bus_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_10g_test_bus_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_10g_test_bus_mode</ipxact:displayName> + <ipxact:value>rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_8g_sup_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_8g_sup_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_8g_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_8g_channel_operation_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_8g_channel_operation_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_8g_channel_operation_mode</ipxact:displayName> + <ipxact:value>tx_rx_pair_enabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_8g_lpbk_en" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_8g_lpbk_en</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_8g_lpbk_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_8g_prot_mode_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_8g_prot_mode_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_8g_prot_mode_rx</ipxact:displayName> + <ipxact:value>disabled_prot_mode_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_8g_hip_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_8g_hip_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_8g_hip_mode</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_8g_ctrl_plane_bonding_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_8g_ctrl_plane_bonding_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_8g_ctrl_plane_bonding_rx</ipxact:displayName> + <ipxact:value>individual_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_8g_pma_dw_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_8g_pma_dw_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_8g_pma_dw_rx</ipxact:displayName> + <ipxact:value>pma_10b_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_8g_fifo_mode_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_8g_fifo_mode_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_8g_fifo_mode_rx</ipxact:displayName> + <ipxact:value>fifo_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_g3_sup_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_g3_sup_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_g3_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_g3_prot_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_g3_prot_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_g3_prot_mode</ipxact:displayName> + <ipxact:value>disabled_prot_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_krfec_sup_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_krfec_sup_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_krfec_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_krfec_channel_operation_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_krfec_channel_operation_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_krfec_channel_operation_mode</ipxact:displayName> + <ipxact:value>tx_rx_pair_enabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_krfec_lpbk_en" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_krfec_lpbk_en</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_krfec_lpbk_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_krfec_prot_mode_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_krfec_prot_mode_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_krfec_prot_mode_rx</ipxact:displayName> + <ipxact:value>disabled_prot_mode_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_krfec_low_latency_en_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_krfec_low_latency_en_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_krfec_low_latency_en_rx</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_krfec_test_bus_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_krfec_test_bus_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_krfec_test_bus_mode</ipxact:displayName> + <ipxact:value>tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_pmaif_sup_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_pmaif_sup_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_pmaif_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_pmaif_lpbk_en" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_pmaif_lpbk_en</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_pmaif_lpbk_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_pmaif_channel_operation_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_pmaif_channel_operation_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_pmaif_channel_operation_mode</ipxact:displayName> + <ipxact:value>tx_rx_pair_enabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_pmaif_sim_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_pmaif_sim_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_pmaif_sim_mode</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_pmaif_prot_mode_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_pmaif_prot_mode_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_pmaif_prot_mode_rx</ipxact:displayName> + <ipxact:value>teng_krfec_mode_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_pmaif_pma_dw_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_pmaif_pma_dw_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_pmaif_pma_dw_rx</ipxact:displayName> + <ipxact:value>pma_32b_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_pldif_prot_mode_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_pldif_prot_mode_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_pldif_prot_mode_rx</ipxact:displayName> + <ipxact:value>teng_pld_fifo_mode_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_pldif_hrdrstctl_en" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_pldif_hrdrstctl_en</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_pldif_hrdrstctl_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_pldif_sup_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_pldif_sup_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_pldif_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_pcs_rx_block_sel" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_pcs_rx_block_sel</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_pcs_rx_block_sel</ipxact:displayName> + <ipxact:value>teng</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_pcs_rx_clk_sel" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_pcs_rx_clk_sel</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_pcs_rx_clk_sel</ipxact:displayName> + <ipxact:value>pld_rx_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_pcs_rx_hip_clk_en" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_pcs_rx_hip_clk_en</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_pcs_rx_hip_clk_en</ipxact:displayName> + <ipxact:value>hip_rx_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_pcs_rx_output_sel" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_pcs_rx_output_sel</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_pcs_rx_output_sel</ipxact:displayName> + <ipxact:value>teng_output</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_silicon_rev" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_pcs_rx_clk_out_sel" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_pcs_rx_clk_out_sel</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_pcs_rx_clk_out_sel</ipxact:displayName> + <ipxact:value>teng_clk_out</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_reconfig_settings" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_reconfig_settings</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_reconfig_settings</ipxact:displayName> + <ipxact:value>{}</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pld_pcs_interface_dft_clk_out_en" type="string"> + <ipxact:name>hssi_common_pld_pcs_interface_dft_clk_out_en</ipxact:name> + <ipxact:displayName>hssi_common_pld_pcs_interface_dft_clk_out_en</ipxact:displayName> + <ipxact:value>dft_clk_out_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pld_pcs_interface_dft_clk_out_sel" type="string"> + <ipxact:name>hssi_common_pld_pcs_interface_dft_clk_out_sel</ipxact:name> + <ipxact:displayName>hssi_common_pld_pcs_interface_dft_clk_out_sel</ipxact:displayName> + <ipxact:value>teng_rx_dft_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pld_pcs_interface_hrdrstctrl_en" type="string"> + <ipxact:name>hssi_common_pld_pcs_interface_hrdrstctrl_en</ipxact:name> + <ipxact:displayName>hssi_common_pld_pcs_interface_hrdrstctrl_en</ipxact:displayName> + <ipxact:value>hrst_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pld_pcs_interface_pcs_testbus_block_sel" type="string"> + <ipxact:name>hssi_common_pld_pcs_interface_pcs_testbus_block_sel</ipxact:name> + <ipxact:displayName>hssi_common_pld_pcs_interface_pcs_testbus_block_sel</ipxact:displayName> + <ipxact:value>pma_if</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pld_pcs_interface_silicon_rev" type="string"> + <ipxact:name>hssi_common_pld_pcs_interface_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_common_pld_pcs_interface_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pld_pcs_interface_reconfig_settings" type="string"> + <ipxact:name>hssi_common_pld_pcs_interface_reconfig_settings</ipxact:name> + <ipxact:displayName>hssi_common_pld_pcs_interface_reconfig_settings</ipxact:displayName> + <ipxact:value>{}</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_block_sel" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_block_sel</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_block_sel</ipxact:displayName> + <ipxact:value>ten_g_pcs</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_channel_operation_mode" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_channel_operation_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_channel_operation_mode</ipxact:displayName> + <ipxact:value>tx_rx_pair_enabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_clkslip_sel" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_clkslip_sel</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_clkslip_sel</ipxact:displayName> + <ipxact:value>pld</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_lpbk_en" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_lpbk_en</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_lpbk_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_master_clk_sel" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_master_clk_sel</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_master_clk_sel</ipxact:displayName> + <ipxact:value>master_rx_pma_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_pldif_datawidth_mode" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_pldif_datawidth_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_pldif_datawidth_mode</ipxact:displayName> + <ipxact:value>pldif_data_10bit</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_pma_dw_rx" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_pma_dw_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_pma_dw_rx</ipxact:displayName> + <ipxact:value>pma_32b_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_pma_if_dft_en" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_pma_if_dft_en</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_pma_if_dft_en</ipxact:displayName> + <ipxact:value>dft_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_pma_if_dft_val" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_pma_if_dft_val</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_pma_if_dft_val</ipxact:displayName> + <ipxact:value>dft_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_prbs_clken" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_prbs_clken</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_prbs_clken</ipxact:displayName> + <ipxact:value>prbs_clk_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_prbs_ver" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_prbs_ver</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_prbs_ver</ipxact:displayName> + <ipxact:value>prbs_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_prbs9_dwidth" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_prbs9_dwidth</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_prbs9_dwidth</ipxact:displayName> + <ipxact:value>prbs9_64b</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_prot_mode_rx" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_prot_mode_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_prot_mode_rx</ipxact:displayName> + <ipxact:value>teng_krfec_mode_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_rx_dyn_polarity_inversion" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_rx_dyn_polarity_inversion</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_rx_dyn_polarity_inversion</ipxact:displayName> + <ipxact:value>rx_dyn_polinv_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_rx_lpbk_en" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_rx_lpbk_en</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_rx_lpbk_en</ipxact:displayName> + <ipxact:value>lpbk_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_rx_prbs_force_signal_ok" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_rx_prbs_force_signal_ok</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_rx_prbs_force_signal_ok</ipxact:displayName> + <ipxact:value>force_sig_ok</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_rx_prbs_mask" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_rx_prbs_mask</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_rx_prbs_mask</ipxact:displayName> + <ipxact:value>prbsmask128</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_rx_prbs_mode" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_rx_prbs_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_rx_prbs_mode</ipxact:displayName> + <ipxact:value>teng_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_rx_signalok_signaldet_sel" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_rx_signalok_signaldet_sel</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_rx_signalok_signaldet_sel</ipxact:displayName> + <ipxact:value>sel_sig_det</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_rx_static_polarity_inversion" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_rx_static_polarity_inversion</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_rx_static_polarity_inversion</ipxact:displayName> + <ipxact:value>rx_stat_polinv_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_rx_uhsif_lpbk_en" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_rx_uhsif_lpbk_en</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_rx_uhsif_lpbk_en</ipxact:displayName> + <ipxact:value>uhsif_lpbk_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_sup_mode" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_sup_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_silicon_rev" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_reconfig_settings" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_reconfig_settings</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_reconfig_settings</ipxact:displayName> + <ipxact:value>{}</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_bypass_pma_txelecidle" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_bypass_pma_txelecidle</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_bypass_pma_txelecidle</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_channel_operation_mode" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_channel_operation_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_channel_operation_mode</ipxact:displayName> + <ipxact:value>tx_rx_pair_enabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_lpbk_en" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_lpbk_en</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_lpbk_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_master_clk_sel" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_master_clk_sel</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_master_clk_sel</ipxact:displayName> + <ipxact:value>master_tx_pma_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_pcie_sub_prot_mode_tx" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_pcie_sub_prot_mode_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_pcie_sub_prot_mode_tx</ipxact:displayName> + <ipxact:value>other_prot_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_pldif_datawidth_mode" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_pldif_datawidth_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_pldif_datawidth_mode</ipxact:displayName> + <ipxact:value>pldif_data_10bit</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_pma_dw_tx" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_pma_dw_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_pma_dw_tx</ipxact:displayName> + <ipxact:value>pma_32b_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_pma_if_dft_en" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_pma_if_dft_en</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_pma_if_dft_en</ipxact:displayName> + <ipxact:value>dft_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_pmagate_en" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_pmagate_en</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_pmagate_en</ipxact:displayName> + <ipxact:value>pmagate_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_prbs_clken" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_prbs_clken</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_prbs_clken</ipxact:displayName> + <ipxact:value>prbs_clk_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_prbs_gen_pat" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_prbs_gen_pat</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_prbs_gen_pat</ipxact:displayName> + <ipxact:value>prbs_gen_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_prbs9_dwidth" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_prbs9_dwidth</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_prbs9_dwidth</ipxact:displayName> + <ipxact:value>prbs9_64b</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_prot_mode_tx" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_prot_mode_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_prot_mode_tx</ipxact:displayName> + <ipxact:value>teng_krfec_mode_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_sq_wave_num" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_sq_wave_num</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_sq_wave_num</ipxact:displayName> + <ipxact:value>sq_wave_default</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_sqwgen_clken" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_sqwgen_clken</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_sqwgen_clken</ipxact:displayName> + <ipxact:value>sqwgen_clk_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_sup_mode" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_sup_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_tx_dyn_polarity_inversion" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_tx_dyn_polarity_inversion</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_tx_dyn_polarity_inversion</ipxact:displayName> + <ipxact:value>tx_dyn_polinv_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_tx_pma_data_sel" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_tx_pma_data_sel</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_tx_pma_data_sel</ipxact:displayName> + <ipxact:value>ten_g_pcs</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_tx_static_polarity_inversion" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_tx_static_polarity_inversion</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_tx_static_polarity_inversion</ipxact:displayName> + <ipxact:value>tx_stat_polinv_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_cnt_step_filt_before_lock" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_cnt_step_filt_before_lock</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_cnt_step_filt_before_lock</ipxact:displayName> + <ipxact:value>uhsif_filt_stepsz_b4lock_2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_cnt_thresh_filt_after_lock_value" type="int"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_cnt_thresh_filt_after_lock_value</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_cnt_thresh_filt_after_lock_value</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_cnt_thresh_filt_before_lock" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_cnt_thresh_filt_before_lock</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_cnt_thresh_filt_before_lock</ipxact:displayName> + <ipxact:value>uhsif_filt_cntthr_b4lock_8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_dcn_test_update_period" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_dcn_test_update_period</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_dcn_test_update_period</ipxact:displayName> + <ipxact:value>uhsif_dcn_test_period_4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_dcn_testmode_enable" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_dcn_testmode_enable</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_dcn_testmode_enable</ipxact:displayName> + <ipxact:value>uhsif_dcn_test_mode_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_dead_zone_count_thresh" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_dead_zone_count_thresh</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_dead_zone_count_thresh</ipxact:displayName> + <ipxact:value>uhsif_dzt_cnt_thr_2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_dead_zone_detection_enable" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_dead_zone_detection_enable</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_dead_zone_detection_enable</ipxact:displayName> + <ipxact:value>uhsif_dzt_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_dead_zone_obser_window" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_dead_zone_obser_window</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_dead_zone_obser_window</ipxact:displayName> + <ipxact:value>uhsif_dzt_obr_win_16</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_dead_zone_skip_size" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_dead_zone_skip_size</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_dead_zone_skip_size</ipxact:displayName> + <ipxact:value>uhsif_dzt_skipsz_4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_delay_cell_index_sel" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_delay_cell_index_sel</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_delay_cell_index_sel</ipxact:displayName> + <ipxact:value>uhsif_index_cram</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_delay_cell_margin" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_delay_cell_margin</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_delay_cell_margin</ipxact:displayName> + <ipxact:value>uhsif_dcn_margin_2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_delay_cell_static_index_value" type="int"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_delay_cell_static_index_value</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_delay_cell_static_index_value</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_dft_dead_zone_control" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_dft_dead_zone_control</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_dft_dead_zone_control</ipxact:displayName> + <ipxact:value>uhsif_dft_dz_det_val_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_dft_up_filt_control" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_dft_up_filt_control</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_dft_up_filt_control</ipxact:displayName> + <ipxact:value>uhsif_dft_up_val_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_enable" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_enable</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_enable</ipxact:displayName> + <ipxact:value>uhsif_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_lock_det_segsz_after_lock" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_lock_det_segsz_after_lock</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_lock_det_segsz_after_lock</ipxact:displayName> + <ipxact:value>uhsif_lkd_segsz_aflock_512</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_lock_det_segsz_before_lock" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_lock_det_segsz_before_lock</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_lock_det_segsz_before_lock</ipxact:displayName> + <ipxact:value>uhsif_lkd_segsz_b4lock_16</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_lock_det_thresh_cnt_after_lock_value" type="int"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_lock_det_thresh_cnt_after_lock_value</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_lock_det_thresh_cnt_after_lock_value</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_lock_det_thresh_cnt_before_lock_value" type="int"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_lock_det_thresh_cnt_before_lock_value</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_lock_det_thresh_cnt_before_lock_value</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_lock_det_thresh_diff_after_lock_value" type="int"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_lock_det_thresh_diff_after_lock_value</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_lock_det_thresh_diff_after_lock_value</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_lock_det_thresh_diff_before_lock_value" type="int"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_lock_det_thresh_diff_before_lock_value</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_lock_det_thresh_diff_before_lock_value</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_silicon_rev" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_reconfig_settings" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_reconfig_settings</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_reconfig_settings</ipxact:displayName> + <ipxact:value>{}</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_asn_clk_enable" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_asn_clk_enable</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_asn_clk_enable</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_asn_enable" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_asn_enable</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_asn_enable</ipxact:displayName> + <ipxact:value>dis_asn</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_block_sel" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_block_sel</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_block_sel</ipxact:displayName> + <ipxact:value>eight_g_pcs</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_bypass_early_eios" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_bypass_early_eios</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_bypass_early_eios</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_bypass_pcie_switch" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_bypass_pcie_switch</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_bypass_pcie_switch</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_bypass_pma_ltr" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_bypass_pma_ltr</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_bypass_pma_ltr</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_bypass_pma_sw_done" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_bypass_pma_sw_done</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_bypass_pma_sw_done</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_bypass_ppm_lock" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_bypass_ppm_lock</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_bypass_ppm_lock</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_bypass_send_syncp_fbkp" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_bypass_send_syncp_fbkp</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_bypass_send_syncp_fbkp</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_bypass_txdetectrx" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_bypass_txdetectrx</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_bypass_txdetectrx</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_cdr_control" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_cdr_control</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_cdr_control</ipxact:displayName> + <ipxact:value>dis_cdr_ctrl</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_cid_enable" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_cid_enable</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_cid_enable</ipxact:displayName> + <ipxact:value>dis_cid_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_cp_cons_sel" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_cp_cons_sel</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_cp_cons_sel</ipxact:displayName> + <ipxact:value>cp_cons_master</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_cp_dwn_mstr" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_cp_dwn_mstr</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_cp_dwn_mstr</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_cp_up_mstr" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_cp_up_mstr</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_cp_up_mstr</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_ctrl_plane_bonding" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_ctrl_plane_bonding</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_ctrl_plane_bonding</ipxact:displayName> + <ipxact:value>individual</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_data_mask_count" type="int"> + <ipxact:name>hssi_common_pcs_pma_interface_data_mask_count</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_data_mask_count</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_data_mask_count_multi" type="int"> + <ipxact:name>hssi_common_pcs_pma_interface_data_mask_count_multi</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_data_mask_count_multi</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_dft_observation_clock_selection" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_dft_observation_clock_selection</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_dft_observation_clock_selection</ipxact:displayName> + <ipxact:value>dft_clk_obsrv_tx0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_early_eios_counter" type="int"> + <ipxact:name>hssi_common_pcs_pma_interface_early_eios_counter</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_early_eios_counter</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_force_freqdet" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_force_freqdet</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_force_freqdet</ipxact:displayName> + <ipxact:value>force_freqdet_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_free_run_clk_enable" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_free_run_clk_enable</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_free_run_clk_enable</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_ignore_sigdet_g23" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_ignore_sigdet_g23</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_ignore_sigdet_g23</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_pc_en_counter" type="int"> + <ipxact:name>hssi_common_pcs_pma_interface_pc_en_counter</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_pc_en_counter</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_pc_rst_counter" type="int"> + <ipxact:name>hssi_common_pcs_pma_interface_pc_rst_counter</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_pc_rst_counter</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_pcie_hip_mode" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_pcie_hip_mode</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_pcie_hip_mode</ipxact:displayName> + <ipxact:value>hip_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_ph_fifo_reg_mode" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_ph_fifo_reg_mode</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_ph_fifo_reg_mode</ipxact:displayName> + <ipxact:value>phfifo_reg_mode_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_phfifo_flush_wait" type="int"> + <ipxact:name>hssi_common_pcs_pma_interface_phfifo_flush_wait</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_phfifo_flush_wait</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_pipe_if_g3pcs" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_pipe_if_g3pcs</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_pipe_if_g3pcs</ipxact:displayName> + <ipxact:value>pipe_if_8gpcs</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_pma_done_counter" type="int"> + <ipxact:name>hssi_common_pcs_pma_interface_pma_done_counter</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_pma_done_counter</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_pma_if_dft_en" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_pma_if_dft_en</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_pma_if_dft_en</ipxact:displayName> + <ipxact:value>dft_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_pma_if_dft_val" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_pma_if_dft_val</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_pma_if_dft_val</ipxact:displayName> + <ipxact:value>dft_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_ppm_cnt_rst" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_ppm_cnt_rst</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_ppm_cnt_rst</ipxact:displayName> + <ipxact:value>ppm_cnt_rst_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_ppm_deassert_early" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_ppm_deassert_early</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_ppm_deassert_early</ipxact:displayName> + <ipxact:value>deassert_early_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_ppm_gen1_2_cnt" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_ppm_gen1_2_cnt</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_ppm_gen1_2_cnt</ipxact:displayName> + <ipxact:value>cnt_32k</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_ppm_post_eidle_delay" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_ppm_post_eidle_delay</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_ppm_post_eidle_delay</ipxact:displayName> + <ipxact:value>cnt_200_cycles</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_ppmsel" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_ppmsel</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_ppmsel</ipxact:displayName> + <ipxact:value>ppmsel_1000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_prot_mode" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_prot_mode</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_prot_mode</ipxact:displayName> + <ipxact:value>other_protocols</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_rxvalid_mask" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_rxvalid_mask</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_rxvalid_mask</ipxact:displayName> + <ipxact:value>rxvalid_mask_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_sigdet_wait_counter" type="int"> + <ipxact:name>hssi_common_pcs_pma_interface_sigdet_wait_counter</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_sigdet_wait_counter</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_sigdet_wait_counter_multi" type="int"> + <ipxact:name>hssi_common_pcs_pma_interface_sigdet_wait_counter_multi</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_sigdet_wait_counter_multi</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_sim_mode" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_sim_mode</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_sim_mode</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_spd_chg_rst_wait_cnt_en" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_spd_chg_rst_wait_cnt_en</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_spd_chg_rst_wait_cnt_en</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_sup_mode" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_sup_mode</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_testout_sel" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_testout_sel</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_testout_sel</ipxact:displayName> + <ipxact:value>asn_test</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_wait_clk_on_off_timer" type="int"> + <ipxact:name>hssi_common_pcs_pma_interface_wait_clk_on_off_timer</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_wait_clk_on_off_timer</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_wait_pipe_synchronizing" type="int"> + <ipxact:name>hssi_common_pcs_pma_interface_wait_pipe_synchronizing</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_wait_pipe_synchronizing</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_wait_send_syncp_fbkp" type="int"> + <ipxact:name>hssi_common_pcs_pma_interface_wait_send_syncp_fbkp</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_wait_send_syncp_fbkp</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_silicon_rev" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_ppm_det_buckets" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_ppm_det_buckets</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_ppm_det_buckets</ipxact:displayName> + <ipxact:value>ppm_100_bucket</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_reconfig_settings" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_reconfig_settings</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_reconfig_settings</ipxact:displayName> + <ipxact:value>{}</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_fifo_rx_pcs_double_read_mode" type="string"> + <ipxact:name>hssi_fifo_rx_pcs_double_read_mode</ipxact:name> + <ipxact:displayName>hssi_fifo_rx_pcs_double_read_mode</ipxact:displayName> + <ipxact:value>double_read_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_fifo_rx_pcs_prot_mode" type="string"> + <ipxact:name>hssi_fifo_rx_pcs_prot_mode</ipxact:name> + <ipxact:displayName>hssi_fifo_rx_pcs_prot_mode</ipxact:displayName> + <ipxact:value>teng_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_fifo_rx_pcs_silicon_rev" type="string"> + <ipxact:name>hssi_fifo_rx_pcs_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_fifo_rx_pcs_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_fifo_tx_pcs_double_write_mode" type="string"> + <ipxact:name>hssi_fifo_tx_pcs_double_write_mode</ipxact:name> + <ipxact:displayName>hssi_fifo_tx_pcs_double_write_mode</ipxact:displayName> + <ipxact:value>double_write_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_fifo_tx_pcs_prot_mode" type="string"> + <ipxact:name>hssi_fifo_tx_pcs_prot_mode</ipxact:name> + <ipxact:displayName>hssi_fifo_tx_pcs_prot_mode</ipxact:displayName> + <ipxact:value>teng_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_fifo_tx_pcs_silicon_rev" type="string"> + <ipxact:name>hssi_fifo_tx_pcs_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_fifo_tx_pcs_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen3_bypass_rx_detection_enable" type="string"> + <ipxact:name>hssi_pipe_gen3_bypass_rx_detection_enable</ipxact:name> + <ipxact:displayName>hssi_pipe_gen3_bypass_rx_detection_enable</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen3_bypass_rx_preset" type="int"> + <ipxact:name>hssi_pipe_gen3_bypass_rx_preset</ipxact:name> + <ipxact:displayName>hssi_pipe_gen3_bypass_rx_preset</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen3_bypass_rx_preset_enable" type="string"> + <ipxact:name>hssi_pipe_gen3_bypass_rx_preset_enable</ipxact:name> + <ipxact:displayName>hssi_pipe_gen3_bypass_rx_preset_enable</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen3_bypass_tx_coefficent" type="int"> + <ipxact:name>hssi_pipe_gen3_bypass_tx_coefficent</ipxact:name> + <ipxact:displayName>hssi_pipe_gen3_bypass_tx_coefficent</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen3_bypass_tx_coefficent_enable" type="string"> + <ipxact:name>hssi_pipe_gen3_bypass_tx_coefficent_enable</ipxact:name> + <ipxact:displayName>hssi_pipe_gen3_bypass_tx_coefficent_enable</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen3_elecidle_delay_g3" type="int"> + <ipxact:name>hssi_pipe_gen3_elecidle_delay_g3</ipxact:name> + <ipxact:displayName>hssi_pipe_gen3_elecidle_delay_g3</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen3_ind_error_reporting" type="string"> + <ipxact:name>hssi_pipe_gen3_ind_error_reporting</ipxact:name> + <ipxact:displayName>hssi_pipe_gen3_ind_error_reporting</ipxact:displayName> + <ipxact:value>dis_ind_error_reporting</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen3_mode" type="string"> + <ipxact:name>hssi_pipe_gen3_mode</ipxact:name> + <ipxact:displayName>hssi_pipe_gen3_mode</ipxact:displayName> + <ipxact:value>disable_pcs</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen3_phy_status_delay_g12" type="int"> + <ipxact:name>hssi_pipe_gen3_phy_status_delay_g12</ipxact:name> + <ipxact:displayName>hssi_pipe_gen3_phy_status_delay_g12</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen3_phy_status_delay_g3" type="int"> + <ipxact:name>hssi_pipe_gen3_phy_status_delay_g3</ipxact:name> + <ipxact:displayName>hssi_pipe_gen3_phy_status_delay_g3</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen3_phystatus_rst_toggle_g12" type="string"> + <ipxact:name>hssi_pipe_gen3_phystatus_rst_toggle_g12</ipxact:name> + <ipxact:displayName>hssi_pipe_gen3_phystatus_rst_toggle_g12</ipxact:displayName> + <ipxact:value>dis_phystatus_rst_toggle</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen3_phystatus_rst_toggle_g3" type="string"> + <ipxact:name>hssi_pipe_gen3_phystatus_rst_toggle_g3</ipxact:name> + <ipxact:displayName>hssi_pipe_gen3_phystatus_rst_toggle_g3</ipxact:displayName> + <ipxact:value>dis_phystatus_rst_toggle_g3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen3_rate_match_pad_insertion" type="string"> + <ipxact:name>hssi_pipe_gen3_rate_match_pad_insertion</ipxact:name> + <ipxact:displayName>hssi_pipe_gen3_rate_match_pad_insertion</ipxact:displayName> + <ipxact:value>dis_rm_fifo_pad_ins</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen3_sup_mode" type="string"> + <ipxact:name>hssi_pipe_gen3_sup_mode</ipxact:name> + <ipxact:displayName>hssi_pipe_gen3_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen3_test_out_sel" type="string"> + <ipxact:name>hssi_pipe_gen3_test_out_sel</ipxact:name> + <ipxact:displayName>hssi_pipe_gen3_test_out_sel</ipxact:displayName> + <ipxact:value>disable_test_out</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen3_silicon_rev" type="string"> + <ipxact:name>hssi_pipe_gen3_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_pipe_gen3_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_elec_idle_delay_val" type="int"> + <ipxact:name>hssi_pipe_gen1_2_elec_idle_delay_val</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_elec_idle_delay_val</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_error_replace_pad" type="string"> + <ipxact:name>hssi_pipe_gen1_2_error_replace_pad</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_error_replace_pad</ipxact:displayName> + <ipxact:value>replace_edb</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_hip_mode" type="string"> + <ipxact:name>hssi_pipe_gen1_2_hip_mode</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_hip_mode</ipxact:displayName> + <ipxact:value>dis_hip</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_ind_error_reporting" type="string"> + <ipxact:name>hssi_pipe_gen1_2_ind_error_reporting</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_ind_error_reporting</ipxact:displayName> + <ipxact:value>dis_ind_error_reporting</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_phystatus_delay_val" type="int"> + <ipxact:name>hssi_pipe_gen1_2_phystatus_delay_val</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_phystatus_delay_val</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_phystatus_rst_toggle" type="string"> + <ipxact:name>hssi_pipe_gen1_2_phystatus_rst_toggle</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_phystatus_rst_toggle</ipxact:displayName> + <ipxact:value>dis_phystatus_rst_toggle</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_pipe_byte_de_serializer_en" type="string"> + <ipxact:name>hssi_pipe_gen1_2_pipe_byte_de_serializer_en</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_pipe_byte_de_serializer_en</ipxact:displayName> + <ipxact:value>dont_care_bds</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_prot_mode" type="string"> + <ipxact:name>hssi_pipe_gen1_2_prot_mode</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_prot_mode</ipxact:displayName> + <ipxact:value>disabled_prot_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_rpre_emph_a_val" type="int"> + <ipxact:name>hssi_pipe_gen1_2_rpre_emph_a_val</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_rpre_emph_a_val</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_rpre_emph_b_val" type="int"> + <ipxact:name>hssi_pipe_gen1_2_rpre_emph_b_val</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_rpre_emph_b_val</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_rpre_emph_c_val" type="int"> + <ipxact:name>hssi_pipe_gen1_2_rpre_emph_c_val</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_rpre_emph_c_val</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_rpre_emph_d_val" type="int"> + <ipxact:name>hssi_pipe_gen1_2_rpre_emph_d_val</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_rpre_emph_d_val</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_rpre_emph_e_val" type="int"> + <ipxact:name>hssi_pipe_gen1_2_rpre_emph_e_val</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_rpre_emph_e_val</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_rvod_sel_a_val" type="int"> + <ipxact:name>hssi_pipe_gen1_2_rvod_sel_a_val</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_rvod_sel_a_val</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_rvod_sel_b_val" type="int"> + <ipxact:name>hssi_pipe_gen1_2_rvod_sel_b_val</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_rvod_sel_b_val</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_rvod_sel_c_val" type="int"> + <ipxact:name>hssi_pipe_gen1_2_rvod_sel_c_val</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_rvod_sel_c_val</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_rvod_sel_d_val" type="int"> + <ipxact:name>hssi_pipe_gen1_2_rvod_sel_d_val</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_rvod_sel_d_val</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_rvod_sel_e_val" type="int"> + <ipxact:name>hssi_pipe_gen1_2_rvod_sel_e_val</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_rvod_sel_e_val</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_rx_pipe_enable" type="string"> + <ipxact:name>hssi_pipe_gen1_2_rx_pipe_enable</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_rx_pipe_enable</ipxact:displayName> + <ipxact:value>dis_pipe_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_rxdetect_bypass" type="string"> + <ipxact:name>hssi_pipe_gen1_2_rxdetect_bypass</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_rxdetect_bypass</ipxact:displayName> + <ipxact:value>dis_rxdetect_bypass</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_sup_mode" type="string"> + <ipxact:name>hssi_pipe_gen1_2_sup_mode</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_tx_pipe_enable" type="string"> + <ipxact:name>hssi_pipe_gen1_2_tx_pipe_enable</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_tx_pipe_enable</ipxact:displayName> + <ipxact:value>dis_pipe_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_txswing" type="string"> + <ipxact:name>hssi_pipe_gen1_2_txswing</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_txswing</ipxact:displayName> + <ipxact:value>dis_txswing</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_silicon_rev" type="string"> + <ipxact:name>hssi_pipe_gen1_2_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_reconfig_settings" type="string"> + <ipxact:name>hssi_pipe_gen1_2_reconfig_settings</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_reconfig_settings</ipxact:displayName> + <ipxact:value>{}</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_silicon_rev" type="string"> + <ipxact:name>pma_adapt_silicon_rev</ipxact:name> + <ipxact:displayName>pma_adapt_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_1s_ctle_bypass" type="string"> + <ipxact:name>pma_adapt_adp_1s_ctle_bypass</ipxact:name> + <ipxact:displayName>pma_adapt_adp_1s_ctle_bypass</ipxact:displayName> + <ipxact:value>radp_1s_ctle_bypass_1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_4s_ctle_bypass" type="string"> + <ipxact:name>pma_adapt_adp_4s_ctle_bypass</ipxact:name> + <ipxact:displayName>pma_adapt_adp_4s_ctle_bypass</ipxact:displayName> + <ipxact:value>radp_4s_ctle_bypass_1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_ctle_acgain_4s" type="string"> + <ipxact:name>pma_adapt_adp_ctle_acgain_4s</ipxact:name> + <ipxact:displayName>pma_adapt_adp_ctle_acgain_4s</ipxact:displayName> + <ipxact:value>radp_ctle_acgain_4s_1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_ctle_en" type="string"> + <ipxact:name>pma_adapt_adp_ctle_en</ipxact:name> + <ipxact:displayName>pma_adapt_adp_ctle_en</ipxact:displayName> + <ipxact:value>radp_ctle_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_ctle_hold_en" type="string"> + <ipxact:name>pma_adapt_adp_ctle_hold_en</ipxact:name> + <ipxact:displayName>pma_adapt_adp_ctle_hold_en</ipxact:displayName> + <ipxact:value>radp_ctle_not_held</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_ctle_scale" type="string"> + <ipxact:name>pma_adapt_adp_ctle_scale</ipxact:name> + <ipxact:displayName>pma_adapt_adp_ctle_scale</ipxact:displayName> + <ipxact:value>radp_ctle_scale_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_bw" type="string"> + <ipxact:name>pma_adapt_adp_dfe_bw</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_bw</ipxact:displayName> + <ipxact:value>radp_dfe_bw_3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_cycle" type="string"> + <ipxact:name>pma_adapt_adp_dfe_cycle</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_cycle</ipxact:displayName> + <ipxact:value>radp_dfe_cycle_6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fltap_bypass" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fltap_bypass</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fltap_bypass</ipxact:displayName> + <ipxact:value>radp_dfe_fltap_bypass_1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fltap_en" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fltap_en</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fltap_en</ipxact:displayName> + <ipxact:value>radp_dfe_fltap_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fltap_hold_en" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fltap_hold_en</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fltap_hold_en</ipxact:displayName> + <ipxact:value>radp_dfe_fltap_not_held</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fltap_load" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fltap_load</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fltap_load</ipxact:displayName> + <ipxact:value>radp_dfe_fltap_load_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fltap_position" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fltap_position</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fltap_position</ipxact:displayName> + <ipxact:value>radp_dfe_fltap_position_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap8" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap8</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap8</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap8_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap8_sgn" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap8_sgn</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap8_sgn</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap8_sgn_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap9" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap9</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap9</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap9_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap9_sgn" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap9_sgn</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap9_sgn</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap9_sgn_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap10" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap10</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap10</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap10_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap10_sgn" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap10_sgn</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap10_sgn</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap10_sgn_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap11" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap11</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap11</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap11_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap11_sgn" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap11_sgn</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap11_sgn</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap11_sgn_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap_bypass" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap_bypass</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap_bypass</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap_bypass_1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap_en" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap_en</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap_en</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap_hold_en" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap_hold_en</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap_hold_en</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap_not_held</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap_load" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap_load</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap_load</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap_load_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap1" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap1</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap1</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap1_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap2" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap2</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap2</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap2_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap2_sgn" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap2_sgn</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap2_sgn</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap2_sgn_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap3" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap3</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap3</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap3_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap3_sgn" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap3_sgn</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap3_sgn</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap3_sgn_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap4" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap4</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap4</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap4_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap4_sgn" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap4_sgn</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap4_sgn</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap4_sgn_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap5" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap5</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap5</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap5_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap5_sgn" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap5_sgn</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap5_sgn</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap5_sgn_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap6" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap6</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap6</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap6_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap6_sgn" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap6_sgn</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap6_sgn</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap6_sgn_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap7" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap7</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap7</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap7_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap7_sgn" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap7_sgn</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap7_sgn</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap7_sgn_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_mode" type="string"> + <ipxact:name>pma_adapt_adp_dfe_mode</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_mode</ipxact:displayName> + <ipxact:value>radp_dfe_mode_4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_vref_polarity" type="string"> + <ipxact:name>pma_adapt_adp_dfe_vref_polarity</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_vref_polarity</ipxact:displayName> + <ipxact:value>radp_dfe_vref_polarity_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_force_freqlock" type="string"> + <ipxact:name>pma_adapt_adp_force_freqlock</ipxact:name> + <ipxact:displayName>pma_adapt_adp_force_freqlock</ipxact:displayName> + <ipxact:value>radp_force_freqlock_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_lfeq_fb_sel" type="string"> + <ipxact:name>pma_adapt_adp_lfeq_fb_sel</ipxact:name> + <ipxact:displayName>pma_adapt_adp_lfeq_fb_sel</ipxact:displayName> + <ipxact:value>radp_lfeq_fb_sel_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_status_sel" type="string"> + <ipxact:name>pma_adapt_adp_status_sel</ipxact:name> + <ipxact:displayName>pma_adapt_adp_status_sel</ipxact:displayName> + <ipxact:value>radp_status_sel_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_vga_bypass" type="string"> + <ipxact:name>pma_adapt_adp_vga_bypass</ipxact:name> + <ipxact:displayName>pma_adapt_adp_vga_bypass</ipxact:displayName> + <ipxact:value>radp_vga_bypass_1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_vga_en" type="string"> + <ipxact:name>pma_adapt_adp_vga_en</ipxact:name> + <ipxact:displayName>pma_adapt_adp_vga_en</ipxact:displayName> + <ipxact:value>radp_vga_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_vga_polarity" type="string"> + <ipxact:name>pma_adapt_adp_vga_polarity</ipxact:name> + <ipxact:displayName>pma_adapt_adp_vga_polarity</ipxact:displayName> + <ipxact:value>radp_vga_polarity_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_vga_sel" type="string"> + <ipxact:name>pma_adapt_adp_vga_sel</ipxact:name> + <ipxact:displayName>pma_adapt_adp_vga_sel</ipxact:displayName> + <ipxact:value>radp_vga_sel_2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_vga_sweep_direction" type="string"> + <ipxact:name>pma_adapt_adp_vga_sweep_direction</ipxact:name> + <ipxact:displayName>pma_adapt_adp_vga_sweep_direction</ipxact:displayName> + <ipxact:value>radp_vga_sweep_direction_1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_vga_threshold" type="string"> + <ipxact:name>pma_adapt_adp_vga_threshold</ipxact:name> + <ipxact:displayName>pma_adapt_adp_vga_threshold</ipxact:displayName> + <ipxact:value>radp_vga_threshold_4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_vref_bw" type="string"> + <ipxact:name>pma_adapt_adp_vref_bw</ipxact:name> + <ipxact:displayName>pma_adapt_adp_vref_bw</ipxact:displayName> + <ipxact:value>radp_vref_bw_1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_vref_bypass" type="string"> + <ipxact:name>pma_adapt_adp_vref_bypass</ipxact:name> + <ipxact:displayName>pma_adapt_adp_vref_bypass</ipxact:displayName> + <ipxact:value>radp_vref_bypass_1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_vref_cycle" type="string"> + <ipxact:name>pma_adapt_adp_vref_cycle</ipxact:name> + <ipxact:displayName>pma_adapt_adp_vref_cycle</ipxact:displayName> + <ipxact:value>radp_vref_cycle_6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_vref_en" type="string"> + <ipxact:name>pma_adapt_adp_vref_en</ipxact:name> + <ipxact:displayName>pma_adapt_adp_vref_en</ipxact:displayName> + <ipxact:value>radp_vref_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_vref_hold_en" type="string"> + <ipxact:name>pma_adapt_adp_vref_hold_en</ipxact:name> + <ipxact:displayName>pma_adapt_adp_vref_hold_en</ipxact:displayName> + <ipxact:value>radp_vref_not_held</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_vref_polarity" type="string"> + <ipxact:name>pma_adapt_adp_vref_polarity</ipxact:name> + <ipxact:displayName>pma_adapt_adp_vref_polarity</ipxact:displayName> + <ipxact:value>radp_vref_polarity_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_vref_sel" type="string"> + <ipxact:name>pma_adapt_adp_vref_sel</ipxact:name> + <ipxact:displayName>pma_adapt_adp_vref_sel</ipxact:displayName> + <ipxact:value>radp_vref_sel_21</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_vref_vga_level" type="string"> + <ipxact:name>pma_adapt_adp_vref_vga_level</ipxact:name> + <ipxact:displayName>pma_adapt_adp_vref_vga_level</ipxact:displayName> + <ipxact:value>radp_vref_vga_level_13</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_datarate" type="string"> + <ipxact:name>pma_adapt_datarate</ipxact:name> + <ipxact:displayName>pma_adapt_datarate</ipxact:displayName> + <ipxact:value>10312500000 bps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_odi_en" type="string"> + <ipxact:name>pma_adapt_odi_en</ipxact:name> + <ipxact:displayName>pma_adapt_odi_en</ipxact:displayName> + <ipxact:value>rodi_en_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_odi_rstn" type="string"> + <ipxact:name>pma_adapt_odi_rstn</ipxact:name> + <ipxact:displayName>pma_adapt_odi_rstn</ipxact:displayName> + <ipxact:value>rodi_rstn_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_odi_spec_sel" type="string"> + <ipxact:name>pma_adapt_odi_spec_sel</ipxact:name> + <ipxact:displayName>pma_adapt_odi_spec_sel</ipxact:displayName> + <ipxact:value>rodi_spec_sel_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_odi_vref_sel" type="string"> + <ipxact:name>pma_adapt_odi_vref_sel</ipxact:name> + <ipxact:displayName>pma_adapt_odi_vref_sel</ipxact:displayName> + <ipxact:value>rodi_vref_sel_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_optimal" type="string"> + <ipxact:name>pma_adapt_optimal</ipxact:name> + <ipxact:displayName>pma_adapt_optimal</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_initial_settings" type="string"> + <ipxact:name>pma_adapt_initial_settings</ipxact:name> + <ipxact:displayName>pma_adapt_initial_settings</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_prot_mode" type="string"> + <ipxact:name>pma_adapt_prot_mode</ipxact:name> + <ipxact:displayName>pma_adapt_prot_mode</ipxact:displayName> + <ipxact:value>basic_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_sup_mode" type="string"> + <ipxact:name>pma_adapt_sup_mode</ipxact:name> + <ipxact:displayName>pma_adapt_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adapt_dfe_control_sel" type="string"> + <ipxact:name>pma_adapt_adapt_dfe_control_sel</ipxact:name> + <ipxact:displayName>pma_adapt_adapt_dfe_control_sel</ipxact:displayName> + <ipxact:value>r_adapt_dfe_control_sel_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_ctle_adapt_bw" type="string"> + <ipxact:name>pma_adapt_adp_ctle_adapt_bw</ipxact:name> + <ipxact:displayName>pma_adapt_adp_ctle_adapt_bw</ipxact:displayName> + <ipxact:value>radp_ctle_adapt_bw_3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_spec_sign" type="string"> + <ipxact:name>pma_adapt_adp_dfe_spec_sign</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_spec_sign</ipxact:displayName> + <ipxact:value>radp_dfe_spec_sign_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_ctle_force_spec_sign" type="string"> + <ipxact:name>pma_adapt_adp_ctle_force_spec_sign</ipxact:name> + <ipxact:displayName>pma_adapt_adp_ctle_force_spec_sign</ipxact:displayName> + <ipxact:value>radp_ctle_force_spec_sign_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_odi_mode" type="string"> + <ipxact:name>pma_adapt_odi_mode</ipxact:name> + <ipxact:displayName>pma_adapt_odi_mode</ipxact:displayName> + <ipxact:value>rodi_mode_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_ctle_threshold" type="string"> + <ipxact:name>pma_adapt_adp_ctle_threshold</ipxact:name> + <ipxact:displayName>pma_adapt_adp_ctle_threshold</ipxact:displayName> + <ipxact:value>radp_ctle_threshold_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_ctle_window" type="string"> + <ipxact:name>pma_adapt_adp_ctle_window</ipxact:name> + <ipxact:displayName>pma_adapt_adp_ctle_window</ipxact:displayName> + <ipxact:value>radp_ctle_window_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_ctle_threshold_en" type="string"> + <ipxact:name>pma_adapt_adp_ctle_threshold_en</ipxact:name> + <ipxact:displayName>pma_adapt_adp_ctle_threshold_en</ipxact:displayName> + <ipxact:value>radp_ctle_threshold_en_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_ctle_spec_sign" type="string"> + <ipxact:name>pma_adapt_adp_ctle_spec_sign</ipxact:name> + <ipxact:displayName>pma_adapt_adp_ctle_spec_sign</ipxact:displayName> + <ipxact:value>radp_ctle_spec_sign_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_odi_control_sel" type="string"> + <ipxact:name>pma_adapt_adp_odi_control_sel</ipxact:name> + <ipxact:displayName>pma_adapt_adp_odi_control_sel</ipxact:displayName> + <ipxact:value>radp_odi_control_sel_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_spec_avg_window" type="string"> + <ipxact:name>pma_adapt_adp_spec_avg_window</ipxact:name> + <ipxact:displayName>pma_adapt_adp_spec_avg_window</ipxact:displayName> + <ipxact:value>radp_spec_avg_window_4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_ctle_adapt_cycle_window" type="string"> + <ipxact:name>pma_adapt_adp_ctle_adapt_cycle_window</ipxact:name> + <ipxact:displayName>pma_adapt_adp_ctle_adapt_cycle_window</ipxact:displayName> + <ipxact:value>radp_ctle_adapt_cycle_window_7</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_odi_dfe_spec_en" type="string"> + <ipxact:name>pma_adapt_odi_dfe_spec_en</ipxact:name> + <ipxact:displayName>pma_adapt_odi_dfe_spec_en</ipxact:displayName> + <ipxact:value>rodi_dfe_spec_en_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_clkout_div_sel" type="string"> + <ipxact:name>pma_adapt_adp_dfe_clkout_div_sel</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_clkout_div_sel</ipxact:displayName> + <ipxact:value>radp_dfe_clkout_div_sel_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_ctle_load_value" type="string"> + <ipxact:name>pma_adapt_adp_ctle_load_value</ipxact:name> + <ipxact:displayName>pma_adapt_adp_ctle_load_value</ipxact:displayName> + <ipxact:value>radp_ctle_load_value_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_rrx_pcie_eqz" type="string"> + <ipxact:name>pma_adapt_rrx_pcie_eqz</ipxact:name> + <ipxact:displayName>pma_adapt_rrx_pcie_eqz</ipxact:displayName> + <ipxact:value>rrx_pcie_eqz_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_bist_mode" type="string"> + <ipxact:name>pma_adapt_adp_bist_mode</ipxact:name> + <ipxact:displayName>pma_adapt_adp_bist_mode</ipxact:displayName> + <ipxact:value>radp_bist_mode_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adapt_dfe_sel" type="string"> + <ipxact:name>pma_adapt_adapt_dfe_sel</ipxact:name> + <ipxact:displayName>pma_adapt_adapt_dfe_sel</ipxact:displayName> + <ipxact:value>r_adapt_dfe_sel_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_spec_trans_filter" type="string"> + <ipxact:name>pma_adapt_adp_spec_trans_filter</ipxact:name> + <ipxact:displayName>pma_adapt_adp_spec_trans_filter</ipxact:displayName> + <ipxact:value>radp_spec_trans_filter_2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_frame_en" type="string"> + <ipxact:name>pma_adapt_adp_frame_en</ipxact:name> + <ipxact:displayName>pma_adapt_adp_frame_en</ipxact:displayName> + <ipxact:value>radp_frame_en_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_odi_count_threshold" type="string"> + <ipxact:name>pma_adapt_odi_count_threshold</ipxact:name> + <ipxact:displayName>pma_adapt_odi_count_threshold</ipxact:displayName> + <ipxact:value>rodi_count_threshold_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_bist_spec_en" type="string"> + <ipxact:name>pma_adapt_adp_bist_spec_en</ipxact:name> + <ipxact:displayName>pma_adapt_adp_bist_spec_en</ipxact:displayName> + <ipxact:value>radp_bist_spec_en_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adapt_mode" type="string"> + <ipxact:name>pma_adapt_adapt_mode</ipxact:name> + <ipxact:displayName>pma_adapt_adapt_mode</ipxact:displayName> + <ipxact:value>manual</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_ctle_adapt_oneshot" type="string"> + <ipxact:name>pma_adapt_adp_ctle_adapt_oneshot</ipxact:name> + <ipxact:displayName>pma_adapt_adp_ctle_adapt_oneshot</ipxact:displayName> + <ipxact:value>radp_ctle_adapt_oneshot_1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_bist_auxpath_en" type="string"> + <ipxact:name>pma_adapt_adp_bist_auxpath_en</ipxact:name> + <ipxact:displayName>pma_adapt_adp_bist_auxpath_en</ipxact:displayName> + <ipxact:value>radp_bist_auxpath_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_frame_out_sel" type="string"> + <ipxact:name>pma_adapt_adp_frame_out_sel</ipxact:name> + <ipxact:displayName>pma_adapt_adp_frame_out_sel</ipxact:displayName> + <ipxact:value>radp_frame_out_sel_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adapt_vga_sel" type="string"> + <ipxact:name>pma_adapt_adapt_vga_sel</ipxact:name> + <ipxact:displayName>pma_adapt_adapt_vga_sel</ipxact:displayName> + <ipxact:value>r_adapt_vga_sel_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_vref_load" type="string"> + <ipxact:name>pma_adapt_adp_vref_load</ipxact:name> + <ipxact:displayName>pma_adapt_adp_vref_load</ipxact:displayName> + <ipxact:value>radp_vref_load_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_ctle_scale_en" type="string"> + <ipxact:name>pma_adapt_adp_ctle_scale_en</ipxact:name> + <ipxact:displayName>pma_adapt_adp_ctle_scale_en</ipxact:displayName> + <ipxact:value>radp_ctle_scale_en_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_onetime_dfe" type="string"> + <ipxact:name>pma_adapt_adp_onetime_dfe</ipxact:name> + <ipxact:displayName>pma_adapt_adp_onetime_dfe</ipxact:displayName> + <ipxact:value>radp_onetime_dfe_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_force_spec_sign" type="string"> + <ipxact:name>pma_adapt_adp_dfe_force_spec_sign</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_force_spec_sign</ipxact:displayName> + <ipxact:value>radp_dfe_force_spec_sign_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_frame_odi_sel" type="string"> + <ipxact:name>pma_adapt_adp_frame_odi_sel</ipxact:name> + <ipxact:displayName>pma_adapt_adp_frame_odi_sel</ipxact:displayName> + <ipxact:value>radp_frame_odi_sel_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_bist_datapath_en" type="string"> + <ipxact:name>pma_adapt_adp_bist_datapath_en</ipxact:name> + <ipxact:displayName>pma_adapt_adp_bist_datapath_en</ipxact:displayName> + <ipxact:value>radp_bist_datapath_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_control_mux_bypass" type="string"> + <ipxact:name>pma_adapt_adp_control_mux_bypass</ipxact:name> + <ipxact:displayName>pma_adapt_adp_control_mux_bypass</ipxact:displayName> + <ipxact:value>radp_control_mux_bypass_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_ctle_vref_polarity" type="string"> + <ipxact:name>pma_adapt_adp_ctle_vref_polarity</ipxact:name> + <ipxact:displayName>pma_adapt_adp_ctle_vref_polarity</ipxact:displayName> + <ipxact:value>radp_ctle_vref_polarity_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_bist_count_rstn" type="string"> + <ipxact:name>pma_adapt_adp_bist_count_rstn</ipxact:name> + <ipxact:displayName>pma_adapt_adp_bist_count_rstn</ipxact:displayName> + <ipxact:value>radp_bist_count_rstn_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_ctle_eqz_1s_sel" type="string"> + <ipxact:name>pma_adapt_adp_ctle_eqz_1s_sel</ipxact:name> + <ipxact:displayName>pma_adapt_adp_ctle_eqz_1s_sel</ipxact:displayName> + <ipxact:value>radp_ctle_eqz_1s_sel_3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_vref_dfe_spec_en" type="string"> + <ipxact:name>pma_adapt_adp_vref_dfe_spec_en</ipxact:name> + <ipxact:displayName>pma_adapt_adp_vref_dfe_spec_en</ipxact:displayName> + <ipxact:value>radp_vref_dfe_spec_en_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_adapt_rstn" type="string"> + <ipxact:name>pma_adapt_adp_adapt_rstn</ipxact:name> + <ipxact:displayName>pma_adapt_adp_adapt_rstn</ipxact:displayName> + <ipxact:value>radp_adapt_rstn_1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_adapt_start" type="string"> + <ipxact:name>pma_adapt_adp_adapt_start</ipxact:name> + <ipxact:displayName>pma_adapt_adp_adapt_start</ipxact:displayName> + <ipxact:value>radp_adapt_start_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_odi_start" type="string"> + <ipxact:name>pma_adapt_odi_start</ipxact:name> + <ipxact:displayName>pma_adapt_odi_start</ipxact:displayName> + <ipxact:value>rodi_start_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_ctle_sweep_direction" type="string"> + <ipxact:name>pma_adapt_adp_ctle_sweep_direction</ipxact:name> + <ipxact:displayName>pma_adapt_adp_ctle_sweep_direction</ipxact:displayName> + <ipxact:value>radp_ctle_sweep_direction_1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_vga_load" type="string"> + <ipxact:name>pma_adapt_adp_vga_load</ipxact:name> + <ipxact:displayName>pma_adapt_adp_vga_load</ipxact:displayName> + <ipxact:value>radp_vga_load_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_frame_capture" type="string"> + <ipxact:name>pma_adapt_adp_frame_capture</ipxact:name> + <ipxact:displayName>pma_adapt_adp_frame_capture</ipxact:displayName> + <ipxact:value>radp_frame_capture_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_adapt_control_sel" type="string"> + <ipxact:name>pma_adapt_adp_adapt_control_sel</ipxact:name> + <ipxact:displayName>pma_adapt_adp_adapt_control_sel</ipxact:displayName> + <ipxact:value>radp_adapt_control_sel_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_bist_odi_dfe_sel" type="string"> + <ipxact:name>pma_adapt_adp_bist_odi_dfe_sel</ipxact:name> + <ipxact:displayName>pma_adapt_adp_bist_odi_dfe_sel</ipxact:displayName> + <ipxact:value>radp_bist_odi_dfe_sel_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adapt_vref_sel" type="string"> + <ipxact:name>pma_adapt_adapt_vref_sel</ipxact:name> + <ipxact:displayName>pma_adapt_adapt_vref_sel</ipxact:displayName> + <ipxact:value>r_adapt_vref_sel_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_mode" type="string"> + <ipxact:name>pma_adapt_adp_mode</ipxact:name> + <ipxact:displayName>pma_adapt_adp_mode</ipxact:displayName> + <ipxact:value>radp_mode_8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_ctle_load" type="string"> + <ipxact:name>pma_adapt_adp_ctle_load</ipxact:name> + <ipxact:displayName>pma_adapt_adp_ctle_load</ipxact:displayName> + <ipxact:value>radp_ctle_load_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_cdr_clkin_scratch0_src" type="string"> + <ipxact:name>pma_cdr_refclk_cdr_clkin_scratch0_src</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_cdr_clkin_scratch0_src</ipxact:displayName> + <ipxact:value>cdr_clkin_scratch0_src_refclk_iqclk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_cdr_clkin_scratch1_src" type="string"> + <ipxact:name>pma_cdr_refclk_cdr_clkin_scratch1_src</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_cdr_clkin_scratch1_src</ipxact:displayName> + <ipxact:value>cdr_clkin_scratch1_src_refclk_iqclk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_cdr_clkin_scratch2_src" type="string"> + <ipxact:name>pma_cdr_refclk_cdr_clkin_scratch2_src</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_cdr_clkin_scratch2_src</ipxact:displayName> + <ipxact:value>cdr_clkin_scratch2_src_refclk_iqclk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_cdr_clkin_scratch3_src" type="string"> + <ipxact:name>pma_cdr_refclk_cdr_clkin_scratch3_src</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_cdr_clkin_scratch3_src</ipxact:displayName> + <ipxact:value>cdr_clkin_scratch3_src_refclk_iqclk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_cdr_clkin_scratch4_src" type="string"> + <ipxact:name>pma_cdr_refclk_cdr_clkin_scratch4_src</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_cdr_clkin_scratch4_src</ipxact:displayName> + <ipxact:value>cdr_clkin_scratch4_src_refclk_iqclk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_powerdown_mode" type="string"> + <ipxact:name>pma_cdr_refclk_powerdown_mode</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_powerdown_mode</ipxact:displayName> + <ipxact:value>powerup</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_receiver_detect_src" type="string"> + <ipxact:name>pma_cdr_refclk_receiver_detect_src</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_receiver_detect_src</ipxact:displayName> + <ipxact:value>iqclk_src</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_xmux_refclk_src" type="string"> + <ipxact:name>pma_cdr_refclk_xmux_refclk_src</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_xmux_refclk_src</ipxact:displayName> + <ipxact:value>refclk_iqclk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_xpm_iqref_mux_iqclk_sel" type="string"> + <ipxact:name>pma_cdr_refclk_xpm_iqref_mux_iqclk_sel</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_xpm_iqref_mux_iqclk_sel</ipxact:displayName> + <ipxact:value>power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_xpm_iqref_mux_scratch0_src" type="string"> + <ipxact:name>pma_cdr_refclk_xpm_iqref_mux_scratch0_src</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_xpm_iqref_mux_scratch0_src</ipxact:displayName> + <ipxact:value>scratch0_power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_xpm_iqref_mux_scratch1_src" type="string"> + <ipxact:name>pma_cdr_refclk_xpm_iqref_mux_scratch1_src</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_xpm_iqref_mux_scratch1_src</ipxact:displayName> + <ipxact:value>scratch1_power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_xpm_iqref_mux_scratch2_src" type="string"> + <ipxact:name>pma_cdr_refclk_xpm_iqref_mux_scratch2_src</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_xpm_iqref_mux_scratch2_src</ipxact:displayName> + <ipxact:value>scratch2_power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_xpm_iqref_mux_scratch3_src" type="string"> + <ipxact:name>pma_cdr_refclk_xpm_iqref_mux_scratch3_src</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_xpm_iqref_mux_scratch3_src</ipxact:displayName> + <ipxact:value>scratch3_power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_xpm_iqref_mux_scratch4_src" type="string"> + <ipxact:name>pma_cdr_refclk_xpm_iqref_mux_scratch4_src</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_xpm_iqref_mux_scratch4_src</ipxact:displayName> + <ipxact:value>scratch4_power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_refclk_select" type="string"> + <ipxact:name>pma_cdr_refclk_refclk_select</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_refclk_select</ipxact:displayName> + <ipxact:value>ref_iqclk0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_silicon_rev" type="string"> + <ipxact:name>pma_cdr_refclk_silicon_rev</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_inclk0_logical_to_physical_mapping" type="string"> + <ipxact:name>pma_cdr_refclk_inclk0_logical_to_physical_mapping</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_inclk0_logical_to_physical_mapping</ipxact:displayName> + <ipxact:value>ref_iqclk0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_inclk1_logical_to_physical_mapping" type="string"> + <ipxact:name>pma_cdr_refclk_inclk1_logical_to_physical_mapping</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_inclk1_logical_to_physical_mapping</ipxact:displayName> + <ipxact:value>ref_iqclk0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_inclk2_logical_to_physical_mapping" type="string"> + <ipxact:name>pma_cdr_refclk_inclk2_logical_to_physical_mapping</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_inclk2_logical_to_physical_mapping</ipxact:displayName> + <ipxact:value>ref_iqclk0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_inclk3_logical_to_physical_mapping" type="string"> + <ipxact:name>pma_cdr_refclk_inclk3_logical_to_physical_mapping</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_inclk3_logical_to_physical_mapping</ipxact:displayName> + <ipxact:value>ref_iqclk0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_inclk4_logical_to_physical_mapping" type="string"> + <ipxact:name>pma_cdr_refclk_inclk4_logical_to_physical_mapping</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_inclk4_logical_to_physical_mapping</ipxact:displayName> + <ipxact:value>ref_iqclk0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_silicon_rev" type="string"> + <ipxact:name>pma_cgb_silicon_rev</ipxact:name> + <ipxact:displayName>pma_cgb_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_observe_cgb_clocks" type="string"> + <ipxact:name>pma_cgb_observe_cgb_clocks</ipxact:name> + <ipxact:displayName>pma_cgb_observe_cgb_clocks</ipxact:displayName> + <ipxact:value>observe_nothing</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_bitslip_enable" type="string"> + <ipxact:name>pma_cgb_bitslip_enable</ipxact:name> + <ipxact:displayName>pma_cgb_bitslip_enable</ipxact:displayName> + <ipxact:value>disable_bitslip</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_bonding_mode" type="string"> + <ipxact:name>pma_cgb_bonding_mode</ipxact:name> + <ipxact:displayName>pma_cgb_bonding_mode</ipxact:displayName> + <ipxact:value>x1_non_bonded</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_bonding_reset_enable" type="string"> + <ipxact:name>pma_cgb_bonding_reset_enable</ipxact:name> + <ipxact:displayName>pma_cgb_bonding_reset_enable</ipxact:displayName> + <ipxact:value>disallow_bonding_reset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_cgb_power_down" type="string"> + <ipxact:name>pma_cgb_cgb_power_down</ipxact:name> + <ipxact:displayName>pma_cgb_cgb_power_down</ipxact:displayName> + <ipxact:value>normal_cgb</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_datarate" type="string"> + <ipxact:name>pma_cgb_datarate</ipxact:name> + <ipxact:displayName>pma_cgb_datarate</ipxact:displayName> + <ipxact:value>10312500000 bps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_pcie_gen3_bitwidth" type="string"> + <ipxact:name>pma_cgb_pcie_gen3_bitwidth</ipxact:name> + <ipxact:displayName>pma_cgb_pcie_gen3_bitwidth</ipxact:displayName> + <ipxact:value>pciegen3_wide</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_prot_mode" type="string"> + <ipxact:name>pma_cgb_prot_mode</ipxact:name> + <ipxact:displayName>pma_cgb_prot_mode</ipxact:displayName> + <ipxact:value>basic_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_scratch0_x1_clock_src" type="string"> + <ipxact:name>pma_cgb_scratch0_x1_clock_src</ipxact:name> + <ipxact:displayName>pma_cgb_scratch0_x1_clock_src</ipxact:displayName> + <ipxact:value>unused</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_scratch1_x1_clock_src" type="string"> + <ipxact:name>pma_cgb_scratch1_x1_clock_src</ipxact:name> + <ipxact:displayName>pma_cgb_scratch1_x1_clock_src</ipxact:displayName> + <ipxact:value>unused</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_scratch2_x1_clock_src" type="string"> + <ipxact:name>pma_cgb_scratch2_x1_clock_src</ipxact:name> + <ipxact:displayName>pma_cgb_scratch2_x1_clock_src</ipxact:displayName> + <ipxact:value>unused</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_scratch3_x1_clock_src" type="string"> + <ipxact:name>pma_cgb_scratch3_x1_clock_src</ipxact:name> + <ipxact:displayName>pma_cgb_scratch3_x1_clock_src</ipxact:displayName> + <ipxact:value>unused</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_select_done_master_or_slave" type="string"> + <ipxact:name>pma_cgb_select_done_master_or_slave</ipxact:name> + <ipxact:displayName>pma_cgb_select_done_master_or_slave</ipxact:displayName> + <ipxact:value>choose_master_pcie_sw_done</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_ser_mode" type="string"> + <ipxact:name>pma_cgb_ser_mode</ipxact:name> + <ipxact:displayName>pma_cgb_ser_mode</ipxact:displayName> + <ipxact:value>thirty_two_bit</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_ser_powerdown" type="string"> + <ipxact:name>pma_cgb_ser_powerdown</ipxact:name> + <ipxact:displayName>pma_cgb_ser_powerdown</ipxact:displayName> + <ipxact:value>normal_poweron_ser</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_sup_mode" type="string"> + <ipxact:name>pma_cgb_sup_mode</ipxact:name> + <ipxact:displayName>pma_cgb_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_vccdreg_output" type="string"> + <ipxact:name>pma_cgb_vccdreg_output</ipxact:name> + <ipxact:displayName>pma_cgb_vccdreg_output</ipxact:displayName> + <ipxact:value>vccdreg_nominal</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_x1_clock_source_sel" type="string"> + <ipxact:name>pma_cgb_x1_clock_source_sel</ipxact:name> + <ipxact:displayName>pma_cgb_x1_clock_source_sel</ipxact:displayName> + <ipxact:value>cdr_txpll_t</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_x1_div_m_sel" type="string"> + <ipxact:name>pma_cgb_x1_div_m_sel</ipxact:name> + <ipxact:displayName>pma_cgb_x1_div_m_sel</ipxact:displayName> + <ipxact:value>divbypass</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_xn_clock_source_sel" type="string"> + <ipxact:name>pma_cgb_xn_clock_source_sel</ipxact:name> + <ipxact:displayName>pma_cgb_xn_clock_source_sel</ipxact:displayName> + <ipxact:value>sel_xn_up</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_input_select_x1" type="string"> + <ipxact:name>pma_cgb_input_select_x1</ipxact:name> + <ipxact:displayName>pma_cgb_input_select_x1</ipxact:displayName> + <ipxact:value>fpll_bot</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_input_select_gen3" type="string"> + <ipxact:name>pma_cgb_input_select_gen3</ipxact:name> + <ipxact:displayName>pma_cgb_input_select_gen3</ipxact:displayName> + <ipxact:value>unused</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_input_select_xn" type="string"> + <ipxact:name>pma_cgb_input_select_xn</ipxact:name> + <ipxact:displayName>pma_cgb_input_select_xn</ipxact:displayName> + <ipxact:value>unused</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_tx_ucontrol_reset" type="string"> + <ipxact:name>pma_cgb_tx_ucontrol_reset</ipxact:name> + <ipxact:displayName>pma_cgb_tx_ucontrol_reset</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_tx_ucontrol_en" type="string"> + <ipxact:name>pma_cgb_tx_ucontrol_en</ipxact:name> + <ipxact:displayName>pma_cgb_tx_ucontrol_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_initial_settings" type="string"> + <ipxact:name>pma_cgb_initial_settings</ipxact:name> + <ipxact:displayName>pma_cgb_initial_settings</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_tx_ucontrol_pcie" type="string"> + <ipxact:name>pma_cgb_tx_ucontrol_pcie</ipxact:name> + <ipxact:displayName>pma_cgb_tx_ucontrol_pcie</ipxact:displayName> + <ipxact:value>gen1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_dprio_cgb_vreg_boost" type="string"> + <ipxact:name>pma_cgb_dprio_cgb_vreg_boost</ipxact:name> + <ipxact:displayName>pma_cgb_dprio_cgb_vreg_boost</ipxact:displayName> + <ipxact:value>no_voltage_boost</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_silicon_rev" type="string"> + <ipxact:name>pma_rx_dfe_silicon_rev</ipxact:name> + <ipxact:displayName>pma_rx_dfe_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_atb_select" type="string"> + <ipxact:name>pma_rx_dfe_atb_select</ipxact:name> + <ipxact:displayName>pma_rx_dfe_atb_select</ipxact:displayName> + <ipxact:value>atb_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_datarate" type="string"> + <ipxact:name>pma_rx_dfe_datarate</ipxact:name> + <ipxact:displayName>pma_rx_dfe_datarate</ipxact:displayName> + <ipxact:value>10312500000 bps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_dft_en" type="string"> + <ipxact:name>pma_rx_dfe_dft_en</ipxact:name> + <ipxact:displayName>pma_rx_dfe_dft_en</ipxact:displayName> + <ipxact:value>dft_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_oc_sa_c270" type="int"> + <ipxact:name>pma_rx_dfe_oc_sa_c270</ipxact:name> + <ipxact:displayName>pma_rx_dfe_oc_sa_c270</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_oc_sa_c90" type="int"> + <ipxact:name>pma_rx_dfe_oc_sa_c90</ipxact:name> + <ipxact:displayName>pma_rx_dfe_oc_sa_c90</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_oc_sa_d0c0" type="int"> + <ipxact:name>pma_rx_dfe_oc_sa_d0c0</ipxact:name> + <ipxact:displayName>pma_rx_dfe_oc_sa_d0c0</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_oc_sa_d0c180" type="int"> + <ipxact:name>pma_rx_dfe_oc_sa_d0c180</ipxact:name> + <ipxact:displayName>pma_rx_dfe_oc_sa_d0c180</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_oc_sa_d1c0" type="int"> + <ipxact:name>pma_rx_dfe_oc_sa_d1c0</ipxact:name> + <ipxact:displayName>pma_rx_dfe_oc_sa_d1c0</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_oc_sa_d1c180" type="int"> + <ipxact:name>pma_rx_dfe_oc_sa_d1c180</ipxact:name> + <ipxact:displayName>pma_rx_dfe_oc_sa_d1c180</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_optimal" type="string"> + <ipxact:name>pma_rx_dfe_optimal</ipxact:name> + <ipxact:displayName>pma_rx_dfe_optimal</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_pdb" type="string"> + <ipxact:name>pma_rx_dfe_pdb</ipxact:name> + <ipxact:displayName>pma_rx_dfe_pdb</ipxact:displayName> + <ipxact:value>dfe_enable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_pdb_fixedtap" type="string"> + <ipxact:name>pma_rx_dfe_pdb_fixedtap</ipxact:name> + <ipxact:displayName>pma_rx_dfe_pdb_fixedtap</ipxact:displayName> + <ipxact:value>fixtap_dfe_powerdown</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_pdb_floattap" type="string"> + <ipxact:name>pma_rx_dfe_pdb_floattap</ipxact:name> + <ipxact:displayName>pma_rx_dfe_pdb_floattap</ipxact:displayName> + <ipxact:value>floattap_dfe_powerdown</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_pdb_fxtap4t7" type="string"> + <ipxact:name>pma_rx_dfe_pdb_fxtap4t7</ipxact:name> + <ipxact:displayName>pma_rx_dfe_pdb_fxtap4t7</ipxact:displayName> + <ipxact:value>fxtap4t7_powerdown</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_power_mode" type="string"> + <ipxact:name>pma_rx_dfe_power_mode</ipxact:name> + <ipxact:displayName>pma_rx_dfe_power_mode</ipxact:displayName> + <ipxact:value>mid_power</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_sel_fltapstep_dec" type="string"> + <ipxact:name>pma_rx_dfe_sel_fltapstep_dec</ipxact:name> + <ipxact:displayName>pma_rx_dfe_sel_fltapstep_dec</ipxact:displayName> + <ipxact:value>fltap_step_no_dec</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_sel_fltapstep_inc" type="string"> + <ipxact:name>pma_rx_dfe_sel_fltapstep_inc</ipxact:name> + <ipxact:displayName>pma_rx_dfe_sel_fltapstep_inc</ipxact:displayName> + <ipxact:value>fltap_step_no_inc</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_sel_fxtapstep_dec" type="string"> + <ipxact:name>pma_rx_dfe_sel_fxtapstep_dec</ipxact:name> + <ipxact:displayName>pma_rx_dfe_sel_fxtapstep_dec</ipxact:displayName> + <ipxact:value>fxtap_step_no_dec</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_sel_fxtapstep_inc" type="string"> + <ipxact:name>pma_rx_dfe_sel_fxtapstep_inc</ipxact:name> + <ipxact:displayName>pma_rx_dfe_sel_fxtapstep_inc</ipxact:displayName> + <ipxact:value>fxtap_step_no_inc</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_sel_oc_en" type="string"> + <ipxact:name>pma_rx_dfe_sel_oc_en</ipxact:name> + <ipxact:displayName>pma_rx_dfe_sel_oc_en</ipxact:displayName> + <ipxact:value>off_canc_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_sel_probe_tstmx" type="string"> + <ipxact:name>pma_rx_dfe_sel_probe_tstmx</ipxact:name> + <ipxact:displayName>pma_rx_dfe_sel_probe_tstmx</ipxact:displayName> + <ipxact:value>probe_tstmx_none</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_sup_mode" type="string"> + <ipxact:name>pma_rx_dfe_sup_mode</ipxact:name> + <ipxact:displayName>pma_rx_dfe_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_uc_rx_dfe_cal" type="string"> + <ipxact:name>pma_rx_dfe_uc_rx_dfe_cal</ipxact:name> + <ipxact:displayName>pma_rx_dfe_uc_rx_dfe_cal</ipxact:displayName> + <ipxact:value>uc_rx_dfe_cal_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_uc_rx_dfe_cal_status" type="string"> + <ipxact:name>pma_rx_dfe_uc_rx_dfe_cal_status</ipxact:name> + <ipxact:displayName>pma_rx_dfe_uc_rx_dfe_cal_status</ipxact:displayName> + <ipxact:value>uc_rx_dfe_cal_notdone</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_oc_sa_adp1" type="int"> + <ipxact:name>pma_rx_dfe_oc_sa_adp1</ipxact:name> + <ipxact:displayName>pma_rx_dfe_oc_sa_adp1</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_oc_sa_adp2" type="int"> + <ipxact:name>pma_rx_dfe_oc_sa_adp2</ipxact:name> + <ipxact:displayName>pma_rx_dfe_oc_sa_adp2</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_initial_settings" type="string"> + <ipxact:name>pma_rx_dfe_initial_settings</ipxact:name> + <ipxact:displayName>pma_rx_dfe_initial_settings</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_prot_mode" type="string"> + <ipxact:name>pma_rx_dfe_prot_mode</ipxact:name> + <ipxact:displayName>pma_rx_dfe_prot_mode</ipxact:displayName> + <ipxact:value>basic_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_silicon_rev" type="string"> + <ipxact:name>pma_rx_odi_silicon_rev</ipxact:name> + <ipxact:displayName>pma_rx_odi_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_datarate" type="string"> + <ipxact:name>pma_rx_odi_datarate</ipxact:name> + <ipxact:displayName>pma_rx_odi_datarate</ipxact:displayName> + <ipxact:value>10312500000 bps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_enable_odi" type="string"> + <ipxact:name>pma_rx_odi_enable_odi</ipxact:name> + <ipxact:displayName>pma_rx_odi_enable_odi</ipxact:displayName> + <ipxact:value>power_down_eye</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_monitor_bw_sel" type="string"> + <ipxact:name>pma_rx_odi_monitor_bw_sel</ipxact:name> + <ipxact:displayName>pma_rx_odi_monitor_bw_sel</ipxact:displayName> + <ipxact:value>bw_1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_optimal" type="string"> + <ipxact:name>pma_rx_odi_optimal</ipxact:name> + <ipxact:displayName>pma_rx_odi_optimal</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_phase_steps_64_vs_128" type="string"> + <ipxact:name>pma_rx_odi_phase_steps_64_vs_128</ipxact:name> + <ipxact:displayName>pma_rx_odi_phase_steps_64_vs_128</ipxact:displayName> + <ipxact:value>phase_steps_64</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_phase_steps_sel" type="string"> + <ipxact:name>pma_rx_odi_phase_steps_sel</ipxact:name> + <ipxact:displayName>pma_rx_odi_phase_steps_sel</ipxact:displayName> + <ipxact:value>step40</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_power_mode" type="string"> + <ipxact:name>pma_rx_odi_power_mode</ipxact:name> + <ipxact:displayName>pma_rx_odi_power_mode</ipxact:displayName> + <ipxact:value>mid_power</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_sup_mode" type="string"> + <ipxact:name>pma_rx_odi_sup_mode</ipxact:name> + <ipxact:displayName>pma_rx_odi_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_v_vert_threshold_scaling" type="string"> + <ipxact:name>pma_rx_odi_v_vert_threshold_scaling</ipxact:name> + <ipxact:displayName>pma_rx_odi_v_vert_threshold_scaling</ipxact:displayName> + <ipxact:value>scale_3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_vert_threshold" type="string"> + <ipxact:name>pma_rx_odi_vert_threshold</ipxact:name> + <ipxact:displayName>pma_rx_odi_vert_threshold</ipxact:displayName> + <ipxact:value>vert_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_oc_sa_c0" type="int"> + <ipxact:name>pma_rx_odi_oc_sa_c0</ipxact:name> + <ipxact:displayName>pma_rx_odi_oc_sa_c0</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_initial_settings" type="string"> + <ipxact:name>pma_rx_odi_initial_settings</ipxact:name> + <ipxact:displayName>pma_rx_odi_initial_settings</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_v_vert_sel" type="string"> + <ipxact:name>pma_rx_odi_v_vert_sel</ipxact:name> + <ipxact:displayName>pma_rx_odi_v_vert_sel</ipxact:displayName> + <ipxact:value>plus</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_sel_oc_en" type="string"> + <ipxact:name>pma_rx_odi_sel_oc_en</ipxact:name> + <ipxact:displayName>pma_rx_odi_sel_oc_en</ipxact:displayName> + <ipxact:value>off_canc_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_clk_dcd_bypass" type="string"> + <ipxact:name>pma_rx_odi_clk_dcd_bypass</ipxact:name> + <ipxact:displayName>pma_rx_odi_clk_dcd_bypass</ipxact:displayName> + <ipxact:value>no_bypass</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_invert_dfe_vref" type="string"> + <ipxact:name>pma_rx_odi_invert_dfe_vref</ipxact:name> + <ipxact:displayName>pma_rx_odi_invert_dfe_vref</ipxact:displayName> + <ipxact:value>no_inversion</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_step_ctrl_sel" type="string"> + <ipxact:name>pma_rx_odi_step_ctrl_sel</ipxact:name> + <ipxact:displayName>pma_rx_odi_step_ctrl_sel</ipxact:displayName> + <ipxact:value>dprio_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_prot_mode" type="string"> + <ipxact:name>pma_rx_odi_prot_mode</ipxact:name> + <ipxact:displayName>pma_rx_odi_prot_mode</ipxact:displayName> + <ipxact:value>basic_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_oc_sa_c180" type="int"> + <ipxact:name>pma_rx_odi_oc_sa_c180</ipxact:name> + <ipxact:displayName>pma_rx_odi_oc_sa_c180</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_silicon_rev" type="string"> + <ipxact:name>pma_rx_buf_silicon_rev</ipxact:name> + <ipxact:displayName>pma_rx_buf_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_bypass_eqz_stages_234" type="string"> + <ipxact:name>pma_rx_buf_bypass_eqz_stages_234</ipxact:name> + <ipxact:displayName>pma_rx_buf_bypass_eqz_stages_234</ipxact:displayName> + <ipxact:value>bypass_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_cdrclk_to_cgb" type="string"> + <ipxact:name>pma_rx_buf_cdrclk_to_cgb</ipxact:name> + <ipxact:displayName>pma_rx_buf_cdrclk_to_cgb</ipxact:displayName> + <ipxact:value>cdrclk_2cgb_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_datarate" type="string"> + <ipxact:name>pma_rx_buf_datarate</ipxact:name> + <ipxact:displayName>pma_rx_buf_datarate</ipxact:displayName> + <ipxact:value>10312500000 bps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_diag_lp_en" type="string"> + <ipxact:name>pma_rx_buf_diag_lp_en</ipxact:name> + <ipxact:displayName>pma_rx_buf_diag_lp_en</ipxact:displayName> + <ipxact:value>dlp_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_eq_bw_sel" type="string"> + <ipxact:name>pma_rx_buf_eq_bw_sel</ipxact:name> + <ipxact:displayName>pma_rx_buf_eq_bw_sel</ipxact:displayName> + <ipxact:value>eq_bw_3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_input_vcm_sel" type="string"> + <ipxact:name>pma_rx_buf_input_vcm_sel</ipxact:name> + <ipxact:displayName>pma_rx_buf_input_vcm_sel</ipxact:displayName> + <ipxact:value>high_vcm</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_link_rx" type="string"> + <ipxact:name>pma_rx_buf_link_rx</ipxact:name> + <ipxact:displayName>pma_rx_buf_link_rx</ipxact:displayName> + <ipxact:value>sr</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_offset_cal_pd" type="string"> + <ipxact:name>pma_rx_buf_offset_cal_pd</ipxact:name> + <ipxact:displayName>pma_rx_buf_offset_cal_pd</ipxact:displayName> + <ipxact:value>eqz1_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_offset_cancellation_ctrl" type="string"> + <ipxact:name>pma_rx_buf_offset_cancellation_ctrl</ipxact:name> + <ipxact:displayName>pma_rx_buf_offset_cancellation_ctrl</ipxact:displayName> + <ipxact:value>volt_0mv</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_offset_pd" type="string"> + <ipxact:name>pma_rx_buf_offset_pd</ipxact:name> + <ipxact:displayName>pma_rx_buf_offset_pd</ipxact:displayName> + <ipxact:value>oc_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_optimal" type="string"> + <ipxact:name>pma_rx_buf_optimal</ipxact:name> + <ipxact:displayName>pma_rx_buf_optimal</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_pdb_rx" type="string"> + <ipxact:name>pma_rx_buf_pdb_rx</ipxact:name> + <ipxact:displayName>pma_rx_buf_pdb_rx</ipxact:displayName> + <ipxact:value>normal_rx_on</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_power_mode_rx" type="string"> + <ipxact:name>pma_rx_buf_power_mode_rx</ipxact:name> + <ipxact:displayName>pma_rx_buf_power_mode_rx</ipxact:displayName> + <ipxact:value>mid_power</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_prot_mode" type="string"> + <ipxact:name>pma_rx_buf_prot_mode</ipxact:name> + <ipxact:displayName>pma_rx_buf_prot_mode</ipxact:displayName> + <ipxact:value>basic_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_qpi_enable" type="string"> + <ipxact:name>pma_rx_buf_qpi_enable</ipxact:name> + <ipxact:displayName>pma_rx_buf_qpi_enable</ipxact:displayName> + <ipxact:value>non_qpi_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_rx_atb_select" type="string"> + <ipxact:name>pma_rx_buf_rx_atb_select</ipxact:name> + <ipxact:displayName>pma_rx_buf_rx_atb_select</ipxact:displayName> + <ipxact:value>atb_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_rx_refclk_divider" type="string"> + <ipxact:name>pma_rx_buf_rx_refclk_divider</ipxact:name> + <ipxact:displayName>pma_rx_buf_rx_refclk_divider</ipxact:displayName> + <ipxact:value>bypass_divider</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_rx_sel_bias_source" type="string"> + <ipxact:name>pma_rx_buf_rx_sel_bias_source</ipxact:name> + <ipxact:displayName>pma_rx_buf_rx_sel_bias_source</ipxact:displayName> + <ipxact:value>bias_vcmdrv</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_sup_mode" type="string"> + <ipxact:name>pma_rx_buf_sup_mode</ipxact:name> + <ipxact:displayName>pma_rx_buf_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_term_sel" type="string"> + <ipxact:name>pma_rx_buf_term_sel</ipxact:name> + <ipxact:displayName>pma_rx_buf_term_sel</ipxact:displayName> + <ipxact:value>r_r1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_vccela_supply_voltage" type="string"> + <ipxact:name>pma_rx_buf_vccela_supply_voltage</ipxact:name> + <ipxact:displayName>pma_rx_buf_vccela_supply_voltage</ipxact:displayName> + <ipxact:value>vccela_1p1v</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_vcm_current_add" type="string"> + <ipxact:name>pma_rx_buf_vcm_current_add</ipxact:name> + <ipxact:displayName>pma_rx_buf_vcm_current_add</ipxact:displayName> + <ipxact:value>vcm_current_default</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_vcm_sel" type="string"> + <ipxact:name>pma_rx_buf_vcm_sel</ipxact:name> + <ipxact:displayName>pma_rx_buf_vcm_sel</ipxact:displayName> + <ipxact:value>vcm_setting_03</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_eq_dc_gain_trim" type="string"> + <ipxact:name>pma_rx_buf_eq_dc_gain_trim</ipxact:name> + <ipxact:displayName>pma_rx_buf_eq_dc_gain_trim</ipxact:displayName> + <ipxact:value>stg2_gain7</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_offset_cancellation_coarse" type="string"> + <ipxact:name>pma_rx_buf_offset_cancellation_coarse</ipxact:name> + <ipxact:displayName>pma_rx_buf_offset_cancellation_coarse</ipxact:displayName> + <ipxact:value>coarse_setting_00</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_bodybias_select" type="string"> + <ipxact:name>pma_rx_buf_bodybias_select</ipxact:name> + <ipxact:displayName>pma_rx_buf_bodybias_select</ipxact:displayName> + <ipxact:value>bodybias_sel1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_bodybias_enable" type="string"> + <ipxact:name>pma_rx_buf_bodybias_enable</ipxact:name> + <ipxact:displayName>pma_rx_buf_bodybias_enable</ipxact:displayName> + <ipxact:value>bodybias_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_offset_cancellation_fine" type="string"> + <ipxact:name>pma_rx_buf_offset_cancellation_fine</ipxact:name> + <ipxact:displayName>pma_rx_buf_offset_cancellation_fine</ipxact:displayName> + <ipxact:value>fine_setting_00</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_act_isource_disable" type="string"> + <ipxact:name>pma_rx_buf_act_isource_disable</ipxact:name> + <ipxact:displayName>pma_rx_buf_act_isource_disable</ipxact:displayName> + <ipxact:value>isrc_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_one_stage_enable" type="string"> + <ipxact:name>pma_rx_buf_one_stage_enable</ipxact:name> + <ipxact:displayName>pma_rx_buf_one_stage_enable</ipxact:displayName> + <ipxact:value>s1_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_loopback_modes" type="string"> + <ipxact:name>pma_rx_buf_loopback_modes</ipxact:name> + <ipxact:displayName>pma_rx_buf_loopback_modes</ipxact:displayName> + <ipxact:value>lpbk_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_lfeq_zero_control" type="string"> + <ipxact:name>pma_rx_buf_lfeq_zero_control</ipxact:name> + <ipxact:displayName>pma_rx_buf_lfeq_zero_control</ipxact:displayName> + <ipxact:value>lfeq_setting_2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_initial_settings" type="string"> + <ipxact:name>pma_rx_buf_initial_settings</ipxact:name> + <ipxact:displayName>pma_rx_buf_initial_settings</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_lfeq_enable" type="string"> + <ipxact:name>pma_rx_buf_lfeq_enable</ipxact:name> + <ipxact:displayName>pma_rx_buf_lfeq_enable</ipxact:displayName> + <ipxact:value>non_lfeq_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_term_tri_enable" type="string"> + <ipxact:name>pma_rx_buf_term_tri_enable</ipxact:name> + <ipxact:displayName>pma_rx_buf_term_tri_enable</ipxact:displayName> + <ipxact:value>disable_tri</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_vga_bandwidth_select" type="string"> + <ipxact:name>pma_rx_buf_vga_bandwidth_select</ipxact:name> + <ipxact:displayName>pma_rx_buf_vga_bandwidth_select</ipxact:displayName> + <ipxact:value>vga_bw_1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_refclk_en" type="string"> + <ipxact:name>pma_rx_buf_refclk_en</ipxact:name> + <ipxact:displayName>pma_rx_buf_refclk_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_cgm_bias_disable" type="string"> + <ipxact:name>pma_rx_buf_cgm_bias_disable</ipxact:name> + <ipxact:displayName>pma_rx_buf_cgm_bias_disable</ipxact:displayName> + <ipxact:value>cgmbias_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_pm_tx_rx_pcie_gen" type="string"> + <ipxact:name>pma_rx_buf_pm_tx_rx_pcie_gen</ipxact:name> + <ipxact:displayName>pma_rx_buf_pm_tx_rx_pcie_gen</ipxact:displayName> + <ipxact:value>non_pcie</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_pm_tx_rx_pcie_gen_bitwidth" type="string"> + <ipxact:name>pma_rx_buf_pm_tx_rx_pcie_gen_bitwidth</ipxact:name> + <ipxact:displayName>pma_rx_buf_pm_tx_rx_pcie_gen_bitwidth</ipxact:displayName> + <ipxact:value>pcie_gen3_32b</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_pm_tx_rx_cvp_mode" type="string"> + <ipxact:name>pma_rx_buf_pm_tx_rx_cvp_mode</ipxact:name> + <ipxact:displayName>pma_rx_buf_pm_tx_rx_cvp_mode</ipxact:displayName> + <ipxact:value>cvp_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_pm_tx_rx_testmux_select" type="string"> + <ipxact:name>pma_rx_buf_pm_tx_rx_testmux_select</ipxact:name> + <ipxact:displayName>pma_rx_buf_pm_tx_rx_testmux_select</ipxact:displayName> + <ipxact:value>setting0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_xrx_path_jtag_hys" type="string"> + <ipxact:name>pma_rx_buf_xrx_path_jtag_hys</ipxact:name> + <ipxact:displayName>pma_rx_buf_xrx_path_jtag_hys</ipxact:displayName> + <ipxact:value>hys_increase_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_xrx_path_jtag_lp" type="string"> + <ipxact:name>pma_rx_buf_xrx_path_jtag_lp</ipxact:name> + <ipxact:displayName>pma_rx_buf_xrx_path_jtag_lp</ipxact:displayName> + <ipxact:value>lp_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_xrx_path_uc_rx_rstb" type="string"> + <ipxact:name>pma_rx_buf_xrx_path_uc_rx_rstb</ipxact:name> + <ipxact:displayName>pma_rx_buf_xrx_path_uc_rx_rstb</ipxact:displayName> + <ipxact:value>rx_reset_on</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_xrx_path_uc_pcie_sw" type="string"> + <ipxact:name>pma_rx_buf_xrx_path_uc_pcie_sw</ipxact:name> + <ipxact:displayName>pma_rx_buf_xrx_path_uc_pcie_sw</ipxact:displayName> + <ipxact:value>uc_pcie_gen1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_xrx_path_uc_cal_enable" type="string"> + <ipxact:name>pma_rx_buf_xrx_path_uc_cal_enable</ipxact:name> + <ipxact:displayName>pma_rx_buf_xrx_path_uc_cal_enable</ipxact:displayName> + <ipxact:value>rx_cal_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_xrx_path_uc_cru_rstb" type="string"> + <ipxact:name>pma_rx_buf_xrx_path_uc_cru_rstb</ipxact:name> + <ipxact:displayName>pma_rx_buf_xrx_path_uc_cru_rstb</ipxact:displayName> + <ipxact:value>cdr_lf_reset_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_xrx_path_sup_mode" type="string"> + <ipxact:name>pma_rx_buf_xrx_path_sup_mode</ipxact:name> + <ipxact:displayName>pma_rx_buf_xrx_path_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_power_rail_er" type="int"> + <ipxact:name>pma_rx_buf_power_rail_er</ipxact:name> + <ipxact:displayName>pma_rx_buf_power_rail_er</ipxact:displayName> + <ipxact:value>1030</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_power_rail_eht" type="int"> + <ipxact:name>pma_rx_buf_power_rail_eht</ipxact:name> + <ipxact:displayName>pma_rx_buf_power_rail_eht</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_xrx_path_gt_enabled" type="string"> + <ipxact:name>pma_rx_buf_xrx_path_gt_enabled</ipxact:name> + <ipxact:displayName>pma_rx_buf_xrx_path_gt_enabled</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_xrx_path_analog_mode" type="string"> + <ipxact:name>pma_rx_buf_xrx_path_analog_mode</ipxact:name> + <ipxact:displayName>pma_rx_buf_xrx_path_analog_mode</ipxact:displayName> + <ipxact:value>user_custom</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_xrx_path_prot_mode" type="string"> + <ipxact:name>pma_rx_buf_xrx_path_prot_mode</ipxact:name> + <ipxact:displayName>pma_rx_buf_xrx_path_prot_mode</ipxact:displayName> + <ipxact:value>basic_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_pm_speed_grade" type="string"> + <ipxact:name>pma_rx_buf_pm_speed_grade</ipxact:name> + <ipxact:displayName>pma_rx_buf_pm_speed_grade</ipxact:displayName> + <ipxact:value>e3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_power_mode" type="string"> + <ipxact:name>pma_rx_buf_power_mode</ipxact:name> + <ipxact:displayName>pma_rx_buf_power_mode</ipxact:displayName> + <ipxact:value>mid_power</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_iostandard" type="string"> + <ipxact:name>pma_rx_buf_iostandard</ipxact:name> + <ipxact:displayName>pma_rx_buf_iostandard</ipxact:displayName> + <ipxact:value>hssi_diffio</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_xrx_path_datarate" type="string"> + <ipxact:name>pma_rx_buf_xrx_path_datarate</ipxact:name> + <ipxact:displayName>pma_rx_buf_xrx_path_datarate</ipxact:displayName> + <ipxact:value>10312500000 bps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_xrx_path_datawidth" type="int"> + <ipxact:name>pma_rx_buf_xrx_path_datawidth</ipxact:name> + <ipxact:displayName>pma_rx_buf_xrx_path_datawidth</ipxact:displayName> + <ipxact:value>32</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_xrx_path_pma_rx_divclk_hz" type="string"> + <ipxact:name>pma_rx_buf_xrx_path_pma_rx_divclk_hz</ipxact:name> + <ipxact:displayName>pma_rx_buf_xrx_path_pma_rx_divclk_hz</ipxact:displayName> + <ipxact:value>322265625</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_xrx_path_optimal" type="string"> + <ipxact:name>pma_rx_buf_xrx_path_optimal</ipxact:name> + <ipxact:displayName>pma_rx_buf_xrx_path_optimal</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_link" type="string"> + <ipxact:name>pma_rx_buf_link</ipxact:name> + <ipxact:displayName>pma_rx_buf_link</ipxact:displayName> + <ipxact:value>sr</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_xrx_path_initial_settings" type="string"> + <ipxact:name>pma_rx_buf_xrx_path_initial_settings</ipxact:name> + <ipxact:displayName>pma_rx_buf_xrx_path_initial_settings</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_rx_vga_oc_en" type="string"> + <ipxact:name>pma_rx_buf_rx_vga_oc_en</ipxact:name> + <ipxact:displayName>pma_rx_buf_rx_vga_oc_en</ipxact:displayName> + <ipxact:value>vga_cal_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_sd_silicon_rev" type="string"> + <ipxact:name>pma_rx_sd_silicon_rev</ipxact:name> + <ipxact:displayName>pma_rx_sd_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_sd_link" type="string"> + <ipxact:name>pma_rx_sd_link</ipxact:name> + <ipxact:displayName>pma_rx_sd_link</ipxact:displayName> + <ipxact:value>sr</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_sd_optimal" type="string"> + <ipxact:name>pma_rx_sd_optimal</ipxact:name> + <ipxact:displayName>pma_rx_sd_optimal</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_sd_power_mode" type="string"> + <ipxact:name>pma_rx_sd_power_mode</ipxact:name> + <ipxact:displayName>pma_rx_sd_power_mode</ipxact:displayName> + <ipxact:value>mid_power</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_sd_prot_mode" type="string"> + <ipxact:name>pma_rx_sd_prot_mode</ipxact:name> + <ipxact:displayName>pma_rx_sd_prot_mode</ipxact:displayName> + <ipxact:value>basic_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_sd_sd_output_off" type="int"> + <ipxact:name>pma_rx_sd_sd_output_off</ipxact:name> + <ipxact:displayName>pma_rx_sd_sd_output_off</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_sd_sd_output_on" type="int"> + <ipxact:name>pma_rx_sd_sd_output_on</ipxact:name> + <ipxact:displayName>pma_rx_sd_sd_output_on</ipxact:displayName> + <ipxact:value>15</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_sd_sd_pdb" type="string"> + <ipxact:name>pma_rx_sd_sd_pdb</ipxact:name> + <ipxact:displayName>pma_rx_sd_sd_pdb</ipxact:displayName> + <ipxact:value>sd_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_sd_sd_threshold" type="string"> + <ipxact:name>pma_rx_sd_sd_threshold</ipxact:name> + <ipxact:displayName>pma_rx_sd_sd_threshold</ipxact:displayName> + <ipxact:value>sdlv_3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_sd_sup_mode" type="string"> + <ipxact:name>pma_rx_sd_sup_mode</ipxact:name> + <ipxact:displayName>pma_rx_sd_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_ser_silicon_rev" type="string"> + <ipxact:name>pma_tx_ser_silicon_rev</ipxact:name> + <ipxact:displayName>pma_tx_ser_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_ser_clk_divtx_deskew" type="string"> + <ipxact:name>pma_tx_ser_clk_divtx_deskew</ipxact:name> + <ipxact:displayName>pma_tx_ser_clk_divtx_deskew</ipxact:displayName> + <ipxact:value>deskew_delay8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_ser_control_clk_divtx" type="string"> + <ipxact:name>pma_tx_ser_control_clk_divtx</ipxact:name> + <ipxact:displayName>pma_tx_ser_control_clk_divtx</ipxact:displayName> + <ipxact:value>no_dft_control_clkdivtx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_ser_duty_cycle_correction_mode_ctrl" type="string"> + <ipxact:name>pma_tx_ser_duty_cycle_correction_mode_ctrl</ipxact:name> + <ipxact:displayName>pma_tx_ser_duty_cycle_correction_mode_ctrl</ipxact:displayName> + <ipxact:value>dcc_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_ser_ser_clk_divtx_user_sel" type="string"> + <ipxact:name>pma_tx_ser_ser_clk_divtx_user_sel</ipxact:name> + <ipxact:displayName>pma_tx_ser_ser_clk_divtx_user_sel</ipxact:displayName> + <ipxact:value>divtx_user_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_ser_ser_clk_mon" type="string"> + <ipxact:name>pma_tx_ser_ser_clk_mon</ipxact:name> + <ipxact:displayName>pma_tx_ser_ser_clk_mon</ipxact:displayName> + <ipxact:value>disable_clk_mon</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_ser_ser_powerdown" type="string"> + <ipxact:name>pma_tx_ser_ser_powerdown</ipxact:name> + <ipxact:displayName>pma_tx_ser_ser_powerdown</ipxact:displayName> + <ipxact:value>normal_poweron_ser</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_ser_sup_mode" type="string"> + <ipxact:name>pma_tx_ser_sup_mode</ipxact:name> + <ipxact:displayName>pma_tx_ser_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_ser_initial_settings" type="string"> + <ipxact:name>pma_tx_ser_initial_settings</ipxact:name> + <ipxact:displayName>pma_tx_ser_initial_settings</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_ser_prot_mode" type="string"> + <ipxact:name>pma_tx_ser_prot_mode</ipxact:name> + <ipxact:displayName>pma_tx_ser_prot_mode</ipxact:displayName> + <ipxact:value>basic_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_ser_bonding_mode" type="string"> + <ipxact:name>pma_tx_ser_bonding_mode</ipxact:name> + <ipxact:displayName>pma_tx_ser_bonding_mode</ipxact:displayName> + <ipxact:value>x1_non_bonded</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_silicon_rev" type="string"> + <ipxact:name>pma_tx_buf_silicon_rev</ipxact:name> + <ipxact:displayName>pma_tx_buf_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_datarate" type="string"> + <ipxact:name>pma_tx_buf_datarate</ipxact:name> + <ipxact:displayName>pma_tx_buf_datarate</ipxact:displayName> + <ipxact:value>10312500000 bps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_dft_sel" type="string"> + <ipxact:name>pma_tx_buf_dft_sel</ipxact:name> + <ipxact:displayName>pma_tx_buf_dft_sel</ipxact:displayName> + <ipxact:value>dft_disabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_duty_cycle_correction_bandwidth" type="string"> + <ipxact:name>pma_tx_buf_duty_cycle_correction_bandwidth</ipxact:name> + <ipxact:displayName>pma_tx_buf_duty_cycle_correction_bandwidth</ipxact:displayName> + <ipxact:value>dcc_bw_12</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_duty_cycle_correction_mode_ctrl" type="string"> + <ipxact:name>pma_tx_buf_duty_cycle_correction_mode_ctrl</ipxact:name> + <ipxact:displayName>pma_tx_buf_duty_cycle_correction_mode_ctrl</ipxact:displayName> + <ipxact:value>dcc_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_duty_cycle_input_polarity" type="string"> + <ipxact:name>pma_tx_buf_duty_cycle_input_polarity</ipxact:name> + <ipxact:displayName>pma_tx_buf_duty_cycle_input_polarity</ipxact:displayName> + <ipxact:value>dcc_input_pos</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_duty_cycle_setting" type="string"> + <ipxact:name>pma_tx_buf_duty_cycle_setting</ipxact:name> + <ipxact:displayName>pma_tx_buf_duty_cycle_setting</ipxact:displayName> + <ipxact:value>dcc_t32</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_duty_cycle_setting_aux" type="string"> + <ipxact:name>pma_tx_buf_duty_cycle_setting_aux</ipxact:name> + <ipxact:displayName>pma_tx_buf_duty_cycle_setting_aux</ipxact:displayName> + <ipxact:value>dcc2_t32</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_jtag_drv_sel" type="string"> + <ipxact:name>pma_tx_buf_jtag_drv_sel</ipxact:name> + <ipxact:displayName>pma_tx_buf_jtag_drv_sel</ipxact:displayName> + <ipxact:value>drv1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_jtag_lp" type="string"> + <ipxact:name>pma_tx_buf_jtag_lp</ipxact:name> + <ipxact:displayName>pma_tx_buf_jtag_lp</ipxact:displayName> + <ipxact:value>lp_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_link_tx" type="string"> + <ipxact:name>pma_tx_buf_link_tx</ipxact:name> + <ipxact:displayName>pma_tx_buf_link_tx</ipxact:displayName> + <ipxact:value>sr</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_lst" type="string"> + <ipxact:name>pma_tx_buf_lst</ipxact:name> + <ipxact:displayName>pma_tx_buf_lst</ipxact:displayName> + <ipxact:value>atb_disabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_optimal" type="string"> + <ipxact:name>pma_tx_buf_optimal</ipxact:name> + <ipxact:displayName>pma_tx_buf_optimal</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_pre_emp_sign_1st_post_tap" type="string"> + <ipxact:name>pma_tx_buf_pre_emp_sign_1st_post_tap</ipxact:name> + <ipxact:displayName>pma_tx_buf_pre_emp_sign_1st_post_tap</ipxact:displayName> + <ipxact:value>fir_post_1t_neg</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_pre_emp_sign_2nd_post_tap" type="string"> + <ipxact:name>pma_tx_buf_pre_emp_sign_2nd_post_tap</ipxact:name> + <ipxact:displayName>pma_tx_buf_pre_emp_sign_2nd_post_tap</ipxact:displayName> + <ipxact:value>fir_post_2t_neg</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_pre_emp_sign_pre_tap_1t" type="string"> + <ipxact:name>pma_tx_buf_pre_emp_sign_pre_tap_1t</ipxact:name> + <ipxact:displayName>pma_tx_buf_pre_emp_sign_pre_tap_1t</ipxact:displayName> + <ipxact:value>fir_pre_1t_neg</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_pre_emp_sign_pre_tap_2t" type="string"> + <ipxact:name>pma_tx_buf_pre_emp_sign_pre_tap_2t</ipxact:name> + <ipxact:displayName>pma_tx_buf_pre_emp_sign_pre_tap_2t</ipxact:displayName> + <ipxact:value>fir_pre_2t_neg</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_pre_emp_switching_ctrl_1st_post_tap" type="int"> + <ipxact:name>pma_tx_buf_pre_emp_switching_ctrl_1st_post_tap</ipxact:name> + <ipxact:displayName>pma_tx_buf_pre_emp_switching_ctrl_1st_post_tap</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_pre_emp_switching_ctrl_2nd_post_tap" type="int"> + <ipxact:name>pma_tx_buf_pre_emp_switching_ctrl_2nd_post_tap</ipxact:name> + <ipxact:displayName>pma_tx_buf_pre_emp_switching_ctrl_2nd_post_tap</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_pre_emp_switching_ctrl_pre_tap_1t" type="int"> + <ipxact:name>pma_tx_buf_pre_emp_switching_ctrl_pre_tap_1t</ipxact:name> + <ipxact:displayName>pma_tx_buf_pre_emp_switching_ctrl_pre_tap_1t</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_pre_emp_switching_ctrl_pre_tap_2t" type="int"> + <ipxact:name>pma_tx_buf_pre_emp_switching_ctrl_pre_tap_2t</ipxact:name> + <ipxact:displayName>pma_tx_buf_pre_emp_switching_ctrl_pre_tap_2t</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_prot_mode" type="string"> + <ipxact:name>pma_tx_buf_prot_mode</ipxact:name> + <ipxact:displayName>pma_tx_buf_prot_mode</ipxact:displayName> + <ipxact:value>basic_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_rx_det" type="string"> + <ipxact:name>pma_tx_buf_rx_det</ipxact:name> + <ipxact:displayName>pma_tx_buf_rx_det</ipxact:displayName> + <ipxact:value>mode_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_rx_det_output_sel" type="string"> + <ipxact:name>pma_tx_buf_rx_det_output_sel</ipxact:name> + <ipxact:displayName>pma_tx_buf_rx_det_output_sel</ipxact:displayName> + <ipxact:value>rx_det_pcie_out</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_rx_det_pdb" type="string"> + <ipxact:name>pma_tx_buf_rx_det_pdb</ipxact:name> + <ipxact:displayName>pma_tx_buf_rx_det_pdb</ipxact:displayName> + <ipxact:value>rx_det_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_slew_rate_ctrl" type="string"> + <ipxact:name>pma_tx_buf_slew_rate_ctrl</ipxact:name> + <ipxact:displayName>pma_tx_buf_slew_rate_ctrl</ipxact:displayName> + <ipxact:value>slew_r5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_sup_mode" type="string"> + <ipxact:name>pma_tx_buf_sup_mode</ipxact:name> + <ipxact:displayName>pma_tx_buf_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_term_code" type="string"> + <ipxact:name>pma_tx_buf_term_code</ipxact:name> + <ipxact:displayName>pma_tx_buf_term_code</ipxact:displayName> + <ipxact:value>rterm_code7</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_term_sel" type="string"> + <ipxact:name>pma_tx_buf_term_sel</ipxact:name> + <ipxact:displayName>pma_tx_buf_term_sel</ipxact:displayName> + <ipxact:value>r_r1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_tx_powerdown" type="string"> + <ipxact:name>pma_tx_buf_tx_powerdown</ipxact:name> + <ipxact:displayName>pma_tx_buf_tx_powerdown</ipxact:displayName> + <ipxact:value>normal_tx_on</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_user_fir_coeff_ctrl_sel" type="string"> + <ipxact:name>pma_tx_buf_user_fir_coeff_ctrl_sel</ipxact:name> + <ipxact:displayName>pma_tx_buf_user_fir_coeff_ctrl_sel</ipxact:displayName> + <ipxact:value>ram_ctl</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_vod_output_swing_ctrl" type="int"> + <ipxact:name>pma_tx_buf_vod_output_swing_ctrl</ipxact:name> + <ipxact:displayName>pma_tx_buf_vod_output_swing_ctrl</ipxact:displayName> + <ipxact:value>31</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_initial_settings" type="string"> + <ipxact:name>pma_tx_buf_initial_settings</ipxact:name> + <ipxact:displayName>pma_tx_buf_initial_settings</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_duty_cycle_correction_reference2" type="string"> + <ipxact:name>pma_tx_buf_duty_cycle_correction_reference2</ipxact:name> + <ipxact:displayName>pma_tx_buf_duty_cycle_correction_reference2</ipxact:displayName> + <ipxact:value>dcc_ref2_3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_ser_powerdown" type="string"> + <ipxact:name>pma_tx_buf_ser_powerdown</ipxact:name> + <ipxact:displayName>pma_tx_buf_ser_powerdown</ipxact:displayName> + <ipxact:value>normal_ser_on</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_swing_level" type="string"> + <ipxact:name>pma_tx_buf_swing_level</ipxact:name> + <ipxact:displayName>pma_tx_buf_swing_level</ipxact:displayName> + <ipxact:value>lv</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_vreg_output" type="string"> + <ipxact:name>pma_tx_buf_vreg_output</ipxact:name> + <ipxact:displayName>pma_tx_buf_vreg_output</ipxact:displayName> + <ipxact:value>vccdreg_nominal</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_duty_cycle_correction_reference1" type="string"> + <ipxact:name>pma_tx_buf_duty_cycle_correction_reference1</ipxact:name> + <ipxact:displayName>pma_tx_buf_duty_cycle_correction_reference1</ipxact:displayName> + <ipxact:value>dcc_ref1_3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_duty_cycle_correction_reset_n" type="string"> + <ipxact:name>pma_tx_buf_duty_cycle_correction_reset_n</ipxact:name> + <ipxact:displayName>pma_tx_buf_duty_cycle_correction_reset_n</ipxact:displayName> + <ipxact:value>reset_n</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_res_cal_local" type="string"> + <ipxact:name>pma_tx_buf_res_cal_local</ipxact:name> + <ipxact:displayName>pma_tx_buf_res_cal_local</ipxact:displayName> + <ipxact:value>non_local</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_term_n_tune" type="string"> + <ipxact:name>pma_tx_buf_term_n_tune</ipxact:name> + <ipxact:displayName>pma_tx_buf_term_n_tune</ipxact:displayName> + <ipxact:value>rterm_n0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_cpen_ctrl" type="string"> + <ipxact:name>pma_tx_buf_cpen_ctrl</ipxact:name> + <ipxact:displayName>pma_tx_buf_cpen_ctrl</ipxact:displayName> + <ipxact:value>cp_l0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_term_p_tune" type="string"> + <ipxact:name>pma_tx_buf_term_p_tune</ipxact:name> + <ipxact:displayName>pma_tx_buf_term_p_tune</ipxact:displayName> + <ipxact:value>rterm_p0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_calibration_en" type="string"> + <ipxact:name>pma_tx_buf_calibration_en</ipxact:name> + <ipxact:displayName>pma_tx_buf_calibration_en</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_low_power_en" type="string"> + <ipxact:name>pma_tx_buf_low_power_en</ipxact:name> + <ipxact:displayName>pma_tx_buf_low_power_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_compensation_en" type="string"> + <ipxact:name>pma_tx_buf_compensation_en</ipxact:name> + <ipxact:displayName>pma_tx_buf_compensation_en</ipxact:displayName> + <ipxact:value>enable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_dcd_detection_en" type="string"> + <ipxact:name>pma_tx_buf_dcd_detection_en</ipxact:name> + <ipxact:displayName>pma_tx_buf_dcd_detection_en</ipxact:displayName> + <ipxact:value>enable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_uc_txvod_cal" type="string"> + <ipxact:name>pma_tx_buf_uc_txvod_cal</ipxact:name> + <ipxact:displayName>pma_tx_buf_uc_txvod_cal</ipxact:displayName> + <ipxact:value>uc_tx_vod_cal_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_uc_txvod_cal_cont" type="string"> + <ipxact:name>pma_tx_buf_uc_txvod_cal_cont</ipxact:name> + <ipxact:displayName>pma_tx_buf_uc_txvod_cal_cont</ipxact:displayName> + <ipxact:value>uc_tx_vod_cal_cont_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_uc_skew_cal" type="string"> + <ipxact:name>pma_tx_buf_uc_skew_cal</ipxact:name> + <ipxact:displayName>pma_tx_buf_uc_skew_cal</ipxact:displayName> + <ipxact:value>uc_skew_cal_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_uc_dcd_cal" type="string"> + <ipxact:name>pma_tx_buf_uc_dcd_cal</ipxact:name> + <ipxact:displayName>pma_tx_buf_uc_dcd_cal</ipxact:displayName> + <ipxact:value>uc_dcd_cal_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_uc_txvod_cal_status" type="string"> + <ipxact:name>pma_tx_buf_uc_txvod_cal_status</ipxact:name> + <ipxact:displayName>pma_tx_buf_uc_txvod_cal_status</ipxact:displayName> + <ipxact:value>uc_tx_vod_cal_notdone</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_uc_skew_cal_status" type="string"> + <ipxact:name>pma_tx_buf_uc_skew_cal_status</ipxact:name> + <ipxact:displayName>pma_tx_buf_uc_skew_cal_status</ipxact:displayName> + <ipxact:value>uc_skew_cal_notdone</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_uc_dcd_cal_status" type="string"> + <ipxact:name>pma_tx_buf_uc_dcd_cal_status</ipxact:name> + <ipxact:displayName>pma_tx_buf_uc_dcd_cal_status</ipxact:displayName> + <ipxact:value>uc_dcd_cal_notdone</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_uc_gen3" type="string"> + <ipxact:name>pma_tx_buf_uc_gen3</ipxact:name> + <ipxact:displayName>pma_tx_buf_uc_gen3</ipxact:displayName> + <ipxact:value>gen3_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_uc_gen4" type="string"> + <ipxact:name>pma_tx_buf_uc_gen4</ipxact:name> + <ipxact:displayName>pma_tx_buf_uc_gen4</ipxact:displayName> + <ipxact:value>gen4_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_uc_vcc_setting" type="string"> + <ipxact:name>pma_tx_buf_uc_vcc_setting</ipxact:name> + <ipxact:displayName>pma_tx_buf_uc_vcc_setting</ipxact:displayName> + <ipxact:value>vcc_setting1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_mcgb_location_for_pcie" type="int"> + <ipxact:name>pma_tx_buf_mcgb_location_for_pcie</ipxact:name> + <ipxact:displayName>pma_tx_buf_mcgb_location_for_pcie</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_xtx_path_prot_mode" type="string"> + <ipxact:name>pma_tx_buf_xtx_path_prot_mode</ipxact:name> + <ipxact:displayName>pma_tx_buf_xtx_path_prot_mode</ipxact:displayName> + <ipxact:value>basic_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_xtx_path_optimal" type="string"> + <ipxact:name>pma_tx_buf_xtx_path_optimal</ipxact:name> + <ipxact:displayName>pma_tx_buf_xtx_path_optimal</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_xtx_path_datarate" type="string"> + <ipxact:name>pma_tx_buf_xtx_path_datarate</ipxact:name> + <ipxact:displayName>pma_tx_buf_xtx_path_datarate</ipxact:displayName> + <ipxact:value>10312500000 bps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_xtx_path_datawidth" type="int"> + <ipxact:name>pma_tx_buf_xtx_path_datawidth</ipxact:name> + <ipxact:displayName>pma_tx_buf_xtx_path_datawidth</ipxact:displayName> + <ipxact:value>32</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_xtx_path_clock_divider_ratio" type="int"> + <ipxact:name>pma_tx_buf_xtx_path_clock_divider_ratio</ipxact:name> + <ipxact:displayName>pma_tx_buf_xtx_path_clock_divider_ratio</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_xtx_path_pma_tx_divclk_hz" type="string"> + <ipxact:name>pma_tx_buf_xtx_path_pma_tx_divclk_hz</ipxact:name> + <ipxact:displayName>pma_tx_buf_xtx_path_pma_tx_divclk_hz</ipxact:displayName> + <ipxact:value>322265625</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_xtx_path_tx_pll_clk_hz" type="string"> + <ipxact:name>pma_tx_buf_xtx_path_tx_pll_clk_hz</ipxact:name> + <ipxact:displayName>pma_tx_buf_xtx_path_tx_pll_clk_hz</ipxact:displayName> + <ipxact:value>5156250000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_link" type="string"> + <ipxact:name>pma_tx_buf_link</ipxact:name> + <ipxact:displayName>pma_tx_buf_link</ipxact:displayName> + <ipxact:value>sr</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_xtx_path_swing_level" type="string"> + <ipxact:name>pma_tx_buf_xtx_path_swing_level</ipxact:name> + <ipxact:displayName>pma_tx_buf_xtx_path_swing_level</ipxact:displayName> + <ipxact:value>lv</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_xtx_path_sup_mode" type="string"> + <ipxact:name>pma_tx_buf_xtx_path_sup_mode</ipxact:name> + <ipxact:displayName>pma_tx_buf_xtx_path_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_xtx_path_initial_settings" type="string"> + <ipxact:name>pma_tx_buf_xtx_path_initial_settings</ipxact:name> + <ipxact:displayName>pma_tx_buf_xtx_path_initial_settings</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_xtx_path_calibration_en" type="string"> + <ipxact:name>pma_tx_buf_xtx_path_calibration_en</ipxact:name> + <ipxact:displayName>pma_tx_buf_xtx_path_calibration_en</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_xtx_path_bonding_mode" type="string"> + <ipxact:name>pma_tx_buf_xtx_path_bonding_mode</ipxact:name> + <ipxact:displayName>pma_tx_buf_xtx_path_bonding_mode</ipxact:displayName> + <ipxact:value>x1_non_bonded</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_pm_speed_grade" type="string"> + <ipxact:name>pma_tx_buf_pm_speed_grade</ipxact:name> + <ipxact:displayName>pma_tx_buf_pm_speed_grade</ipxact:displayName> + <ipxact:value>e3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_power_mode" type="string"> + <ipxact:name>pma_tx_buf_power_mode</ipxact:name> + <ipxact:displayName>pma_tx_buf_power_mode</ipxact:displayName> + <ipxact:value>mid_power</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_power_rail_et" type="int"> + <ipxact:name>pma_tx_buf_power_rail_et</ipxact:name> + <ipxact:displayName>pma_tx_buf_power_rail_et</ipxact:displayName> + <ipxact:value>1030</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_power_rail_eht" type="int"> + <ipxact:name>pma_tx_buf_power_rail_eht</ipxact:name> + <ipxact:displayName>pma_tx_buf_power_rail_eht</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_xtx_path_gt_enabled" type="string"> + <ipxact:name>pma_tx_buf_xtx_path_gt_enabled</ipxact:name> + <ipxact:displayName>pma_tx_buf_xtx_path_gt_enabled</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_xtx_path_analog_mode" type="string"> + <ipxact:name>pma_tx_buf_xtx_path_analog_mode</ipxact:name> + <ipxact:displayName>pma_tx_buf_xtx_path_analog_mode</ipxact:displayName> + <ipxact:value>user_custom</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_compensation_driver_en" type="string"> + <ipxact:name>pma_tx_buf_compensation_driver_en</ipxact:name> + <ipxact:displayName>pma_tx_buf_compensation_driver_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_sense_amp_offset_cal_curr_p" type="int"> + <ipxact:name>pma_tx_buf_sense_amp_offset_cal_curr_p</ipxact:name> + <ipxact:displayName>pma_tx_buf_sense_amp_offset_cal_curr_p</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_chgpmp_current_dn_trim" type="string"> + <ipxact:name>pma_tx_buf_chgpmp_current_dn_trim</ipxact:name> + <ipxact:displayName>pma_tx_buf_chgpmp_current_dn_trim</ipxact:displayName> + <ipxact:value>cp_current_trimming_dn_setting0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_duty_cycle_correction_bandwidth_dn" type="string"> + <ipxact:name>pma_tx_buf_duty_cycle_correction_bandwidth_dn</ipxact:name> + <ipxact:displayName>pma_tx_buf_duty_cycle_correction_bandwidth_dn</ipxact:displayName> + <ipxact:value>dcd_bw_dn_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_sense_amp_offset_cal_curr_n" type="string"> + <ipxact:name>pma_tx_buf_sense_amp_offset_cal_curr_n</ipxact:name> + <ipxact:displayName>pma_tx_buf_sense_amp_offset_cal_curr_n</ipxact:displayName> + <ipxact:value>sa_os_cal_in_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_chgpmp_current_up_trim" type="string"> + <ipxact:name>pma_tx_buf_chgpmp_current_up_trim</ipxact:name> + <ipxact:displayName>pma_tx_buf_chgpmp_current_up_trim</ipxact:displayName> + <ipxact:value>cp_current_trimming_up_setting0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_chgpmp_up_trim_double" type="string"> + <ipxact:name>pma_tx_buf_chgpmp_up_trim_double</ipxact:name> + <ipxact:displayName>pma_tx_buf_chgpmp_up_trim_double</ipxact:displayName> + <ipxact:value>normal_up_trim_current</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_duty_cycle_cp_comp_en" type="string"> + <ipxact:name>pma_tx_buf_duty_cycle_cp_comp_en</ipxact:name> + <ipxact:displayName>pma_tx_buf_duty_cycle_cp_comp_en</ipxact:displayName> + <ipxact:value>cp_comp_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_dcd_clk_div_ctrl" type="string"> + <ipxact:name>pma_tx_buf_dcd_clk_div_ctrl</ipxact:name> + <ipxact:displayName>pma_tx_buf_dcd_clk_div_ctrl</ipxact:displayName> + <ipxact:value>dcd_ck_div128</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_duty_cycle_detector_sa_cal" type="string"> + <ipxact:name>pma_tx_buf_duty_cycle_detector_sa_cal</ipxact:name> + <ipxact:displayName>pma_tx_buf_duty_cycle_detector_sa_cal</ipxact:displayName> + <ipxact:value>dcd_sa_cal_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_duty_cycle_detector_cp_cal" type="string"> + <ipxact:name>pma_tx_buf_duty_cycle_detector_cp_cal</ipxact:name> + <ipxact:displayName>pma_tx_buf_duty_cycle_detector_cp_cal</ipxact:displayName> + <ipxact:value>dcd_cp_cal_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_tri_driver" type="string"> + <ipxact:name>pma_tx_buf_tri_driver</ipxact:name> + <ipxact:displayName>pma_tx_buf_tri_driver</ipxact:displayName> + <ipxact:value>tri_driver_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_cdr_cp_calibration_en" type="string"> + <ipxact:name>pma_tx_buf_cdr_cp_calibration_en</ipxact:name> + <ipxact:displayName>pma_tx_buf_cdr_cp_calibration_en</ipxact:displayName> + <ipxact:value>cdr_cp_cal_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_chgpmp_dn_trim_double" type="string"> + <ipxact:name>pma_tx_buf_chgpmp_dn_trim_double</ipxact:name> + <ipxact:displayName>pma_tx_buf_chgpmp_dn_trim_double</ipxact:displayName> + <ipxact:value>normal_dn_trim_current</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_calibration_resistor_value" type="string"> + <ipxact:name>pma_tx_buf_calibration_resistor_value</ipxact:name> + <ipxact:displayName>pma_tx_buf_calibration_resistor_value</ipxact:displayName> + <ipxact:value>res_setting0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_enable_idle_tx_channel_support" type="string"> + <ipxact:name>pma_tx_buf_enable_idle_tx_channel_support</ipxact:name> + <ipxact:displayName>pma_tx_buf_enable_idle_tx_channel_support</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_silicon_rev" type="string"> + <ipxact:name>cdr_pll_silicon_rev</ipxact:name> + <ipxact:displayName>cdr_pll_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_pma_width" type="int"> + <ipxact:name>cdr_pll_pma_width</ipxact:name> + <ipxact:displayName>cdr_pll_pma_width</ipxact:displayName> + <ipxact:value>32</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_cgb_div" type="int"> + <ipxact:name>cdr_pll_cgb_div</ipxact:name> + <ipxact:displayName>cdr_pll_cgb_div</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_is_cascaded_pll" type="string"> + <ipxact:name>cdr_pll_is_cascaded_pll</ipxact:name> + <ipxact:displayName>cdr_pll_is_cascaded_pll</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_bandwidth_range_high" type="string"> + <ipxact:name>cdr_pll_bandwidth_range_high</ipxact:name> + <ipxact:displayName>cdr_pll_bandwidth_range_high</ipxact:displayName> + <ipxact:value>0 hz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_bandwidth_range_low" type="string"> + <ipxact:name>cdr_pll_bandwidth_range_low</ipxact:name> + <ipxact:displayName>cdr_pll_bandwidth_range_low</ipxact:displayName> + <ipxact:value>0 hz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_datarate" type="string"> + <ipxact:name>cdr_pll_datarate</ipxact:name> + <ipxact:displayName>cdr_pll_datarate</ipxact:displayName> + <ipxact:value>10312500000 bps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_f_max_pfd" type="string"> + <ipxact:name>cdr_pll_f_max_pfd</ipxact:name> + <ipxact:displayName>cdr_pll_f_max_pfd</ipxact:displayName> + <ipxact:value>350000000 Hz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_f_max_ref" type="string"> + <ipxact:name>cdr_pll_f_max_ref</ipxact:name> + <ipxact:displayName>cdr_pll_f_max_ref</ipxact:displayName> + <ipxact:value>800000000 Hz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_f_max_vco" type="string"> + <ipxact:name>cdr_pll_f_max_vco</ipxact:name> + <ipxact:displayName>cdr_pll_f_max_vco</ipxact:displayName> + <ipxact:value>9800000000 Hz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_f_min_gt_channel" type="string"> + <ipxact:name>cdr_pll_f_min_gt_channel</ipxact:name> + <ipxact:displayName>cdr_pll_f_min_gt_channel</ipxact:displayName> + <ipxact:value>8700000000 Hz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_f_min_pfd" type="string"> + <ipxact:name>cdr_pll_f_min_pfd</ipxact:name> + <ipxact:displayName>cdr_pll_f_min_pfd</ipxact:displayName> + <ipxact:value>50000000 Hz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_f_min_ref" type="string"> + <ipxact:name>cdr_pll_f_min_ref</ipxact:name> + <ipxact:displayName>cdr_pll_f_min_ref</ipxact:displayName> + <ipxact:value>50000000 Hz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_f_min_vco" type="string"> + <ipxact:name>cdr_pll_f_min_vco</ipxact:name> + <ipxact:displayName>cdr_pll_f_min_vco</ipxact:displayName> + <ipxact:value>4900000000 Hz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_lpd_counter" type="int"> + <ipxact:name>cdr_pll_lpd_counter</ipxact:name> + <ipxact:displayName>cdr_pll_lpd_counter</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_lpfd_counter" type="int"> + <ipxact:name>cdr_pll_lpfd_counter</ipxact:name> + <ipxact:displayName>cdr_pll_lpfd_counter</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_n_counter_scratch" type="int"> + <ipxact:name>cdr_pll_n_counter_scratch</ipxact:name> + <ipxact:displayName>cdr_pll_n_counter_scratch</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_output_clock_frequency" type="string"> + <ipxact:name>cdr_pll_output_clock_frequency</ipxact:name> + <ipxact:displayName>cdr_pll_output_clock_frequency</ipxact:displayName> + <ipxact:value>5156250000 Hz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_reference_clock_frequency" type="string"> + <ipxact:name>cdr_pll_reference_clock_frequency</ipxact:name> + <ipxact:displayName>cdr_pll_reference_clock_frequency</ipxact:displayName> + <ipxact:value>644531250 hz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_set_cdr_vco_speed" type="int"> + <ipxact:name>cdr_pll_set_cdr_vco_speed</ipxact:name> + <ipxact:displayName>cdr_pll_set_cdr_vco_speed</ipxact:displayName> + <ipxact:value>3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_set_cdr_vco_speed_fix" type="int"> + <ipxact:name>cdr_pll_set_cdr_vco_speed_fix</ipxact:name> + <ipxact:displayName>cdr_pll_set_cdr_vco_speed_fix</ipxact:displayName> + <ipxact:value>60</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_vco_freq" type="string"> + <ipxact:name>cdr_pll_vco_freq</ipxact:name> + <ipxact:displayName>cdr_pll_vco_freq</ipxact:displayName> + <ipxact:value>5156250000 Hz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_atb_select_control" type="string"> + <ipxact:name>cdr_pll_atb_select_control</ipxact:name> + <ipxact:displayName>cdr_pll_atb_select_control</ipxact:displayName> + <ipxact:value>atb_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_auto_reset_on" type="string"> + <ipxact:name>cdr_pll_auto_reset_on</ipxact:name> + <ipxact:displayName>cdr_pll_auto_reset_on</ipxact:displayName> + <ipxact:value>auto_reset_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_bbpd_data_pattern_filter_select" type="string"> + <ipxact:name>cdr_pll_bbpd_data_pattern_filter_select</ipxact:name> + <ipxact:displayName>cdr_pll_bbpd_data_pattern_filter_select</ipxact:displayName> + <ipxact:value>bbpd_data_pat_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_bw_sel" type="string"> + <ipxact:name>cdr_pll_bw_sel</ipxact:name> + <ipxact:displayName>cdr_pll_bw_sel</ipxact:displayName> + <ipxact:value>medium</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_cdr_odi_select" type="string"> + <ipxact:name>cdr_pll_cdr_odi_select</ipxact:name> + <ipxact:displayName>cdr_pll_cdr_odi_select</ipxact:displayName> + <ipxact:value>sel_cdr</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_cdr_phaselock_mode" type="string"> + <ipxact:name>cdr_pll_cdr_phaselock_mode</ipxact:name> + <ipxact:displayName>cdr_pll_cdr_phaselock_mode</ipxact:displayName> + <ipxact:value>no_ignore_lock</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_cdr_powerdown_mode" type="string"> + <ipxact:name>cdr_pll_cdr_powerdown_mode</ipxact:name> + <ipxact:displayName>cdr_pll_cdr_powerdown_mode</ipxact:displayName> + <ipxact:value>power_up</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_chgpmp_current_pd" type="string"> + <ipxact:name>cdr_pll_chgpmp_current_pd</ipxact:name> + <ipxact:displayName>cdr_pll_chgpmp_current_pd</ipxact:displayName> + <ipxact:value>cp_current_pd_setting0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_chgpmp_current_pfd" type="string"> + <ipxact:name>cdr_pll_chgpmp_current_pfd</ipxact:name> + <ipxact:displayName>cdr_pll_chgpmp_current_pfd</ipxact:displayName> + <ipxact:value>cp_current_pfd_setting2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_chgpmp_replicate" type="string"> + <ipxact:name>cdr_pll_chgpmp_replicate</ipxact:name> + <ipxact:displayName>cdr_pll_chgpmp_replicate</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_chgpmp_testmode" type="string"> + <ipxact:name>cdr_pll_chgpmp_testmode</ipxact:name> + <ipxact:displayName>cdr_pll_chgpmp_testmode</ipxact:displayName> + <ipxact:value>cp_test_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_clklow_mux_select" type="string"> + <ipxact:name>cdr_pll_clklow_mux_select</ipxact:name> + <ipxact:displayName>cdr_pll_clklow_mux_select</ipxact:displayName> + <ipxact:value>clklow_mux_cdr_fbclk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_diag_loopback_enable" type="string"> + <ipxact:name>cdr_pll_diag_loopback_enable</ipxact:name> + <ipxact:displayName>cdr_pll_diag_loopback_enable</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_disable_up_dn" type="string"> + <ipxact:name>cdr_pll_disable_up_dn</ipxact:name> + <ipxact:displayName>cdr_pll_disable_up_dn</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_fref_clklow_div" type="int"> + <ipxact:name>cdr_pll_fref_clklow_div</ipxact:name> + <ipxact:displayName>cdr_pll_fref_clklow_div</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_fref_mux_select" type="string"> + <ipxact:name>cdr_pll_fref_mux_select</ipxact:name> + <ipxact:displayName>cdr_pll_fref_mux_select</ipxact:displayName> + <ipxact:value>fref_mux_cdr_refclk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_gpon_lck2ref_control" type="string"> + <ipxact:name>cdr_pll_gpon_lck2ref_control</ipxact:name> + <ipxact:displayName>cdr_pll_gpon_lck2ref_control</ipxact:displayName> + <ipxact:value>gpon_lck2ref_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_initial_settings" type="string"> + <ipxact:name>cdr_pll_initial_settings</ipxact:name> + <ipxact:displayName>cdr_pll_initial_settings</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_lck2ref_delay_control" type="string"> + <ipxact:name>cdr_pll_lck2ref_delay_control</ipxact:name> + <ipxact:displayName>cdr_pll_lck2ref_delay_control</ipxact:displayName> + <ipxact:value>lck2ref_delay_2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_lf_resistor_pd" type="string"> + <ipxact:name>cdr_pll_lf_resistor_pd</ipxact:name> + <ipxact:displayName>cdr_pll_lf_resistor_pd</ipxact:displayName> + <ipxact:value>lf_pd_setting2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_lf_resistor_pfd" type="string"> + <ipxact:name>cdr_pll_lf_resistor_pfd</ipxact:name> + <ipxact:displayName>cdr_pll_lf_resistor_pfd</ipxact:displayName> + <ipxact:value>lf_pfd_setting2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_lf_ripple_cap" type="string"> + <ipxact:name>cdr_pll_lf_ripple_cap</ipxact:name> + <ipxact:displayName>cdr_pll_lf_ripple_cap</ipxact:displayName> + <ipxact:value>lf_no_ripple</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_loop_filter_bias_select" type="string"> + <ipxact:name>cdr_pll_loop_filter_bias_select</ipxact:name> + <ipxact:displayName>cdr_pll_loop_filter_bias_select</ipxact:displayName> + <ipxact:value>lpflt_bias_7</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_loopback_mode" type="string"> + <ipxact:name>cdr_pll_loopback_mode</ipxact:name> + <ipxact:displayName>cdr_pll_loopback_mode</ipxact:displayName> + <ipxact:value>loopback_disabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_ltd_ltr_micro_controller_select" type="string"> + <ipxact:name>cdr_pll_ltd_ltr_micro_controller_select</ipxact:name> + <ipxact:displayName>cdr_pll_ltd_ltr_micro_controller_select</ipxact:displayName> + <ipxact:value>ltd_ltr_pcs</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_m_counter" type="int"> + <ipxact:name>cdr_pll_m_counter</ipxact:name> + <ipxact:displayName>cdr_pll_m_counter</ipxact:displayName> + <ipxact:value>16</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_n_counter" type="int"> + <ipxact:name>cdr_pll_n_counter</ipxact:name> + <ipxact:displayName>cdr_pll_n_counter</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_optimal" type="string"> + <ipxact:name>cdr_pll_optimal</ipxact:name> + <ipxact:displayName>cdr_pll_optimal</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_pd_fastlock_mode" type="string"> + <ipxact:name>cdr_pll_pd_fastlock_mode</ipxact:name> + <ipxact:displayName>cdr_pll_pd_fastlock_mode</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_pd_l_counter" type="int"> + <ipxact:name>cdr_pll_pd_l_counter</ipxact:name> + <ipxact:displayName>cdr_pll_pd_l_counter</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_pfd_l_counter" type="int"> + <ipxact:name>cdr_pll_pfd_l_counter</ipxact:name> + <ipxact:displayName>cdr_pll_pfd_l_counter</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_position" type="string"> + <ipxact:name>cdr_pll_position</ipxact:name> + <ipxact:displayName>cdr_pll_position</ipxact:displayName> + <ipxact:value>position_unknown</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_power_mode" type="string"> + <ipxact:name>cdr_pll_power_mode</ipxact:name> + <ipxact:displayName>cdr_pll_power_mode</ipxact:displayName> + <ipxact:value>mid_power</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_primary_use" type="string"> + <ipxact:name>cdr_pll_primary_use</ipxact:name> + <ipxact:displayName>cdr_pll_primary_use</ipxact:displayName> + <ipxact:value>cdr</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_prot_mode" type="string"> + <ipxact:name>cdr_pll_prot_mode</ipxact:name> + <ipxact:displayName>cdr_pll_prot_mode</ipxact:displayName> + <ipxact:value>basic_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_requires_gt_capable_channel" type="string"> + <ipxact:name>cdr_pll_requires_gt_capable_channel</ipxact:name> + <ipxact:displayName>cdr_pll_requires_gt_capable_channel</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_reverse_serial_loopback" type="string"> + <ipxact:name>cdr_pll_reverse_serial_loopback</ipxact:name> + <ipxact:displayName>cdr_pll_reverse_serial_loopback</ipxact:displayName> + <ipxact:value>no_loopback</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_set_cdr_v2i_enable" type="string"> + <ipxact:name>cdr_pll_set_cdr_v2i_enable</ipxact:name> + <ipxact:displayName>cdr_pll_set_cdr_v2i_enable</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_set_cdr_vco_reset" type="string"> + <ipxact:name>cdr_pll_set_cdr_vco_reset</ipxact:name> + <ipxact:displayName>cdr_pll_set_cdr_vco_reset</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_set_cdr_vco_speed_pciegen3" type="string"> + <ipxact:name>cdr_pll_set_cdr_vco_speed_pciegen3</ipxact:name> + <ipxact:displayName>cdr_pll_set_cdr_vco_speed_pciegen3</ipxact:displayName> + <ipxact:value>cdr_vco_max_speedbin_pciegen3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_side" type="string"> + <ipxact:name>cdr_pll_side</ipxact:name> + <ipxact:displayName>cdr_pll_side</ipxact:displayName> + <ipxact:value>side_unknown</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_pm_speed_grade" type="string"> + <ipxact:name>cdr_pll_pm_speed_grade</ipxact:name> + <ipxact:displayName>cdr_pll_pm_speed_grade</ipxact:displayName> + <ipxact:value>e3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_sup_mode" type="string"> + <ipxact:name>cdr_pll_sup_mode</ipxact:name> + <ipxact:displayName>cdr_pll_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_top_or_bottom" type="string"> + <ipxact:name>cdr_pll_top_or_bottom</ipxact:name> + <ipxact:displayName>cdr_pll_top_or_bottom</ipxact:displayName> + <ipxact:value>tb_unknown</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_tx_pll_prot_mode" type="string"> + <ipxact:name>cdr_pll_tx_pll_prot_mode</ipxact:name> + <ipxact:displayName>cdr_pll_tx_pll_prot_mode</ipxact:displayName> + <ipxact:value>txpll_unused</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_txpll_hclk_driver_enable" type="string"> + <ipxact:name>cdr_pll_txpll_hclk_driver_enable</ipxact:name> + <ipxact:displayName>cdr_pll_txpll_hclk_driver_enable</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_vco_overrange_voltage" type="string"> + <ipxact:name>cdr_pll_vco_overrange_voltage</ipxact:name> + <ipxact:displayName>cdr_pll_vco_overrange_voltage</ipxact:displayName> + <ipxact:value>vco_overrange_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_vco_underrange_voltage" type="string"> + <ipxact:name>cdr_pll_vco_underrange_voltage</ipxact:name> + <ipxact:displayName>cdr_pll_vco_underrange_voltage</ipxact:displayName> + <ipxact:value>vco_underange_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_fb_select" type="string"> + <ipxact:name>cdr_pll_fb_select</ipxact:name> + <ipxact:displayName>cdr_pll_fb_select</ipxact:displayName> + <ipxact:value>direct_fb</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_uc_ro_cal" type="string"> + <ipxact:name>cdr_pll_uc_ro_cal</ipxact:name> + <ipxact:displayName>cdr_pll_uc_ro_cal</ipxact:displayName> + <ipxact:value>uc_ro_cal_on</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_uc_ro_cal_status" type="string"> + <ipxact:name>cdr_pll_uc_ro_cal_status</ipxact:name> + <ipxact:displayName>cdr_pll_uc_ro_cal_status</ipxact:displayName> + <ipxact:value>uc_ro_cal_notdone</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_iqclk_mux_sel" type="string"> + <ipxact:name>cdr_pll_iqclk_mux_sel</ipxact:name> + <ipxact:displayName>cdr_pll_iqclk_mux_sel</ipxact:displayName> + <ipxact:value>power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_uc_cru_rstb" type="string"> + <ipxact:name>cdr_pll_uc_cru_rstb</ipxact:name> + <ipxact:displayName>cdr_pll_uc_cru_rstb</ipxact:displayName> + <ipxact:value>cdr_lf_reset_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_pcie_gen" type="string"> + <ipxact:name>cdr_pll_pcie_gen</ipxact:name> + <ipxact:displayName>cdr_pll_pcie_gen</ipxact:displayName> + <ipxact:value>non_pcie</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_analog_mode" type="string"> + <ipxact:name>cdr_pll_analog_mode</ipxact:name> + <ipxact:displayName>cdr_pll_analog_mode</ipxact:displayName> + <ipxact:value>user_custom</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_f_max_m_counter" type="string"> + <ipxact:name>cdr_pll_f_max_m_counter</ipxact:name> + <ipxact:displayName>cdr_pll_f_max_m_counter</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_chgpmp_vccreg" type="string"> + <ipxact:name>cdr_pll_chgpmp_vccreg</ipxact:name> + <ipxact:displayName>cdr_pll_chgpmp_vccreg</ipxact:displayName> + <ipxact:value>vreg_fw0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_set_cdr_input_freq_range" type="int"> + <ipxact:name>cdr_pll_set_cdr_input_freq_range</ipxact:name> + <ipxact:displayName>cdr_pll_set_cdr_input_freq_range</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_chgpmp_current_dn_trim" type="string"> + <ipxact:name>cdr_pll_chgpmp_current_dn_trim</ipxact:name> + <ipxact:displayName>cdr_pll_chgpmp_current_dn_trim</ipxact:displayName> + <ipxact:value>cp_current_trimming_dn_setting0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_chgpmp_up_pd_trim_double" type="string"> + <ipxact:name>cdr_pll_chgpmp_up_pd_trim_double</ipxact:name> + <ipxact:displayName>cdr_pll_chgpmp_up_pd_trim_double</ipxact:displayName> + <ipxact:value>normal_up_trim_current</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_chgpmp_current_up_pd" type="string"> + <ipxact:name>cdr_pll_chgpmp_current_up_pd</ipxact:name> + <ipxact:displayName>cdr_pll_chgpmp_current_up_pd</ipxact:displayName> + <ipxact:value>cp_current_pd_up_setting3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_f_max_cmu_out_freq" type="string"> + <ipxact:name>cdr_pll_f_max_cmu_out_freq</ipxact:name> + <ipxact:displayName>cdr_pll_f_max_cmu_out_freq</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_chgpmp_current_up_trim" type="string"> + <ipxact:name>cdr_pll_chgpmp_current_up_trim</ipxact:name> + <ipxact:displayName>cdr_pll_chgpmp_current_up_trim</ipxact:displayName> + <ipxact:value>cp_current_trimming_up_setting0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_chgpmp_dn_pd_trim_double" type="string"> + <ipxact:name>cdr_pll_chgpmp_dn_pd_trim_double</ipxact:name> + <ipxact:displayName>cdr_pll_chgpmp_dn_pd_trim_double</ipxact:displayName> + <ipxact:value>normal_dn_trim_current</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_cal_vco_count_length" type="string"> + <ipxact:name>cdr_pll_cal_vco_count_length</ipxact:name> + <ipxact:displayName>cdr_pll_cal_vco_count_length</ipxact:displayName> + <ipxact:value>sel_8b_count</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_chgpmp_current_dn_pd" type="string"> + <ipxact:name>cdr_pll_chgpmp_current_dn_pd</ipxact:name> + <ipxact:displayName>cdr_pll_chgpmp_current_dn_pd</ipxact:displayName> + <ipxact:value>cp_current_pd_dn_setting3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_enable_idle_rx_channel_support" type="string"> + <ipxact:name>cdr_pll_enable_idle_rx_channel_support</ipxact:name> + <ipxact:displayName>cdr_pll_enable_idle_rx_channel_support</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_deser_silicon_rev" type="string"> + <ipxact:name>pma_rx_deser_silicon_rev</ipxact:name> + <ipxact:displayName>pma_rx_deser_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_deser_clkdiv_source" type="string"> + <ipxact:name>pma_rx_deser_clkdiv_source</ipxact:name> + <ipxact:displayName>pma_rx_deser_clkdiv_source</ipxact:displayName> + <ipxact:value>vco_bypass_normal</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_deser_clkdivrx_user_mode" type="string"> + <ipxact:name>pma_rx_deser_clkdivrx_user_mode</ipxact:name> + <ipxact:displayName>pma_rx_deser_clkdivrx_user_mode</ipxact:displayName> + <ipxact:value>clkdivrx_user_disabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_deser_datarate" type="string"> + <ipxact:name>pma_rx_deser_datarate</ipxact:name> + <ipxact:displayName>pma_rx_deser_datarate</ipxact:displayName> + <ipxact:value>10312500000 bps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_deser_deser_factor" type="int"> + <ipxact:name>pma_rx_deser_deser_factor</ipxact:name> + <ipxact:displayName>pma_rx_deser_deser_factor</ipxact:displayName> + <ipxact:value>32</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_deser_deser_powerdown" type="string"> + <ipxact:name>pma_rx_deser_deser_powerdown</ipxact:name> + <ipxact:displayName>pma_rx_deser_deser_powerdown</ipxact:displayName> + <ipxact:value>deser_power_up</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_deser_force_adaptation_outputs" type="string"> + <ipxact:name>pma_rx_deser_force_adaptation_outputs</ipxact:name> + <ipxact:displayName>pma_rx_deser_force_adaptation_outputs</ipxact:displayName> + <ipxact:value>normal_outputs</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_deser_force_clkdiv_for_testing" type="string"> + <ipxact:name>pma_rx_deser_force_clkdiv_for_testing</ipxact:name> + <ipxact:displayName>pma_rx_deser_force_clkdiv_for_testing</ipxact:displayName> + <ipxact:value>normal_clkdiv</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_deser_optimal" type="string"> + <ipxact:name>pma_rx_deser_optimal</ipxact:name> + <ipxact:displayName>pma_rx_deser_optimal</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_deser_sdclk_enable" type="string"> + <ipxact:name>pma_rx_deser_sdclk_enable</ipxact:name> + <ipxact:displayName>pma_rx_deser_sdclk_enable</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_deser_sup_mode" type="string"> + <ipxact:name>pma_rx_deser_sup_mode</ipxact:name> + <ipxact:displayName>pma_rx_deser_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_deser_rst_n_adapt_odi" type="string"> + <ipxact:name>pma_rx_deser_rst_n_adapt_odi</ipxact:name> + <ipxact:displayName>pma_rx_deser_rst_n_adapt_odi</ipxact:displayName> + <ipxact:value>no_rst_adapt_odi</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_deser_bitslip_bypass" type="string"> + <ipxact:name>pma_rx_deser_bitslip_bypass</ipxact:name> + <ipxact:displayName>pma_rx_deser_bitslip_bypass</ipxact:displayName> + <ipxact:value>bs_bypass_yes</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_deser_prot_mode" type="string"> + <ipxact:name>pma_rx_deser_prot_mode</ipxact:name> + <ipxact:displayName>pma_rx_deser_prot_mode</ipxact:displayName> + <ipxact:value>basic_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_deser_pcie_gen" type="string"> + <ipxact:name>pma_rx_deser_pcie_gen</ipxact:name> + <ipxact:displayName>pma_rx_deser_pcie_gen</ipxact:displayName> + <ipxact:value>non_pcie</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_deser_pcie_gen_bitwidth" type="string"> + <ipxact:name>pma_rx_deser_pcie_gen_bitwidth</ipxact:name> + <ipxact:displayName>pma_rx_deser_pcie_gen_bitwidth</ipxact:displayName> + <ipxact:value>pcie_gen3_32b</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_deser_tdr_mode" type="string"> + <ipxact:name>pma_rx_deser_tdr_mode</ipxact:name> + <ipxact:displayName>pma_rx_deser_tdr_mode</ipxact:displayName> + <ipxact:value>select_bbpd_data</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="data_rate_bps" type="string"> + <ipxact:name>data_rate_bps</ipxact:name> + <ipxact:displayName>data_rate_bps</ipxact:displayName> + <ipxact:value>10312500000 bps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_protocol_mode" type="string"> + <ipxact:name>l_protocol_mode</ipxact:name> + <ipxact:displayName>l_protocol_mode</ipxact:displayName> + <ipxact:value>teng_baser_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pcs_speedgrade" type="string"> + <ipxact:name>pcs_speedgrade</ipxact:name> + <ipxact:displayName>pcs_speedgrade</ipxact:displayName> + <ipxact:value>e3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_speedgrade" type="string"> + <ipxact:name>pma_speedgrade</ipxact:name> + <ipxact:displayName>pma_speedgrade</ipxact:displayName> + <ipxact:value>e3</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_module_parameters> + <altera:altera_system_parameters> + <ipxact:parameters> + <ipxact:parameter parameterId="device" type="string"> + <ipxact:name>device</ipxact:name> + <ipxact:displayName>Device</ipxact:displayName> + <ipxact:value>10AX115U3F45E2SG</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="deviceFamily" type="string"> + <ipxact:name>deviceFamily</ipxact:name> + <ipxact:displayName>Device family</ipxact:displayName> + <ipxact:value>Arria 10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="deviceSpeedGrade" type="string"> + <ipxact:name>deviceSpeedGrade</ipxact:name> + <ipxact:displayName>Device Speed Grade</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="generationId" type="int"> + <ipxact:name>generationId</ipxact:name> + <ipxact:displayName>Generation Id</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="bonusData" type="string"> + <ipxact:name>bonusData</ipxact:name> + <ipxact:displayName>bonusData</ipxact:displayName> + <ipxact:value>bonusData +{ + element xcvr_native_a10_0 + { + datum _sortIndex + { + value = "0"; + type = "int"; + } + } +} +</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hideFromIPCatalog" type="bit"> + <ipxact:name>hideFromIPCatalog</ipxact:name> + <ipxact:displayName>Hide from IP Catalog</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="lockedInterfaceDefinition" type="string"> + <ipxact:name>lockedInterfaceDefinition</ipxact:name> + <ipxact:displayName>lockedInterfaceDefinition</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="systemInfos" type="string"> + <ipxact:name>systemInfos</ipxact:name> + <ipxact:displayName>systemInfos</ipxact:displayName> + <ipxact:value><systemInfosDefinition> + <connPtSystemInfos/> +</systemInfosDefinition></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_system_parameters> + <altera:altera_interface_boundary> + <altera:interface_mapping altera:name="reconfig_avmm" altera:internal="xcvr_native_a10_0.reconfig_avmm" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="reconfig_address" altera:internal="reconfig_address"></altera:port_mapping> + <altera:port_mapping altera:name="reconfig_read" altera:internal="reconfig_read"></altera:port_mapping> + <altera:port_mapping altera:name="reconfig_readdata" altera:internal="reconfig_readdata"></altera:port_mapping> + <altera:port_mapping altera:name="reconfig_waitrequest" altera:internal="reconfig_waitrequest"></altera:port_mapping> + <altera:port_mapping altera:name="reconfig_write" altera:internal="reconfig_write"></altera:port_mapping> + <altera:port_mapping altera:name="reconfig_writedata" altera:internal="reconfig_writedata"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="reconfig_clk" altera:internal="xcvr_native_a10_0.reconfig_clk" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="reconfig_clk" altera:internal="reconfig_clk"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="reconfig_reset" altera:internal="xcvr_native_a10_0.reconfig_reset" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="reconfig_reset" altera:internal="reconfig_reset"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_analogreset" altera:internal="xcvr_native_a10_0.rx_analogreset" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_analogreset" altera:internal="rx_analogreset"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_cal_busy" altera:internal="xcvr_native_a10_0.rx_cal_busy" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_cal_busy" altera:internal="rx_cal_busy"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_cdr_refclk0" altera:internal="xcvr_native_a10_0.rx_cdr_refclk0" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_cdr_refclk0" altera:internal="rx_cdr_refclk0"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_clkout" altera:internal="xcvr_native_a10_0.rx_clkout" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_clkout" altera:internal="rx_clkout"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_control" altera:internal="xcvr_native_a10_0.rx_control" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_control" altera:internal="rx_control"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_coreclkin" altera:internal="xcvr_native_a10_0.rx_coreclkin" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_coreclkin" altera:internal="rx_coreclkin"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_digitalreset" altera:internal="xcvr_native_a10_0.rx_digitalreset" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_digitalreset" altera:internal="rx_digitalreset"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_enh_blk_lock" altera:internal="xcvr_native_a10_0.rx_enh_blk_lock" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_enh_blk_lock" altera:internal="rx_enh_blk_lock"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_enh_data_valid" altera:internal="xcvr_native_a10_0.rx_enh_data_valid" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_enh_data_valid" altera:internal="rx_enh_data_valid"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_enh_fifo_del" altera:internal="xcvr_native_a10_0.rx_enh_fifo_del" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_enh_fifo_del" altera:internal="rx_enh_fifo_del"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_enh_fifo_empty" altera:internal="xcvr_native_a10_0.rx_enh_fifo_empty" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_enh_fifo_empty" altera:internal="rx_enh_fifo_empty"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_enh_fifo_full" altera:internal="xcvr_native_a10_0.rx_enh_fifo_full" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_enh_fifo_full" altera:internal="rx_enh_fifo_full"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_enh_fifo_insert" altera:internal="xcvr_native_a10_0.rx_enh_fifo_insert" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_enh_fifo_insert" altera:internal="rx_enh_fifo_insert"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_enh_highber" altera:internal="xcvr_native_a10_0.rx_enh_highber" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_enh_highber" altera:internal="rx_enh_highber"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_is_lockedtodata" altera:internal="xcvr_native_a10_0.rx_is_lockedtodata" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_is_lockedtodata" altera:internal="rx_is_lockedtodata"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_is_lockedtoref" altera:internal="xcvr_native_a10_0.rx_is_lockedtoref" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_is_lockedtoref" altera:internal="rx_is_lockedtoref"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_parallel_data" altera:internal="xcvr_native_a10_0.rx_parallel_data" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_parallel_data" altera:internal="rx_parallel_data"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_prbs_done" altera:internal="xcvr_native_a10_0.rx_prbs_done" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_prbs_done" altera:internal="rx_prbs_done"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_prbs_err" altera:internal="xcvr_native_a10_0.rx_prbs_err" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_prbs_err" altera:internal="rx_prbs_err"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_prbs_err_clr" altera:internal="xcvr_native_a10_0.rx_prbs_err_clr" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_prbs_err_clr" altera:internal="rx_prbs_err_clr"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_serial_data" altera:internal="xcvr_native_a10_0.rx_serial_data" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_serial_data" altera:internal="rx_serial_data"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_seriallpbken" altera:internal="xcvr_native_a10_0.rx_seriallpbken" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_seriallpbken" altera:internal="rx_seriallpbken"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_analogreset" altera:internal="xcvr_native_a10_0.tx_analogreset" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_analogreset" altera:internal="tx_analogreset"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_cal_busy" altera:internal="xcvr_native_a10_0.tx_cal_busy" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_cal_busy" altera:internal="tx_cal_busy"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_clkout" altera:internal="xcvr_native_a10_0.tx_clkout" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_clkout" altera:internal="tx_clkout"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_control" altera:internal="xcvr_native_a10_0.tx_control" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_control" altera:internal="tx_control"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_coreclkin" altera:internal="xcvr_native_a10_0.tx_coreclkin" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_coreclkin" altera:internal="tx_coreclkin"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_digitalreset" altera:internal="xcvr_native_a10_0.tx_digitalreset" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_digitalreset" altera:internal="tx_digitalreset"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_enh_data_valid" altera:internal="xcvr_native_a10_0.tx_enh_data_valid" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_enh_data_valid" altera:internal="tx_enh_data_valid"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_enh_fifo_empty" altera:internal="xcvr_native_a10_0.tx_enh_fifo_empty" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_enh_fifo_empty" altera:internal="tx_enh_fifo_empty"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_enh_fifo_full" altera:internal="xcvr_native_a10_0.tx_enh_fifo_full" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_enh_fifo_full" altera:internal="tx_enh_fifo_full"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_enh_fifo_pempty" altera:internal="xcvr_native_a10_0.tx_enh_fifo_pempty" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_enh_fifo_pempty" altera:internal="tx_enh_fifo_pempty"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_enh_fifo_pfull" altera:internal="xcvr_native_a10_0.tx_enh_fifo_pfull" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_enh_fifo_pfull" altera:internal="tx_enh_fifo_pfull"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_err_ins" altera:internal="xcvr_native_a10_0.tx_err_ins" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_err_ins" altera:internal="tx_err_ins"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_parallel_data" altera:internal="xcvr_native_a10_0.tx_parallel_data" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_parallel_data" altera:internal="tx_parallel_data"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_serial_clk0" altera:internal="xcvr_native_a10_0.tx_serial_clk0" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_serial_clk0" altera:internal="tx_serial_clk0"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_serial_data" altera:internal="xcvr_native_a10_0.tx_serial_data" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_serial_data" altera:internal="tx_serial_data"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="unused_rx_control" altera:internal="xcvr_native_a10_0.unused_rx_control" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="unused_rx_control" altera:internal="unused_rx_control"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="unused_rx_parallel_data" altera:internal="xcvr_native_a10_0.unused_rx_parallel_data" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="unused_rx_parallel_data" altera:internal="unused_rx_parallel_data"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="unused_tx_control" altera:internal="xcvr_native_a10_0.unused_tx_control" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="unused_tx_control" altera:internal="unused_tx_control"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="unused_tx_parallel_data" altera:internal="xcvr_native_a10_0.unused_tx_parallel_data" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="unused_tx_parallel_data" altera:internal="unused_tx_parallel_data"></altera:port_mapping> + </altera:interface_mapping> + </altera:altera_interface_boundary> + <altera:altera_has_warnings>false</altera:altera_has_warnings> + <altera:altera_has_errors>false</altera:altera_has_errors> + </ipxact:vendorExtensions> +</ipxact:component> \ No newline at end of file diff --git a/libraries/technology/ip_arria10_e2sg/phy_10gbase_r_12/ip_arria10_e2sg_phy_10gbase_r_12.qsys b/libraries/technology/ip_arria10_e2sg/phy_10gbase_r_12/ip_arria10_e2sg_phy_10gbase_r_12.qsys deleted file mode 100644 index 86f7cea5e9..0000000000 --- a/libraries/technology/ip_arria10_e2sg/phy_10gbase_r_12/ip_arria10_e2sg_phy_10gbase_r_12.qsys +++ /dev/null @@ -1,627 +0,0 @@ -<?xml version="1.0" encoding="UTF-8"?> -<system name="ip_arria10_e2sg_phy_10gbase_r_12"> - <component - name="$${FILENAME}" - displayName="$${FILENAME}" - version="1.0" - description="" - tags="AUTHORSHIP=Intel Corporation /// INTERNAL_COMPONENT=true" - categories="System" - tool="QsysStandard" /> - <parameter name="bonusData"><![CDATA[bonusData -{ - element $system - { - } - element xcvr_native_a10_0 - { - datum _sortIndex - { - value = "0"; - type = "int"; - } - } -} -]]></parameter> - <parameter name="device" value="10AX115U3F45E2SG" /> - <parameter name="deviceFamily" value="Arria 10" /> - <parameter name="deviceSpeedGrade" value="2" /> - <parameter name="fabricMode" value="QSYS" /> - <parameter name="generateLegacySim" value="false" /> - <parameter name="generationId" value="0" /> - <parameter name="globalResetBus" value="false" /> - <parameter name="hdlLanguage" value="VERILOG" /> - <parameter name="hideFromIPCatalog" value="true" /> - <parameter name="lockedInterfaceDefinition" value="" /> - <parameter name="sopcBorderPoints" value="false" /> - <parameter name="systemHash" value="0" /> - <parameter name="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos/> -</systemInfosDefinition>]]></parameter> - <parameter name="systemScripts" value="" /> - <parameter name="testBenchDutName" value="" /> - <parameter name="timeStamp" value="0" /> - <parameter name="useTestBenchNamingPattern" value="false" /> - <instanceScript></instanceScript> - <interface - name="reconfig_avmm" - internal="xcvr_native_a10_0.reconfig_avmm" - type="conduit" - dir="end"> - <port name="reconfig_address" internal="reconfig_address" /> - <port name="reconfig_read" internal="reconfig_read" /> - <port name="reconfig_readdata" internal="reconfig_readdata" /> - <port name="reconfig_waitrequest" internal="reconfig_waitrequest" /> - <port name="reconfig_write" internal="reconfig_write" /> - <port name="reconfig_writedata" internal="reconfig_writedata" /> - </interface> - <interface - name="reconfig_clk" - internal="xcvr_native_a10_0.reconfig_clk" - type="conduit" - dir="end"> - <port name="reconfig_clk" internal="reconfig_clk" /> - </interface> - <interface - name="reconfig_reset" - internal="xcvr_native_a10_0.reconfig_reset" - type="conduit" - dir="end"> - <port name="reconfig_reset" internal="reconfig_reset" /> - </interface> - <interface - name="rx_analogreset" - internal="xcvr_native_a10_0.rx_analogreset" - type="conduit" - dir="end"> - <port name="rx_analogreset" internal="rx_analogreset" /> - </interface> - <interface - name="rx_cal_busy" - internal="xcvr_native_a10_0.rx_cal_busy" - type="conduit" - dir="end"> - <port name="rx_cal_busy" internal="rx_cal_busy" /> - </interface> - <interface - name="rx_cdr_refclk0" - internal="xcvr_native_a10_0.rx_cdr_refclk0" - type="conduit" - dir="end"> - <port name="rx_cdr_refclk0" internal="rx_cdr_refclk0" /> - </interface> - <interface - name="rx_clkout" - internal="xcvr_native_a10_0.rx_clkout" - type="conduit" - dir="end"> - <port name="rx_clkout" internal="rx_clkout" /> - </interface> - <interface - name="rx_control" - internal="xcvr_native_a10_0.rx_control" - type="conduit" - dir="end"> - <port name="rx_control" internal="rx_control" /> - </interface> - <interface - name="rx_coreclkin" - internal="xcvr_native_a10_0.rx_coreclkin" - type="conduit" - dir="end"> - <port name="rx_coreclkin" internal="rx_coreclkin" /> - </interface> - <interface - name="rx_digitalreset" - internal="xcvr_native_a10_0.rx_digitalreset" - type="conduit" - dir="end"> - <port name="rx_digitalreset" internal="rx_digitalreset" /> - </interface> - <interface - name="rx_enh_blk_lock" - internal="xcvr_native_a10_0.rx_enh_blk_lock" - type="conduit" - dir="end"> - <port name="rx_enh_blk_lock" internal="rx_enh_blk_lock" /> - </interface> - <interface - name="rx_enh_data_valid" - internal="xcvr_native_a10_0.rx_enh_data_valid" - type="conduit" - dir="end"> - <port name="rx_enh_data_valid" internal="rx_enh_data_valid" /> - </interface> - <interface - name="rx_enh_fifo_del" - internal="xcvr_native_a10_0.rx_enh_fifo_del" - type="conduit" - dir="end"> - <port name="rx_enh_fifo_del" internal="rx_enh_fifo_del" /> - </interface> - <interface - name="rx_enh_fifo_empty" - internal="xcvr_native_a10_0.rx_enh_fifo_empty" - type="conduit" - dir="end"> - <port name="rx_enh_fifo_empty" internal="rx_enh_fifo_empty" /> - </interface> - <interface - name="rx_enh_fifo_full" - internal="xcvr_native_a10_0.rx_enh_fifo_full" - type="conduit" - dir="end"> - <port name="rx_enh_fifo_full" internal="rx_enh_fifo_full" /> - </interface> - <interface - name="rx_enh_fifo_insert" - internal="xcvr_native_a10_0.rx_enh_fifo_insert" - type="conduit" - dir="end"> - <port name="rx_enh_fifo_insert" internal="rx_enh_fifo_insert" /> - </interface> - <interface - name="rx_enh_highber" - internal="xcvr_native_a10_0.rx_enh_highber" - type="conduit" - dir="end"> - <port name="rx_enh_highber" internal="rx_enh_highber" /> - </interface> - <interface - name="rx_is_lockedtodata" - internal="xcvr_native_a10_0.rx_is_lockedtodata" - type="conduit" - dir="end"> - <port name="rx_is_lockedtodata" internal="rx_is_lockedtodata" /> - </interface> - <interface - name="rx_is_lockedtoref" - internal="xcvr_native_a10_0.rx_is_lockedtoref" - type="conduit" - dir="end"> - <port name="rx_is_lockedtoref" internal="rx_is_lockedtoref" /> - </interface> - <interface - name="rx_parallel_data" - internal="xcvr_native_a10_0.rx_parallel_data" - type="conduit" - dir="end"> - <port name="rx_parallel_data" internal="rx_parallel_data" /> - </interface> - <interface - name="rx_prbs_done" - internal="xcvr_native_a10_0.rx_prbs_done" - type="conduit" - dir="end"> - <port name="rx_prbs_done" internal="rx_prbs_done" /> - </interface> - <interface - name="rx_prbs_err" - internal="xcvr_native_a10_0.rx_prbs_err" - type="conduit" - dir="end"> - <port name="rx_prbs_err" internal="rx_prbs_err" /> - </interface> - <interface - name="rx_prbs_err_clr" - internal="xcvr_native_a10_0.rx_prbs_err_clr" - type="conduit" - dir="end"> - <port name="rx_prbs_err_clr" internal="rx_prbs_err_clr" /> - </interface> - <interface - name="rx_serial_data" - internal="xcvr_native_a10_0.rx_serial_data" - type="conduit" - dir="end"> - <port name="rx_serial_data" internal="rx_serial_data" /> - </interface> - <interface - name="rx_seriallpbken" - internal="xcvr_native_a10_0.rx_seriallpbken" - type="conduit" - dir="end"> - <port name="rx_seriallpbken" internal="rx_seriallpbken" /> - </interface> - <interface - name="tx_analogreset" - internal="xcvr_native_a10_0.tx_analogreset" - type="conduit" - dir="end"> - <port name="tx_analogreset" internal="tx_analogreset" /> - </interface> - <interface - name="tx_cal_busy" - internal="xcvr_native_a10_0.tx_cal_busy" - type="conduit" - dir="end"> - <port name="tx_cal_busy" internal="tx_cal_busy" /> - </interface> - <interface - name="tx_clkout" - internal="xcvr_native_a10_0.tx_clkout" - type="conduit" - dir="end"> - <port name="tx_clkout" internal="tx_clkout" /> - </interface> - <interface - name="tx_control" - internal="xcvr_native_a10_0.tx_control" - type="conduit" - dir="end"> - <port name="tx_control" internal="tx_control" /> - </interface> - <interface - name="tx_coreclkin" - internal="xcvr_native_a10_0.tx_coreclkin" - type="conduit" - dir="end"> - <port name="tx_coreclkin" internal="tx_coreclkin" /> - </interface> - <interface - name="tx_digitalreset" - internal="xcvr_native_a10_0.tx_digitalreset" - type="conduit" - dir="end"> - <port name="tx_digitalreset" internal="tx_digitalreset" /> - </interface> - <interface - name="tx_enh_data_valid" - internal="xcvr_native_a10_0.tx_enh_data_valid" - type="conduit" - dir="end"> - <port name="tx_enh_data_valid" internal="tx_enh_data_valid" /> - </interface> - <interface - name="tx_enh_fifo_empty" - internal="xcvr_native_a10_0.tx_enh_fifo_empty" - type="conduit" - dir="end"> - <port name="tx_enh_fifo_empty" internal="tx_enh_fifo_empty" /> - </interface> - <interface - name="tx_enh_fifo_full" - internal="xcvr_native_a10_0.tx_enh_fifo_full" - type="conduit" - dir="end"> - <port name="tx_enh_fifo_full" internal="tx_enh_fifo_full" /> - </interface> - <interface - name="tx_enh_fifo_pempty" - internal="xcvr_native_a10_0.tx_enh_fifo_pempty" - type="conduit" - dir="end"> - <port name="tx_enh_fifo_pempty" internal="tx_enh_fifo_pempty" /> - </interface> - <interface - name="tx_enh_fifo_pfull" - internal="xcvr_native_a10_0.tx_enh_fifo_pfull" - type="conduit" - dir="end"> - <port name="tx_enh_fifo_pfull" internal="tx_enh_fifo_pfull" /> - </interface> - <interface - name="tx_err_ins" - internal="xcvr_native_a10_0.tx_err_ins" - type="conduit" - dir="end"> - <port name="tx_err_ins" internal="tx_err_ins" /> - </interface> - <interface - name="tx_parallel_data" - internal="xcvr_native_a10_0.tx_parallel_data" - type="conduit" - dir="end"> - <port name="tx_parallel_data" internal="tx_parallel_data" /> - </interface> - <interface name="tx_pma_clkout" internal="xcvr_native_a10_0.tx_pma_clkout" /> - <interface - name="tx_pma_div_clkout" - internal="xcvr_native_a10_0.tx_pma_div_clkout" /> - <interface - name="tx_serial_clk0" - internal="xcvr_native_a10_0.tx_serial_clk0" - type="conduit" - dir="end"> - <port name="tx_serial_clk0" internal="tx_serial_clk0" /> - </interface> - <interface - name="tx_serial_data" - internal="xcvr_native_a10_0.tx_serial_data" - type="conduit" - dir="end"> - <port name="tx_serial_data" internal="tx_serial_data" /> - </interface> - <interface - name="unused_rx_control" - internal="xcvr_native_a10_0.unused_rx_control" - type="conduit" - dir="end"> - <port name="unused_rx_control" internal="unused_rx_control" /> - </interface> - <interface - name="unused_rx_parallel_data" - internal="xcvr_native_a10_0.unused_rx_parallel_data" - type="conduit" - dir="end"> - <port name="unused_rx_parallel_data" internal="unused_rx_parallel_data" /> - </interface> - <interface - name="unused_tx_control" - internal="xcvr_native_a10_0.unused_tx_control" - type="conduit" - dir="end"> - <port name="unused_tx_control" internal="unused_tx_control" /> - </interface> - <interface - name="unused_tx_parallel_data" - internal="xcvr_native_a10_0.unused_tx_parallel_data" - type="conduit" - dir="end"> - <port name="unused_tx_parallel_data" internal="unused_tx_parallel_data" /> - </interface> - <module - name="xcvr_native_a10_0" - kind="altera_xcvr_native_a10" - version="19.1" - enabled="1" - autoexport="1"> - <parameter name="anlg_enable_rx_default_ovr" value="0" /> - <parameter name="anlg_enable_tx_default_ovr" value="0" /> - <parameter name="anlg_link" value="sr" /> - <parameter name="anlg_rx_adp_ctle_acgain_4s">radp_ctle_acgain_4s_1</parameter> - <parameter name="anlg_rx_adp_ctle_eqz_1s_sel">radp_ctle_eqz_1s_sel_3</parameter> - <parameter name="anlg_rx_adp_dfe_fxtap1" value="radp_dfe_fxtap1_0" /> - <parameter name="anlg_rx_adp_dfe_fxtap10" value="radp_dfe_fxtap10_0" /> - <parameter name="anlg_rx_adp_dfe_fxtap11" value="radp_dfe_fxtap11_0" /> - <parameter name="anlg_rx_adp_dfe_fxtap2" value="radp_dfe_fxtap2_0" /> - <parameter name="anlg_rx_adp_dfe_fxtap3" value="radp_dfe_fxtap3_0" /> - <parameter name="anlg_rx_adp_dfe_fxtap4" value="radp_dfe_fxtap4_0" /> - <parameter name="anlg_rx_adp_dfe_fxtap5" value="radp_dfe_fxtap5_0" /> - <parameter name="anlg_rx_adp_dfe_fxtap6" value="radp_dfe_fxtap6_0" /> - <parameter name="anlg_rx_adp_dfe_fxtap7" value="radp_dfe_fxtap7_0" /> - <parameter name="anlg_rx_adp_dfe_fxtap8" value="radp_dfe_fxtap8_0" /> - <parameter name="anlg_rx_adp_dfe_fxtap9" value="radp_dfe_fxtap9_0" /> - <parameter name="anlg_rx_adp_vga_sel" value="radp_vga_sel_2" /> - <parameter name="anlg_rx_eq_dc_gain_trim" value="stg2_gain7" /> - <parameter name="anlg_rx_one_stage_enable" value="s1_mode" /> - <parameter name="anlg_rx_term_sel" value="r_r1" /> - <parameter name="anlg_tx_analog_mode" value="user_custom" /> - <parameter name="anlg_tx_compensation_en" value="enable" /> - <parameter name="anlg_tx_pre_emp_sign_1st_post_tap" value="fir_post_1t_neg" /> - <parameter name="anlg_tx_pre_emp_sign_2nd_post_tap" value="fir_post_2t_neg" /> - <parameter name="anlg_tx_pre_emp_sign_pre_tap_1t" value="fir_pre_1t_neg" /> - <parameter name="anlg_tx_pre_emp_sign_pre_tap_2t" value="fir_pre_2t_neg" /> - <parameter name="anlg_tx_pre_emp_switching_ctrl_1st_post_tap" value="0" /> - <parameter name="anlg_tx_pre_emp_switching_ctrl_2nd_post_tap" value="0" /> - <parameter name="anlg_tx_pre_emp_switching_ctrl_pre_tap_1t" value="0" /> - <parameter name="anlg_tx_pre_emp_switching_ctrl_pre_tap_2t" value="0" /> - <parameter name="anlg_tx_slew_rate_ctrl" value="slew_r7" /> - <parameter name="anlg_tx_term_sel" value="r_r1" /> - <parameter name="anlg_tx_vod_output_swing_ctrl" value="0" /> - <parameter name="anlg_voltage" value="1_1V" /> - <parameter name="base_device" value="NIGHTFURY5" /> - <parameter name="bonded_mode" value="not_bonded" /> - <parameter name="cdr_refclk_cnt" value="1" /> - <parameter name="cdr_refclk_select" value="0" /> - <parameter name="channels" value="12" /> - <parameter name="design_environment" value="NATIVE" /> - <parameter name="device" value="10AX115U3F45E2SG" /> - <parameter name="device_family" value="Arria 10" /> - <parameter name="disable_continuous_dfe" value="false" /> - <parameter name="duplex_mode" value="duplex" /> - <parameter name="enable_analog_settings" value="0" /> - <parameter name="enable_hard_reset" value="0" /> - <parameter name="enable_hip" value="0" /> - <parameter name="enable_parallel_loopback" value="0" /> - <parameter name="enable_pcie_data_mask_option" value="0" /> - <parameter name="enable_pcie_dfe_ip" value="false" /> - <parameter name="enable_port_krfec_rx_enh_frame" value="0" /> - <parameter name="enable_port_krfec_rx_enh_frame_diag_status" value="0" /> - <parameter name="enable_port_krfec_tx_enh_frame" value="0" /> - <parameter name="enable_port_pipe_rx_polarity" value="0" /> - <parameter name="enable_port_rx_analog_reset_ack" value="0" /> - <parameter name="enable_port_rx_enh_bitslip" value="0" /> - <parameter name="enable_port_rx_enh_blk_lock" value="1" /> - <parameter name="enable_port_rx_enh_clr_errblk_count" value="0" /> - <parameter name="enable_port_rx_enh_clr_errblk_count_c10" value="0" /> - <parameter name="enable_port_rx_enh_crc32_err" value="0" /> - <parameter name="enable_port_rx_enh_data_valid" value="1" /> - <parameter name="enable_port_rx_enh_fifo_align_clr" value="0" /> - <parameter name="enable_port_rx_enh_fifo_align_val" value="0" /> - <parameter name="enable_port_rx_enh_fifo_cnt" value="0" /> - <parameter name="enable_port_rx_enh_fifo_del" value="1" /> - <parameter name="enable_port_rx_enh_fifo_empty" value="1" /> - <parameter name="enable_port_rx_enh_fifo_full" value="1" /> - <parameter name="enable_port_rx_enh_fifo_insert" value="1" /> - <parameter name="enable_port_rx_enh_fifo_pempty" value="0" /> - <parameter name="enable_port_rx_enh_fifo_pfull" value="0" /> - <parameter name="enable_port_rx_enh_fifo_rd_en" value="0" /> - <parameter name="enable_port_rx_enh_frame" value="0" /> - <parameter name="enable_port_rx_enh_frame_diag_status" value="0" /> - <parameter name="enable_port_rx_enh_frame_lock" value="0" /> - <parameter name="enable_port_rx_enh_highber" value="1" /> - <parameter name="enable_port_rx_enh_highber_clr_cnt" value="0" /> - <parameter name="enable_port_rx_is_lockedtodata" value="1" /> - <parameter name="enable_port_rx_is_lockedtoref" value="1" /> - <parameter name="enable_port_rx_pma_clkout" value="0" /> - <parameter name="enable_port_rx_pma_clkslip" value="0" /> - <parameter name="enable_port_rx_pma_div_clkout" value="0" /> - <parameter name="enable_port_rx_pma_iqtxrx_clkout" value="0" /> - <parameter name="enable_port_rx_pma_qpipulldn" value="0" /> - <parameter name="enable_port_rx_polinv" value="0" /> - <parameter name="enable_port_rx_seriallpbken" value="1" /> - <parameter name="enable_port_rx_seriallpbken_tx" value="1" /> - <parameter name="enable_port_rx_signaldetect" value="0" /> - <parameter name="enable_port_rx_std_bitrev_ena" value="0" /> - <parameter name="enable_port_rx_std_bitslip" value="0" /> - <parameter name="enable_port_rx_std_bitslipboundarysel" value="0" /> - <parameter name="enable_port_rx_std_byterev_ena" value="0" /> - <parameter name="enable_port_rx_std_pcfifo_empty" value="0" /> - <parameter name="enable_port_rx_std_pcfifo_full" value="0" /> - <parameter name="enable_port_rx_std_rmfifo_empty" value="0" /> - <parameter name="enable_port_rx_std_rmfifo_full" value="0" /> - <parameter name="enable_port_rx_std_signaldetect" value="0" /> - <parameter name="enable_port_rx_std_wa_a1a2size" value="0" /> - <parameter name="enable_port_rx_std_wa_patternalign" value="0" /> - <parameter name="enable_port_tx_analog_reset_ack" value="0" /> - <parameter name="enable_port_tx_enh_bitslip" value="0" /> - <parameter name="enable_port_tx_enh_fifo_cnt" value="0" /> - <parameter name="enable_port_tx_enh_fifo_empty" value="1" /> - <parameter name="enable_port_tx_enh_fifo_full" value="1" /> - <parameter name="enable_port_tx_enh_fifo_pempty" value="1" /> - <parameter name="enable_port_tx_enh_fifo_pfull" value="1" /> - <parameter name="enable_port_tx_enh_frame" value="0" /> - <parameter name="enable_port_tx_enh_frame_burst_en" value="0" /> - <parameter name="enable_port_tx_enh_frame_diag_status" value="0" /> - <parameter name="enable_port_tx_pma_clkout" value="0" /> - <parameter name="enable_port_tx_pma_div_clkout" value="0" /> - <parameter name="enable_port_tx_pma_elecidle" value="0" /> - <parameter name="enable_port_tx_pma_iqtxrx_clkout" value="0" /> - <parameter name="enable_port_tx_pma_qpipulldn" value="0" /> - <parameter name="enable_port_tx_pma_qpipullup" value="0" /> - <parameter name="enable_port_tx_pma_rxfound" value="0" /> - <parameter name="enable_port_tx_pma_txdetectrx" value="0" /> - <parameter name="enable_port_tx_polinv" value="0" /> - <parameter name="enable_port_tx_std_bitslipboundarysel" value="0" /> - <parameter name="enable_port_tx_std_pcfifo_empty" value="0" /> - <parameter name="enable_port_tx_std_pcfifo_full" value="0" /> - <parameter name="enable_ports_adaptation" value="0" /> - <parameter name="enable_ports_pipe_g3_analog" value="0" /> - <parameter name="enable_ports_pipe_hclk" value="0" /> - <parameter name="enable_ports_pipe_rx_elecidle" value="0" /> - <parameter name="enable_ports_pipe_sw" value="0" /> - <parameter name="enable_ports_rx_manual_cdr_mode" value="0" /> - <parameter name="enable_ports_rx_manual_ppm" value="0" /> - <parameter name="enable_ports_rx_prbs" value="1" /> - <parameter name="enable_simple_interface" value="1" /> - <parameter name="enable_skp_ports" value="0" /> - <parameter name="enable_split_interface" value="0" /> - <parameter name="enable_transparent_pcs" value="0" /> - <parameter name="enable_upi_pipeline_options" value="0" /> - <parameter name="enh_low_latency_enable" value="0" /> - <parameter name="enh_pcs_pma_width" value="32" /> - <parameter name="enh_pld_pcs_width" value="66" /> - <parameter name="enh_rx_64b66b_enable" value="1" /> - <parameter name="enh_rx_bitslip_enable" value="0" /> - <parameter name="enh_rx_blksync_enable" value="1" /> - <parameter name="enh_rx_crcchk_enable" value="0" /> - <parameter name="enh_rx_descram_enable" value="1" /> - <parameter name="enh_rx_dispchk_enable" value="0" /> - <parameter name="enh_rx_frmsync_enable" value="0" /> - <parameter name="enh_rx_frmsync_mfrm_length" value="2048" /> - <parameter name="enh_rx_krfec_err_mark_enable" value="0" /> - <parameter name="enh_rx_krfec_err_mark_type" value="10G" /> - <parameter name="enh_rx_polinv_enable" value="0" /> - <parameter name="enh_rxfifo_align_del" value="0" /> - <parameter name="enh_rxfifo_control_del" value="0" /> - <parameter name="enh_rxfifo_mode" value="10GBase-R" /> - <parameter name="enh_rxfifo_pempty" value="2" /> - <parameter name="enh_rxfifo_pfull" value="23" /> - <parameter name="enh_rxtxfifo_double_width" value="0" /> - <parameter name="enh_tx_64b66b_enable" value="1" /> - <parameter name="enh_tx_bitslip_enable" value="0" /> - <parameter name="enh_tx_crcerr_enable" value="0" /> - <parameter name="enh_tx_crcgen_enable" value="0" /> - <parameter name="enh_tx_dispgen_enable" value="0" /> - <parameter name="enh_tx_frmgen_burst_enable" value="0" /> - <parameter name="enh_tx_frmgen_enable" value="0" /> - <parameter name="enh_tx_frmgen_mfrm_length" value="2048" /> - <parameter name="enh_tx_krfec_burst_err_enable" value="0" /> - <parameter name="enh_tx_krfec_burst_err_len" value="1" /> - <parameter name="enh_tx_polinv_enable" value="0" /> - <parameter name="enh_tx_randomdispbit_enable" value="0" /> - <parameter name="enh_tx_scram_enable" value="1" /> - <parameter name="enh_tx_scram_seed" value="288230376151711743" /> - <parameter name="enh_tx_sh_err" value="0" /> - <parameter name="enh_txfifo_mode" value="Phase compensation" /> - <parameter name="enh_txfifo_pempty" value="2" /> - <parameter name="enh_txfifo_pfull" value="11" /> - <parameter name="generate_add_hdl_instance_example" value="0" /> - <parameter name="generate_docs" value="1" /> - <parameter name="message_level" value="error" /> - <parameter name="number_physical_bonding_clocks" value="1" /> - <parameter name="pcie_rate_match" value="Bypass" /> - <parameter name="pcs_direct_width" value="8" /> - <parameter name="pcs_tx_delay1_ctrl" value="delay1_path0" /> - <parameter name="pcs_tx_delay1_data_sel" value="one_ff_delay" /> - <parameter name="pcs_tx_delay2_ctrl" value="delay2_path0" /> - <parameter name="pll_select" value="0" /> - <parameter name="plls" value="1" /> - <parameter name="pma_mode" value="basic" /> - <parameter name="protocol_mode" value="teng_baser_mode" /> - <parameter name="rcfg_enable" value="1" /> - <parameter name="rcfg_enable_avmm_busy_port" value="0" /> - <parameter name="rcfg_file_prefix">altera_xcvr_native_a10</parameter> - <parameter name="rcfg_h_file_enable" value="1" /> - <parameter name="rcfg_iface_enable" value="0" /> - <parameter name="rcfg_jtag_enable" value="1" /> - <parameter name="rcfg_mif_file_enable" value="1" /> - <parameter name="rcfg_multi_enable" value="0" /> - <parameter name="rcfg_profile_cnt" value="2" /> - <parameter name="rcfg_profile_data0" value="" /> - <parameter name="rcfg_profile_data1" value="" /> - <parameter name="rcfg_profile_data2" value="" /> - <parameter name="rcfg_profile_data3" value="" /> - <parameter name="rcfg_profile_data4" value="" /> - <parameter name="rcfg_profile_data5" value="" /> - <parameter name="rcfg_profile_data6" value="" /> - <parameter name="rcfg_profile_data7" value="" /> - <parameter name="rcfg_profile_select" value="1" /> - <parameter name="rcfg_reduced_files_enable" value="0" /> - <parameter name="rcfg_separate_avmm_busy" value="0" /> - <parameter name="rcfg_shared" value="1" /> - <parameter name="rcfg_sv_file_enable" value="1" /> - <parameter name="rx_pma_ctle_adaptation_mode" value="manual" /> - <parameter name="rx_pma_dfe_adaptation_mode" value="disabled" /> - <parameter name="rx_pma_dfe_fixed_taps" value="3" /> - <parameter name="rx_pma_div_clkout_divider" value="0" /> - <parameter name="rx_ppm_detect_threshold" value="1000" /> - <parameter name="set_capability_reg_enable" value="1" /> - <parameter name="set_cdr_refclk_freq" value="644.531250" /> - <parameter name="set_csr_soft_logic_enable" value="1" /> - <parameter name="set_data_rate" value="10312.5" /> - <parameter name="set_disconnect_analog_resets" value="0" /> - <parameter name="set_embedded_debug_enable" value="0" /> - <parameter name="set_enable_calibration" value="0" /> - <parameter name="set_hip_cal_en" value="0" /> - <parameter name="set_odi_soft_logic_enable" value="0" /> - <parameter name="set_pcs_bonding_master" value="Auto" /> - <parameter name="set_prbs_soft_logic_enable" value="1" /> - <parameter name="set_rcfg_emb_strm_enable" value="0" /> - <parameter name="set_user_identifier" value="0" /> - <parameter name="sim_reduced_counters" value="false" /> - <parameter name="std_data_mask_count_multi" value="0" /> - <parameter name="std_low_latency_bypass_enable" value="0" /> - <parameter name="std_pcs_pma_width" value="10" /> - <parameter name="std_rx_8b10b_enable" value="0" /> - <parameter name="std_rx_bitrev_enable" value="0" /> - <parameter name="std_rx_byte_deser_mode" value="Disabled" /> - <parameter name="std_rx_byterev_enable" value="0" /> - <parameter name="std_rx_pcfifo_mode" value="low_latency" /> - <parameter name="std_rx_polinv_enable" value="0" /> - <parameter name="std_rx_rmfifo_mode" value="disabled" /> - <parameter name="std_rx_rmfifo_pattern_n" value="0" /> - <parameter name="std_rx_rmfifo_pattern_p" value="0" /> - <parameter name="std_rx_word_aligner_fast_sync_status_enable" value="0" /> - <parameter name="std_rx_word_aligner_mode" value="bitslip" /> - <parameter name="std_rx_word_aligner_pattern" value="0" /> - <parameter name="std_rx_word_aligner_pattern_len" value="7" /> - <parameter name="std_rx_word_aligner_renumber" value="3" /> - <parameter name="std_rx_word_aligner_rgnumber" value="3" /> - <parameter name="std_rx_word_aligner_rknumber" value="3" /> - <parameter name="std_rx_word_aligner_rvnumber" value="0" /> - <parameter name="std_tx_8b10b_disp_ctrl_enable" value="0" /> - <parameter name="std_tx_8b10b_enable" value="0" /> - <parameter name="std_tx_bitrev_enable" value="0" /> - <parameter name="std_tx_bitslip_enable" value="0" /> - <parameter name="std_tx_byte_ser_mode" value="Disabled" /> - <parameter name="std_tx_byterev_enable" value="0" /> - <parameter name="std_tx_pcfifo_mode" value="low_latency" /> - <parameter name="std_tx_polinv_enable" value="0" /> - <parameter name="support_mode" value="user_mode" /> - <parameter name="tx_pma_clk_div" value="1" /> - <parameter name="tx_pma_div_clkout_divider" value="33" /> - <parameter name="validation_rule_select" value="" /> - </module> -</system> diff --git a/libraries/technology/ip_arria10_e2sg/phy_10gbase_r_24/hdllib.cfg b/libraries/technology/ip_arria10_e2sg/phy_10gbase_r_24/hdllib.cfg index aa36a18297..3507c1a6bc 100644 --- a/libraries/technology/ip_arria10_e2sg/phy_10gbase_r_24/hdllib.cfg +++ b/libraries/technology/ip_arria10_e2sg/phy_10gbase_r_24/hdllib.cfg @@ -20,5 +20,5 @@ quartus_qip_files = [generate_ip_libs] qsys-generate_ip_files = - ip_arria10_e2sg_phy_10gbase_r_24.qsys + ip_arria10_e2sg_phy_10gbase_r_24.ip diff --git a/libraries/technology/ip_arria10_e2sg/phy_10gbase_r_24/ip_arria10_e2sg_phy_10gbase_r_24.ip b/libraries/technology/ip_arria10_e2sg/phy_10gbase_r_24/ip_arria10_e2sg_phy_10gbase_r_24.ip new file mode 100644 index 0000000000..dc1459bada --- /dev/null +++ b/libraries/technology/ip_arria10_e2sg/phy_10gbase_r_24/ip_arria10_e2sg_phy_10gbase_r_24.ip @@ -0,0 +1,10738 @@ +<?xml version="1.0" ?> +<ipxact:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact2014/extensions" xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"> + <ipxact:vendor>Intel Corporation</ipxact:vendor> + <ipxact:library>ip_arria10_e2sg_phy_10gbase_r_24</ipxact:library> + <ipxact:name>xcvr_native_a10_0</ipxact:name> + <ipxact:version>19.1</ipxact:version> + <ipxact:busInterfaces> + <ipxact:busInterface> + <ipxact:name>tx_analogreset</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>tx_analogreset</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_analogreset</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_digitalreset</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>tx_digitalreset</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_digitalreset</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_analogreset</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_analogreset</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_analogreset</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_digitalreset</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_digitalreset</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_digitalreset</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_cal_busy</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>tx_cal_busy</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_cal_busy</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_cal_busy</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_cal_busy</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_cal_busy</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_serial_clk0</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_serial_clk0</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_cdr_refclk0</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_cdr_refclk0</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_serial_data</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>tx_serial_data</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_serial_data</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_serial_data</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_serial_data</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_serial_data</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_seriallpbken</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_seriallpbken</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_seriallpbken</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_is_lockedtoref</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_is_lockedtoref</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_is_lockedtoref</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_is_lockedtodata</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_is_lockedtodata</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_is_lockedtodata</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_coreclkin</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_coreclkin</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_coreclkin</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_coreclkin</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_clkout</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_clkout</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_clkout</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_clkout</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_parallel_data</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>tx_parallel_data</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_parallel_data</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_control</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>tx_control</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_control</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_err_ins</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>tx_err_ins</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_err_ins</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>unused_tx_parallel_data</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>unused_tx_parallel_data</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>unused_tx_parallel_data</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>unused_tx_control</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>unused_tx_control</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>unused_tx_control</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_parallel_data</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_parallel_data</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_parallel_data</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_control</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_control</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_control</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>unused_rx_parallel_data</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>unused_rx_parallel_data</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>unused_rx_parallel_data</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>unused_rx_control</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>unused_rx_control</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>unused_rx_control</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_prbs_err_clr</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_prbs_err_clr</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_prbs_err_clr</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_prbs_done</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_prbs_done</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_prbs_done</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_prbs_err</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_prbs_err</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_prbs_err</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_enh_data_valid</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>tx_enh_data_valid</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_enh_data_valid</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_enh_fifo_full</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>tx_enh_fifo_full</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_enh_fifo_full</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_enh_fifo_pfull</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>tx_enh_fifo_pfull</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_enh_fifo_pfull</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_enh_fifo_empty</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>tx_enh_fifo_empty</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_enh_fifo_empty</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_enh_fifo_pempty</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>tx_enh_fifo_pempty</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_enh_fifo_pempty</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_enh_data_valid</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_enh_data_valid</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_enh_data_valid</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_enh_fifo_full</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_enh_fifo_full</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_enh_fifo_full</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_enh_fifo_empty</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_enh_fifo_empty</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_enh_fifo_empty</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_enh_fifo_del</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_enh_fifo_del</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_enh_fifo_del</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_enh_fifo_insert</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_enh_fifo_insert</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_enh_fifo_insert</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_enh_highber</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_enh_highber</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_enh_highber</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_enh_blk_lock</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_enh_blk_lock</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_enh_blk_lock</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>reconfig_clk</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>reconfig_clk</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>reconfig_reset</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>reset</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>reconfig_reset</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>reconfig_avmm</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>write</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>reconfig_write</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>read</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>reconfig_read</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>address</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>reconfig_address</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>writedata</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>reconfig_writedata</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>readdata</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>reconfig_readdata</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>waitrequest</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>reconfig_waitrequest</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + </ipxact:busInterfaces> + <ipxact:model> + <ipxact:views> + <ipxact:view> + <ipxact:name>QUARTUS_SYNTH</ipxact:name> + <ipxact:envIdentifier>:quartus.altera.com:</ipxact:envIdentifier> + <ipxact:componentInstantiationRef>QUARTUS_SYNTH</ipxact:componentInstantiationRef> + </ipxact:view> + </ipxact:views> + <ipxact:instantiations> + <ipxact:componentInstantiation> + <ipxact:name>QUARTUS_SYNTH</ipxact:name> + <ipxact:moduleName>altera_xcvr_native_a10</ipxact:moduleName> + <ipxact:fileSetRef> + <ipxact:localName>QUARTUS_SYNTH</ipxact:localName> + </ipxact:fileSetRef> + </ipxact:componentInstantiation> + </ipxact:instantiations> + <ipxact:ports> + <ipxact:port> + <ipxact:name>tx_analogreset</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>23</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_digitalreset</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>23</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_analogreset</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>23</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_digitalreset</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>23</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_cal_busy</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>23</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_cal_busy</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>23</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_serial_clk0</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>23</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_cdr_refclk0</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_serial_data</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>23</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_serial_data</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>23</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_seriallpbken</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>23</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_is_lockedtoref</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>23</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_is_lockedtodata</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>23</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_coreclkin</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>23</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_coreclkin</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>23</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_clkout</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>23</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_clkout</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>23</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_parallel_data</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>1535</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_control</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>191</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_err_ins</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>23</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>unused_tx_parallel_data</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>1535</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>unused_tx_control</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>215</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_parallel_data</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>1535</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_control</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>191</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>unused_rx_parallel_data</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>1535</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>unused_rx_control</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>287</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_prbs_err_clr</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>23</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_prbs_done</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>23</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_prbs_err</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>23</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_enh_data_valid</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>23</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_enh_fifo_full</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>23</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_enh_fifo_pfull</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>23</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_enh_fifo_empty</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>23</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_enh_fifo_pempty</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>23</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_enh_data_valid</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>23</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_enh_fifo_full</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>23</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_enh_fifo_empty</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>23</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_enh_fifo_del</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>23</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_enh_fifo_insert</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>23</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_enh_highber</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>23</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_enh_blk_lock</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>23</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>reconfig_clk</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>reconfig_reset</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>reconfig_write</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>reconfig_read</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>reconfig_address</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>14</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>reconfig_writedata</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>31</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>reconfig_readdata</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>31</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>reconfig_waitrequest</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + </ipxact:ports> + </ipxact:model> + <ipxact:vendorExtensions> + <altera:entity_info> + <ipxact:vendor>Intel Corporation</ipxact:vendor> + <ipxact:library>ip_arria10_e2sg_phy_10gbase_r_24</ipxact:library> + <ipxact:name>altera_xcvr_native_a10</ipxact:name> + <ipxact:version>19.1</ipxact:version> + </altera:entity_info> + <altera:altera_module_parameters> + <ipxact:parameters> + <ipxact:parameter parameterId="device_family" type="string"> + <ipxact:name>device_family</ipxact:name> + <ipxact:displayName>device_family</ipxact:displayName> + <ipxact:value>Arria 10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="device" type="string"> + <ipxact:name>device</ipxact:name> + <ipxact:displayName>device</ipxact:displayName> + <ipxact:value>10AX115U3F45E2SG</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="base_device" type="string"> + <ipxact:name>base_device</ipxact:name> + <ipxact:displayName>base_device</ipxact:displayName> + <ipxact:value>NIGHTFURY5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="design_environment" type="string"> + <ipxact:name>design_environment</ipxact:name> + <ipxact:displayName>design_environment</ipxact:displayName> + <ipxact:value>NATIVE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="device_revision" type="string"> + <ipxact:name>device_revision</ipxact:name> + <ipxact:displayName>device_revision</ipxact:displayName> + <ipxact:value>20nm5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="message_level" type="string"> + <ipxact:name>message_level</ipxact:name> + <ipxact:displayName>Message level for rule violations</ipxact:displayName> + <ipxact:value>error</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_voltage" type="string"> + <ipxact:name>anlg_voltage</ipxact:name> + <ipxact:displayName>VCCR_GXB and VCCT_GXB supply voltage for the Transceiver</ipxact:displayName> + <ipxact:value>1_0V</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_link" type="string"> + <ipxact:name>anlg_link</ipxact:name> + <ipxact:displayName>Tranceiver Link Type</ipxact:displayName> + <ipxact:value>sr</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="support_mode" type="string"> + <ipxact:name>support_mode</ipxact:name> + <ipxact:displayName>Protocol support mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="protocol_mode" type="string"> + <ipxact:name>protocol_mode</ipxact:name> + <ipxact:displayName>Transceiver configuration rules</ipxact:displayName> + <ipxact:value>teng_baser_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_mode" type="string"> + <ipxact:name>pma_mode</ipxact:name> + <ipxact:displayName>PMA configuration rules</ipxact:displayName> + <ipxact:value>basic</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="duplex_mode" type="string"> + <ipxact:name>duplex_mode</ipxact:name> + <ipxact:displayName>Transceiver mode</ipxact:displayName> + <ipxact:value>duplex</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="channels" type="int"> + <ipxact:name>channels</ipxact:name> + <ipxact:displayName>Number of data channels</ipxact:displayName> + <ipxact:value>24</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_data_rate" type="string"> + <ipxact:name>set_data_rate</ipxact:name> + <ipxact:displayName>Data rate</ipxact:displayName> + <ipxact:value>10312.5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_iface_enable" type="int"> + <ipxact:name>rcfg_iface_enable</ipxact:name> + <ipxact:displayName>Enable datapath and interface reconfiguration</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_simple_interface" type="int"> + <ipxact:name>enable_simple_interface</ipxact:name> + <ipxact:displayName>Enable simplified data interface</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_split_interface" type="int"> + <ipxact:name>enable_split_interface</ipxact:name> + <ipxact:displayName>Provide separate interface for each channel</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_enable_calibration" type="int"> + <ipxact:name>set_enable_calibration</ipxact:name> + <ipxact:displayName>Enable calibration</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_calibration" type="int"> + <ipxact:name>enable_calibration</ipxact:name> + <ipxact:displayName>enable_calibration</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_disconnect_analog_resets" type="int"> + <ipxact:name>set_disconnect_analog_resets</ipxact:name> + <ipxact:displayName>Disconnect analog resets</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_analog_resets" type="int"> + <ipxact:name>enable_analog_resets</ipxact:name> + <ipxact:displayName>Enable analog resets</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_reset_sequence" type="int"> + <ipxact:name>enable_reset_sequence</ipxact:name> + <ipxact:displayName>Enable reset sequence</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_transparent_pcs" type="int"> + <ipxact:name>enable_transparent_pcs</ipxact:name> + <ipxact:displayName>Enable transparent PCS</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_parallel_loopback" type="int"> + <ipxact:name>enable_parallel_loopback</ipxact:name> + <ipxact:displayName>Enable parallel loopback</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_upi_pipeline_options" type="int"> + <ipxact:name>enable_upi_pipeline_options</ipxact:name> + <ipxact:displayName>Enable UPI Pipeline Options</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pcs_tx_delay1_ctrl" type="string"> + <ipxact:name>pcs_tx_delay1_ctrl</ipxact:name> + <ipxact:displayName>Delay1 setting</ipxact:displayName> + <ipxact:value>delay1_path0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pcs_tx_delay1_data_sel" type="string"> + <ipxact:name>pcs_tx_delay1_data_sel</ipxact:name> + <ipxact:displayName>Delay1 mode</ipxact:displayName> + <ipxact:value>one_ff_delay</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pcs_tx_delay2_ctrl" type="string"> + <ipxact:name>pcs_tx_delay2_ctrl</ipxact:name> + <ipxact:displayName>Delay2 setting</ipxact:displayName> + <ipxact:value>delay2_path0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="bonded_mode" type="string"> + <ipxact:name>bonded_mode</ipxact:name> + <ipxact:displayName>TX channel bonding mode</ipxact:displayName> + <ipxact:value>not_bonded</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_pcs_bonding_master" type="string"> + <ipxact:name>set_pcs_bonding_master</ipxact:name> + <ipxact:displayName>PCS TX channel bonding master</ipxact:displayName> + <ipxact:value>Auto</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pcs_bonding_master" type="int"> + <ipxact:name>pcs_bonding_master</ipxact:name> + <ipxact:displayName>Actual PCS TX channel bonding master</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="tx_pma_clk_div" type="int"> + <ipxact:name>tx_pma_clk_div</ipxact:name> + <ipxact:displayName>TX local clock division factor</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="plls" type="int"> + <ipxact:name>plls</ipxact:name> + <ipxact:displayName>Number of TX PLL clock inputs per channel</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_select" type="int"> + <ipxact:name>pll_select</ipxact:name> + <ipxact:displayName>Initial TX PLL clock input selection</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_analog_reset_ack" type="int"> + <ipxact:name>enable_port_tx_analog_reset_ack</ipxact:name> + <ipxact:displayName>Enable tx_analog_reset_ack port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_pma_clkout" type="int"> + <ipxact:name>enable_port_tx_pma_clkout</ipxact:name> + <ipxact:displayName>Enable tx_pma_clkout port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_pma_div_clkout" type="int"> + <ipxact:name>enable_port_tx_pma_div_clkout</ipxact:name> + <ipxact:displayName>Enable tx_pma_div_clkout port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="tx_pma_div_clkout_divider" type="string"> + <ipxact:name>tx_pma_div_clkout_divider</ipxact:name> + <ipxact:displayName>tx_pma_div_clkout division factor</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_pma_iqtxrx_clkout" type="int"> + <ipxact:name>enable_port_tx_pma_iqtxrx_clkout</ipxact:name> + <ipxact:displayName>Enable tx_pma_iqtxrx_clkout port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_pma_elecidle" type="int"> + <ipxact:name>enable_port_tx_pma_elecidle</ipxact:name> + <ipxact:displayName>Enable tx_pma_elecidle port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_pma_qpipullup" type="int"> + <ipxact:name>enable_port_tx_pma_qpipullup</ipxact:name> + <ipxact:displayName>Enable tx_pma_qpipullup port (QPI)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_pma_qpipulldn" type="int"> + <ipxact:name>enable_port_tx_pma_qpipulldn</ipxact:name> + <ipxact:displayName>Enable tx_pma_qpipulldn port (QPI)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_pma_txdetectrx" type="int"> + <ipxact:name>enable_port_tx_pma_txdetectrx</ipxact:name> + <ipxact:displayName>Enable tx_pma_txdetectrx port (QPI)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_pma_rxfound" type="int"> + <ipxact:name>enable_port_tx_pma_rxfound</ipxact:name> + <ipxact:displayName>Enable tx_pma_rxfound port (QPI)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_seriallpbken_tx" type="int"> + <ipxact:name>enable_port_rx_seriallpbken_tx</ipxact:name> + <ipxact:displayName>Enable rx_seriallpbken port</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="number_physical_bonding_clocks" type="int"> + <ipxact:name>number_physical_bonding_clocks</ipxact:name> + <ipxact:displayName>Number of physical bonding clock ports to use.</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_refclk_cnt" type="int"> + <ipxact:name>cdr_refclk_cnt</ipxact:name> + <ipxact:displayName>Number of CDR reference clocks</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_refclk_select" type="int"> + <ipxact:name>cdr_refclk_select</ipxact:name> + <ipxact:displayName>Selected CDR reference clock</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_cdr_refclk_freq" type="string"> + <ipxact:name>set_cdr_refclk_freq</ipxact:name> + <ipxact:displayName>Selected CDR reference clock frequency</ipxact:displayName> + <ipxact:value>644.531250</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rx_ppm_detect_threshold" type="string"> + <ipxact:name>rx_ppm_detect_threshold</ipxact:name> + <ipxact:displayName>PPM detector threshold</ipxact:displayName> + <ipxact:value>1000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rx_pma_ctle_adaptation_mode" type="string"> + <ipxact:name>rx_pma_ctle_adaptation_mode</ipxact:name> + <ipxact:displayName>CTLE mode</ipxact:displayName> + <ipxact:value>manual</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rx_pma_dfe_adaptation_mode" type="string"> + <ipxact:name>rx_pma_dfe_adaptation_mode</ipxact:name> + <ipxact:displayName>DFE mode</ipxact:displayName> + <ipxact:value>disabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rx_pma_dfe_fixed_taps" type="int"> + <ipxact:name>rx_pma_dfe_fixed_taps</ipxact:name> + <ipxact:displayName>Number of fixed dfe taps</ipxact:displayName> + <ipxact:value>3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_ports_adaptation" type="int"> + <ipxact:name>enable_ports_adaptation</ipxact:name> + <ipxact:displayName>Enable adaptation control ports</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_analog_reset_ack" type="int"> + <ipxact:name>enable_port_rx_analog_reset_ack</ipxact:name> + <ipxact:displayName>Enable rx_analog_reset_ack port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_pma_clkout" type="int"> + <ipxact:name>enable_port_rx_pma_clkout</ipxact:name> + <ipxact:displayName>Enable rx_pma_clkout port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_pma_div_clkout" type="int"> + <ipxact:name>enable_port_rx_pma_div_clkout</ipxact:name> + <ipxact:displayName>Enable rx_pma_div_clkout port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rx_pma_div_clkout_divider" type="string"> + <ipxact:name>rx_pma_div_clkout_divider</ipxact:name> + <ipxact:displayName>rx_pma_div_clkout division factor</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_pma_iqtxrx_clkout" type="int"> + <ipxact:name>enable_port_rx_pma_iqtxrx_clkout</ipxact:name> + <ipxact:displayName>Enable rx_pma_iqtxrx_clkout port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_pma_clkslip" type="int"> + <ipxact:name>enable_port_rx_pma_clkslip</ipxact:name> + <ipxact:displayName>Enable rx_pma_clkslip port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_pma_qpipulldn" type="int"> + <ipxact:name>enable_port_rx_pma_qpipulldn</ipxact:name> + <ipxact:displayName>Enable rx_pma_qpipulldn port (QPI)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_is_lockedtodata" type="int"> + <ipxact:name>enable_port_rx_is_lockedtodata</ipxact:name> + <ipxact:displayName>Enable rx_is_lockedtodata port</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_is_lockedtoref" type="int"> + <ipxact:name>enable_port_rx_is_lockedtoref</ipxact:name> + <ipxact:displayName>Enable rx_is_lockedtoref port</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_ports_rx_manual_cdr_mode" type="int"> + <ipxact:name>enable_ports_rx_manual_cdr_mode</ipxact:name> + <ipxact:displayName>Enable rx_set_locktodata and rx_set_locktoref ports</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_ports_rx_manual_ppm" type="int"> + <ipxact:name>enable_ports_rx_manual_ppm</ipxact:name> + <ipxact:displayName>Enable rx_fref and rx_clklow ports</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_signaldetect" type="int"> + <ipxact:name>enable_port_rx_signaldetect</ipxact:name> + <ipxact:displayName>Enable rx_signaldetect port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_seriallpbken" type="int"> + <ipxact:name>enable_port_rx_seriallpbken</ipxact:name> + <ipxact:displayName>Enable rx_seriallpbken port</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_ports_rx_prbs" type="int"> + <ipxact:name>enable_ports_rx_prbs</ipxact:name> + <ipxact:displayName>Enable PRBS verifier control and status ports</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_pcs_pma_width" type="int"> + <ipxact:name>std_pcs_pma_width</ipxact:name> + <ipxact:displayName>Standard PCS / PMA interface width</ipxact:displayName> + <ipxact:value>10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="display_std_tx_pld_pcs_width" type="int"> + <ipxact:name>display_std_tx_pld_pcs_width</ipxact:name> + <ipxact:displayName>FPGA fabric / Standard TX PCS interface width</ipxact:displayName> + <ipxact:value>10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="display_std_rx_pld_pcs_width" type="int"> + <ipxact:name>display_std_rx_pld_pcs_width</ipxact:name> + <ipxact:displayName>FPGA fabric / Standard RX PCS interface width</ipxact:displayName> + <ipxact:value>10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_low_latency_bypass_enable" type="int"> + <ipxact:name>std_low_latency_bypass_enable</ipxact:name> + <ipxact:displayName>Enable 'Standard PCS' low latency mode</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_hip" type="int"> + <ipxact:name>enable_hip</ipxact:name> + <ipxact:displayName>Enable PCIe hard IP support</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_skp_ports" type="int"> + <ipxact:name>enable_skp_ports</ipxact:name> + <ipxact:displayName>Enable SKP ports for Gen3</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_hard_reset" type="int"> + <ipxact:name>enable_hard_reset</ipxact:name> + <ipxact:displayName>Enable hard reset controller (HIP)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_hip_cal_en" type="int"> + <ipxact:name>set_hip_cal_en</ipxact:name> + <ipxact:displayName>Enable PCIe hard IP calibration</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hip_cal_en" type="string"> + <ipxact:name>hip_cal_en</ipxact:name> + <ipxact:displayName>hip_cal_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_pcie_data_mask_option" type="int"> + <ipxact:name>enable_pcie_data_mask_option</ipxact:name> + <ipxact:displayName>Enable PCIe data mask count multiplier control</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_data_mask_count_multi" type="int"> + <ipxact:name>std_data_mask_count_multi</ipxact:name> + <ipxact:displayName>PCIe data mask count multiplier</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_tx_pcfifo_mode" type="string"> + <ipxact:name>std_tx_pcfifo_mode</ipxact:name> + <ipxact:displayName>TX FIFO mode</ipxact:displayName> + <ipxact:value>low_latency</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_rx_pcfifo_mode" type="string"> + <ipxact:name>std_rx_pcfifo_mode</ipxact:name> + <ipxact:displayName>RX FIFO mode</ipxact:displayName> + <ipxact:value>low_latency</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_std_pcfifo_full" type="int"> + <ipxact:name>enable_port_tx_std_pcfifo_full</ipxact:name> + <ipxact:displayName>Enable tx_std_pcfifo_full port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_std_pcfifo_empty" type="int"> + <ipxact:name>enable_port_tx_std_pcfifo_empty</ipxact:name> + <ipxact:displayName>Enable tx_std_pcfifo_empty port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_std_pcfifo_full" type="int"> + <ipxact:name>enable_port_rx_std_pcfifo_full</ipxact:name> + <ipxact:displayName>Enable rx_std_pcfifo_full port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_std_pcfifo_empty" type="int"> + <ipxact:name>enable_port_rx_std_pcfifo_empty</ipxact:name> + <ipxact:displayName>Enable rx_std_pcfifo_empty port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_tx_byte_ser_mode" type="string"> + <ipxact:name>std_tx_byte_ser_mode</ipxact:name> + <ipxact:displayName>TX byte serializer mode</ipxact:displayName> + <ipxact:value>Disabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_rx_byte_deser_mode" type="string"> + <ipxact:name>std_rx_byte_deser_mode</ipxact:name> + <ipxact:displayName>RX byte deserializer mode</ipxact:displayName> + <ipxact:value>Disabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_tx_8b10b_enable" type="int"> + <ipxact:name>std_tx_8b10b_enable</ipxact:name> + <ipxact:displayName>Enable TX 8B/10B encoder</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_tx_8b10b_disp_ctrl_enable" type="int"> + <ipxact:name>std_tx_8b10b_disp_ctrl_enable</ipxact:name> + <ipxact:displayName>Enable TX 8B/10B disparity control</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_rx_8b10b_enable" type="int"> + <ipxact:name>std_rx_8b10b_enable</ipxact:name> + <ipxact:displayName>Enable RX 8B/10B decoder</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_rx_rmfifo_mode" type="string"> + <ipxact:name>std_rx_rmfifo_mode</ipxact:name> + <ipxact:displayName>RX rate match FIFO mode</ipxact:displayName> + <ipxact:value>disabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_rx_rmfifo_pattern_n" type="int"> + <ipxact:name>std_rx_rmfifo_pattern_n</ipxact:name> + <ipxact:displayName>RX rate match insert/delete -ve pattern (hex)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_rx_rmfifo_pattern_p" type="int"> + <ipxact:name>std_rx_rmfifo_pattern_p</ipxact:name> + <ipxact:displayName>RX rate match insert/delete +ve pattern (hex)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_std_rmfifo_full" type="int"> + <ipxact:name>enable_port_rx_std_rmfifo_full</ipxact:name> + <ipxact:displayName>Enable rx_std_rmfifo_full port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_std_rmfifo_empty" type="int"> + <ipxact:name>enable_port_rx_std_rmfifo_empty</ipxact:name> + <ipxact:displayName>Enable rx_std_rmfifo_empty port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pcie_rate_match" type="string"> + <ipxact:name>pcie_rate_match</ipxact:name> + <ipxact:displayName>PCI Express Gen 3 rate match FIFO mode</ipxact:displayName> + <ipxact:value>Bypass</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_tx_bitslip_enable" type="int"> + <ipxact:name>std_tx_bitslip_enable</ipxact:name> + <ipxact:displayName>Enable TX bitslip</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_std_bitslipboundarysel" type="int"> + <ipxact:name>enable_port_tx_std_bitslipboundarysel</ipxact:name> + <ipxact:displayName>Enable tx_std_bitslipboundarysel port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_rx_word_aligner_mode" type="string"> + <ipxact:name>std_rx_word_aligner_mode</ipxact:name> + <ipxact:displayName>RX word aligner mode</ipxact:displayName> + <ipxact:value>bitslip</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_rx_word_aligner_pattern_len" type="int"> + <ipxact:name>std_rx_word_aligner_pattern_len</ipxact:name> + <ipxact:displayName>RX word aligner pattern length</ipxact:displayName> + <ipxact:value>7</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_rx_word_aligner_pattern" type="longint"> + <ipxact:name>std_rx_word_aligner_pattern</ipxact:name> + <ipxact:displayName>RX word aligner pattern (hex)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_rx_word_aligner_rknumber" type="int"> + <ipxact:name>std_rx_word_aligner_rknumber</ipxact:name> + <ipxact:displayName>Number of word alignment patterns to achieve sync</ipxact:displayName> + <ipxact:value>3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_rx_word_aligner_renumber" type="int"> + <ipxact:name>std_rx_word_aligner_renumber</ipxact:name> + <ipxact:displayName>Number of invalid data words to lose sync</ipxact:displayName> + <ipxact:value>3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_rx_word_aligner_rgnumber" type="int"> + <ipxact:name>std_rx_word_aligner_rgnumber</ipxact:name> + <ipxact:displayName>Number of valid data words to decrement error count</ipxact:displayName> + <ipxact:value>3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_rx_word_aligner_rvnumber" type="int"> + <ipxact:name>std_rx_word_aligner_rvnumber</ipxact:name> + <ipxact:displayName>Number of valid data patterns required to achieve word alignment</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_rx_word_aligner_fast_sync_status_enable" type="int"> + <ipxact:name>std_rx_word_aligner_fast_sync_status_enable</ipxact:name> + <ipxact:displayName>Enable fast sync status reporting for deterministic latency SM</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_std_wa_patternalign" type="int"> + <ipxact:name>enable_port_rx_std_wa_patternalign</ipxact:name> + <ipxact:displayName>Enable rx_std_wa_patternalign port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_std_wa_a1a2size" type="int"> + <ipxact:name>enable_port_rx_std_wa_a1a2size</ipxact:name> + <ipxact:displayName>Enable rx_std_wa_a1a2size port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_std_bitslipboundarysel" type="int"> + <ipxact:name>enable_port_rx_std_bitslipboundarysel</ipxact:name> + <ipxact:displayName>Enable rx_std_bitslipboundarysel port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_std_bitslip" type="int"> + <ipxact:name>enable_port_rx_std_bitslip</ipxact:name> + <ipxact:displayName>Enable rx_bitslip port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_tx_bitrev_enable" type="int"> + <ipxact:name>std_tx_bitrev_enable</ipxact:name> + <ipxact:displayName>Enable TX bit reversal</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_tx_byterev_enable" type="int"> + <ipxact:name>std_tx_byterev_enable</ipxact:name> + <ipxact:displayName>Enable TX byte reversal</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_tx_polinv_enable" type="int"> + <ipxact:name>std_tx_polinv_enable</ipxact:name> + <ipxact:displayName>Enable TX polarity inversion</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_polinv" type="int"> + <ipxact:name>enable_port_tx_polinv</ipxact:name> + <ipxact:displayName>Enable tx_polinv port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_rx_bitrev_enable" type="int"> + <ipxact:name>std_rx_bitrev_enable</ipxact:name> + <ipxact:displayName>Enable RX bit reversal</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_std_bitrev_ena" type="int"> + <ipxact:name>enable_port_rx_std_bitrev_ena</ipxact:name> + <ipxact:displayName>Enable rx_std_bitrev_ena port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_rx_byterev_enable" type="int"> + <ipxact:name>std_rx_byterev_enable</ipxact:name> + <ipxact:displayName>Enable RX byte reversal</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_std_byterev_ena" type="int"> + <ipxact:name>enable_port_rx_std_byterev_ena</ipxact:name> + <ipxact:displayName>Enable rx_std_byterev_ena port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_rx_polinv_enable" type="int"> + <ipxact:name>std_rx_polinv_enable</ipxact:name> + <ipxact:displayName>Enable RX polarity inversion</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_polinv" type="int"> + <ipxact:name>enable_port_rx_polinv</ipxact:name> + <ipxact:displayName>Enable rx_polinv port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_std_signaldetect" type="int"> + <ipxact:name>enable_port_rx_std_signaldetect</ipxact:name> + <ipxact:displayName>Enable rx_std_signaldetect port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_ports_pipe_sw" type="int"> + <ipxact:name>enable_ports_pipe_sw</ipxact:name> + <ipxact:displayName>Enable PCIe dynamic datarate switch ports</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_ports_pipe_hclk" type="int"> + <ipxact:name>enable_ports_pipe_hclk</ipxact:name> + <ipxact:displayName>Enable PCIe pipe_hclk_in and pipe_hclk_out ports</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_ports_pipe_g3_analog" type="int"> + <ipxact:name>enable_ports_pipe_g3_analog</ipxact:name> + <ipxact:displayName>Enable PCIe Gen 3 analog control ports</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_ports_pipe_rx_elecidle" type="int"> + <ipxact:name>enable_ports_pipe_rx_elecidle</ipxact:name> + <ipxact:displayName>Enable PCIe electrical idle control and status ports</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_pipe_rx_polarity" type="int"> + <ipxact:name>enable_port_pipe_rx_polarity</ipxact:name> + <ipxact:displayName>Enable PCIe pipe_rx_polarity port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_pcs_pma_width" type="int"> + <ipxact:name>enh_pcs_pma_width</ipxact:name> + <ipxact:displayName>Enhanced PCS / PMA interface width</ipxact:displayName> + <ipxact:value>32</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_pld_pcs_width" type="int"> + <ipxact:name>enh_pld_pcs_width</ipxact:name> + <ipxact:displayName>FPGA fabric / Enhanced PCS interface width</ipxact:displayName> + <ipxact:value>66</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_low_latency_enable" type="int"> + <ipxact:name>enh_low_latency_enable</ipxact:name> + <ipxact:displayName>Enable 'Enhanced PCS' low latency mode</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_rxtxfifo_double_width" type="int"> + <ipxact:name>enh_rxtxfifo_double_width</ipxact:name> + <ipxact:displayName>Enable RX/TX FIFO double width mode</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_txfifo_mode" type="string"> + <ipxact:name>enh_txfifo_mode</ipxact:name> + <ipxact:displayName>TX FIFO mode</ipxact:displayName> + <ipxact:value>Phase compensation</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_txfifo_pfull" type="int"> + <ipxact:name>enh_txfifo_pfull</ipxact:name> + <ipxact:displayName>TX FIFO partially full threshold</ipxact:displayName> + <ipxact:value>11</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_txfifo_pempty" type="int"> + <ipxact:name>enh_txfifo_pempty</ipxact:name> + <ipxact:displayName>TX FIFO partially empty threshold</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_enh_fifo_full" type="int"> + <ipxact:name>enable_port_tx_enh_fifo_full</ipxact:name> + <ipxact:displayName>Enable tx_enh_fifo_full port</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_enh_fifo_pfull" type="int"> + <ipxact:name>enable_port_tx_enh_fifo_pfull</ipxact:name> + <ipxact:displayName>Enable tx_enh_fifo_pfull port</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_enh_fifo_empty" type="int"> + <ipxact:name>enable_port_tx_enh_fifo_empty</ipxact:name> + <ipxact:displayName>Enable tx_enh_fifo_empty port</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_enh_fifo_pempty" type="int"> + <ipxact:name>enable_port_tx_enh_fifo_pempty</ipxact:name> + <ipxact:displayName>Enable tx_enh_fifo_pempty port</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_enh_fifo_cnt" type="int"> + <ipxact:name>enable_port_tx_enh_fifo_cnt</ipxact:name> + <ipxact:displayName>Enable tx_enh_fifo_cnt port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_rxfifo_mode" type="string"> + <ipxact:name>enh_rxfifo_mode</ipxact:name> + <ipxact:displayName>RX FIFO mode</ipxact:displayName> + <ipxact:value>10GBase-R</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_rxfifo_pfull" type="int"> + <ipxact:name>enh_rxfifo_pfull</ipxact:name> + <ipxact:displayName>RX FIFO partially full threshold</ipxact:displayName> + <ipxact:value>23</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_rxfifo_pempty" type="int"> + <ipxact:name>enh_rxfifo_pempty</ipxact:name> + <ipxact:displayName>RX FIFO partially empty threshold</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_rxfifo_align_del" type="int"> + <ipxact:name>enh_rxfifo_align_del</ipxact:name> + <ipxact:displayName>Enable RX FIFO alignment word deletion (Interlaken)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_rxfifo_control_del" type="int"> + <ipxact:name>enh_rxfifo_control_del</ipxact:name> + <ipxact:displayName>Enable RX FIFO control word deletion (Interlaken)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_data_valid" type="int"> + <ipxact:name>enable_port_rx_enh_data_valid</ipxact:name> + <ipxact:displayName>Enable rx_enh_data_valid port</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_fifo_full" type="int"> + <ipxact:name>enable_port_rx_enh_fifo_full</ipxact:name> + <ipxact:displayName>Enable rx_enh_fifo_full port</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_fifo_pfull" type="int"> + <ipxact:name>enable_port_rx_enh_fifo_pfull</ipxact:name> + <ipxact:displayName>Enable rx_enh_fifo_pfull port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_fifo_empty" type="int"> + <ipxact:name>enable_port_rx_enh_fifo_empty</ipxact:name> + <ipxact:displayName>Enable rx_enh_fifo_empty port</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_fifo_pempty" type="int"> + <ipxact:name>enable_port_rx_enh_fifo_pempty</ipxact:name> + <ipxact:displayName>Enable rx_enh_fifo_pempty port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_fifo_cnt" type="int"> + <ipxact:name>enable_port_rx_enh_fifo_cnt</ipxact:name> + <ipxact:displayName>Enable rx_enh_fifo_cnt port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_fifo_del" type="int"> + <ipxact:name>enable_port_rx_enh_fifo_del</ipxact:name> + <ipxact:displayName>Enable rx_enh_fifo_del port (10GBASE-R)</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_fifo_insert" type="int"> + <ipxact:name>enable_port_rx_enh_fifo_insert</ipxact:name> + <ipxact:displayName>Enable rx_enh_fifo_insert port (10GBASE-R)</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_fifo_rd_en" type="int"> + <ipxact:name>enable_port_rx_enh_fifo_rd_en</ipxact:name> + <ipxact:displayName>Enable rx_enh_fifo_rd_en port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_fifo_align_val" type="int"> + <ipxact:name>enable_port_rx_enh_fifo_align_val</ipxact:name> + <ipxact:displayName>Enable rx_enh_fifo_align_val port (Interlaken)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_fifo_align_clr" type="int"> + <ipxact:name>enable_port_rx_enh_fifo_align_clr</ipxact:name> + <ipxact:displayName>Enable rx_enh_fifo_align_clr port (Interlaken)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_tx_frmgen_enable" type="int"> + <ipxact:name>enh_tx_frmgen_enable</ipxact:name> + <ipxact:displayName>Enable Interlaken frame generator</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_tx_frmgen_mfrm_length" type="int"> + <ipxact:name>enh_tx_frmgen_mfrm_length</ipxact:name> + <ipxact:displayName>Frame generator metaframe length</ipxact:displayName> + <ipxact:value>2048</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_tx_frmgen_burst_enable" type="int"> + <ipxact:name>enh_tx_frmgen_burst_enable</ipxact:name> + <ipxact:displayName>Enable frame generator burst control</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_enh_frame" type="int"> + <ipxact:name>enable_port_tx_enh_frame</ipxact:name> + <ipxact:displayName>Enable tx_enh_frame port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_enh_frame_diag_status" type="int"> + <ipxact:name>enable_port_tx_enh_frame_diag_status</ipxact:name> + <ipxact:displayName>Enable tx_enh_frame_diag_status port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_enh_frame_burst_en" type="int"> + <ipxact:name>enable_port_tx_enh_frame_burst_en</ipxact:name> + <ipxact:displayName>Enable tx_enh_frame_burst_en port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_rx_frmsync_enable" type="int"> + <ipxact:name>enh_rx_frmsync_enable</ipxact:name> + <ipxact:displayName>Enable Interlaken frame synchronizer</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_rx_frmsync_mfrm_length" type="int"> + <ipxact:name>enh_rx_frmsync_mfrm_length</ipxact:name> + <ipxact:displayName>Frame synchronizer metaframe length</ipxact:displayName> + <ipxact:value>2048</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_frame" type="int"> + <ipxact:name>enable_port_rx_enh_frame</ipxact:name> + <ipxact:displayName>Enable rx_enh_frame port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_frame_lock" type="int"> + <ipxact:name>enable_port_rx_enh_frame_lock</ipxact:name> + <ipxact:displayName>Enable rx_enh_frame_lock port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_frame_diag_status" type="int"> + <ipxact:name>enable_port_rx_enh_frame_diag_status</ipxact:name> + <ipxact:displayName>Enable rx_enh_frame_diag_status port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_tx_crcgen_enable" type="int"> + <ipxact:name>enh_tx_crcgen_enable</ipxact:name> + <ipxact:displayName>Enable Interlaken TX CRC-32 generator</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_tx_crcerr_enable" type="int"> + <ipxact:name>enh_tx_crcerr_enable</ipxact:name> + <ipxact:displayName>Enable Interlaken TX CRC-32 generator error insertion</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_rx_crcchk_enable" type="int"> + <ipxact:name>enh_rx_crcchk_enable</ipxact:name> + <ipxact:displayName>Enable Interlaken RX CRC-32 checker</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_crc32_err" type="int"> + <ipxact:name>enable_port_rx_enh_crc32_err</ipxact:name> + <ipxact:displayName>Enable rx_enh_crc32_err port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_highber" type="int"> + <ipxact:name>enable_port_rx_enh_highber</ipxact:name> + <ipxact:displayName>Enable rx_enh_highber port (10GBASE-R)</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_highber_clr_cnt" type="int"> + <ipxact:name>enable_port_rx_enh_highber_clr_cnt</ipxact:name> + <ipxact:displayName>Enable rx_enh_highber_clr_cnt port (10GBASE-R)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_clr_errblk_count" type="int"> + <ipxact:name>enable_port_rx_enh_clr_errblk_count</ipxact:name> + <ipxact:displayName>Enable rx_enh_clr_errblk_count port (10GBASE-R & FEC)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_clr_errblk_count_c10" type="int"> + <ipxact:name>enable_port_rx_enh_clr_errblk_count_c10</ipxact:name> + <ipxact:displayName>Enable rx_enh_clr_errblk_count port (10GBASE-R)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_tx_64b66b_enable" type="int"> + <ipxact:name>enh_tx_64b66b_enable</ipxact:name> + <ipxact:displayName>Enable TX 64b/66b encoder</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_rx_64b66b_enable" type="int"> + <ipxact:name>enh_rx_64b66b_enable</ipxact:name> + <ipxact:displayName>Enable RX 64b/66b decoder</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_tx_sh_err" type="int"> + <ipxact:name>enh_tx_sh_err</ipxact:name> + <ipxact:displayName>Enable TX sync header error insertion</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_tx_scram_enable" type="int"> + <ipxact:name>enh_tx_scram_enable</ipxact:name> + <ipxact:displayName>Enable TX scrambler (10GBASE-R/Interlaken)</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_tx_scram_seed" type="longint"> + <ipxact:name>enh_tx_scram_seed</ipxact:name> + <ipxact:displayName>TX scrambler seed (10GBASE-R/Interlaken)</ipxact:displayName> + <ipxact:value>288230376151711743</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_rx_descram_enable" type="int"> + <ipxact:name>enh_rx_descram_enable</ipxact:name> + <ipxact:displayName>Enable RX descrambler (10GBASE-R/Interlaken)</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_tx_dispgen_enable" type="int"> + <ipxact:name>enh_tx_dispgen_enable</ipxact:name> + <ipxact:displayName>Enable Interlaken TX disparity generator</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_rx_dispchk_enable" type="int"> + <ipxact:name>enh_rx_dispchk_enable</ipxact:name> + <ipxact:displayName>Enable Interlaken RX disparity checker</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_tx_randomdispbit_enable" type="int"> + <ipxact:name>enh_tx_randomdispbit_enable</ipxact:name> + <ipxact:displayName>Enable Interlaken TX random disparity bit</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_rx_blksync_enable" type="int"> + <ipxact:name>enh_rx_blksync_enable</ipxact:name> + <ipxact:displayName>Enable RX block synchronizer</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_blk_lock" type="int"> + <ipxact:name>enable_port_rx_enh_blk_lock</ipxact:name> + <ipxact:displayName>Enable rx_enh_blk_lock port</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_tx_bitslip_enable" type="int"> + <ipxact:name>enh_tx_bitslip_enable</ipxact:name> + <ipxact:displayName>Enable TX data bitslip</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_tx_polinv_enable" type="int"> + <ipxact:name>enh_tx_polinv_enable</ipxact:name> + <ipxact:displayName>Enable TX data polarity inversion</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_rx_bitslip_enable" type="int"> + <ipxact:name>enh_rx_bitslip_enable</ipxact:name> + <ipxact:displayName>Enable RX data bitslip</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_rx_polinv_enable" type="int"> + <ipxact:name>enh_rx_polinv_enable</ipxact:name> + <ipxact:displayName>Enable RX data polarity inversion</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_enh_bitslip" type="int"> + <ipxact:name>enable_port_tx_enh_bitslip</ipxact:name> + <ipxact:displayName>Enable tx_enh_bitslip port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_bitslip" type="int"> + <ipxact:name>enable_port_rx_enh_bitslip</ipxact:name> + <ipxact:displayName>Enable rx_bitslip port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_rx_krfec_err_mark_enable" type="int"> + <ipxact:name>enh_rx_krfec_err_mark_enable</ipxact:name> + <ipxact:displayName>Enable RX KR-FEC error marking</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_rx_krfec_err_mark_type" type="string"> + <ipxact:name>enh_rx_krfec_err_mark_type</ipxact:name> + <ipxact:displayName>Error marking type</ipxact:displayName> + <ipxact:value>10G</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_tx_krfec_burst_err_enable" type="int"> + <ipxact:name>enh_tx_krfec_burst_err_enable</ipxact:name> + <ipxact:displayName>Enable KR-FEC TX error insertion</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_tx_krfec_burst_err_len" type="int"> + <ipxact:name>enh_tx_krfec_burst_err_len</ipxact:name> + <ipxact:displayName>KR-FEC TX error insertion spacing</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_krfec_tx_enh_frame" type="int"> + <ipxact:name>enable_port_krfec_tx_enh_frame</ipxact:name> + <ipxact:displayName>Enable tx_enh_frame port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_krfec_rx_enh_frame" type="int"> + <ipxact:name>enable_port_krfec_rx_enh_frame</ipxact:name> + <ipxact:displayName>Enable rx_enh_frame port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_krfec_rx_enh_frame_diag_status" type="int"> + <ipxact:name>enable_port_krfec_rx_enh_frame_diag_status</ipxact:name> + <ipxact:displayName>Enable rx_enh_frame_diag_status port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pcs_direct_width" type="int"> + <ipxact:name>pcs_direct_width</ipxact:name> + <ipxact:displayName>PCS Direct interface width</ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="generate_docs" type="int"> + <ipxact:name>generate_docs</ipxact:name> + <ipxact:displayName>Generate parameter documentation file</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="generate_add_hdl_instance_example" type="int"> + <ipxact:name>generate_add_hdl_instance_example</ipxact:name> + <ipxact:displayName>Generate '_hw.tcl' 'add_hdl_instance' example file</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="validation_rule_select" type="string"> + <ipxact:name>validation_rule_select</ipxact:name> + <ipxact:displayName>View validation rule for parameter</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_advanced_options" type="int"> + <ipxact:name>enable_advanced_options</ipxact:name> + <ipxact:displayName>enable_advanced_options</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_physical_bonding_clocks" type="int"> + <ipxact:name>enable_physical_bonding_clocks</ipxact:name> + <ipxact:displayName>enable_physical_bonding_clocks</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_debug_options" type="int"> + <ipxact:name>enable_debug_options</ipxact:name> + <ipxact:displayName>enable_debug_options</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_advanced_avmm_options" type="int"> + <ipxact:name>enable_advanced_avmm_options</ipxact:name> + <ipxact:displayName>enable_advanced_avmm_options</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_odi_accelerator" type="int"> + <ipxact:name>enable_odi_accelerator</ipxact:name> + <ipxact:displayName>enable_odi_accelerator</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_advanced_upi_options" type="int"> + <ipxact:name>enable_advanced_upi_options</ipxact:name> + <ipxact:displayName>enable_advanced_upi_options</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_channels" type="int"> + <ipxact:name>l_channels</ipxact:name> + <ipxact:displayName>l_channels</ipxact:displayName> + <ipxact:value>24</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="tx_enable" type="int"> + <ipxact:name>tx_enable</ipxact:name> + <ipxact:displayName>tx_enable</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="datapath_select" type="string"> + <ipxact:name>datapath_select</ipxact:name> + <ipxact:displayName>datapath_select</ipxact:displayName> + <ipxact:value>Enhanced</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rx_enable" type="int"> + <ipxact:name>rx_enable</ipxact:name> + <ipxact:displayName>rx_enable</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_split_iface" type="int"> + <ipxact:name>l_split_iface</ipxact:name> + <ipxact:displayName>l_split_iface</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_pcs_pma_width" type="int"> + <ipxact:name>l_pcs_pma_width</ipxact:name> + <ipxact:displayName>l_pcs_pma_width</ipxact:displayName> + <ipxact:value>32</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_tx_pld_pcs_width" type="int"> + <ipxact:name>l_tx_pld_pcs_width</ipxact:name> + <ipxact:displayName>l_tx_pld_pcs_width</ipxact:displayName> + <ipxact:value>66</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_rx_pld_pcs_width" type="int"> + <ipxact:name>l_rx_pld_pcs_width</ipxact:name> + <ipxact:displayName>l_rx_pld_pcs_width</ipxact:displayName> + <ipxact:value>66</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_pll_settings" type="string"> + <ipxact:name>l_pll_settings</ipxact:name> + <ipxact:displayName>l_pll_settings</ipxact:displayName> + <ipxact:value>343.750000 {refclk 343.750000 m 15 n 1 lpfd 1 lpd 1 fvco 5156.25} 687.500000 {refclk 687.500000 m 15 n 2 lpfd 1 lpd 1 fvco 5156.25} 322.265625 {refclk 322.265625 m 16 n 1 lpfd 1 lpd 1 fvco 5156.25} 644.531250 {refclk 644.531250 m 16 n 2 lpfd 1 lpd 1 fvco 5156.25} 303.308824 {refclk 303.308824 m 17 n 1 lpfd 1 lpd 1 fvco 5156.25} 606.617647 {refclk 606.617647 m 17 n 2 lpfd 1 lpd 1 fvco 5156.25} 286.458333 {refclk 286.458333 m 18 n 1 lpfd 1 lpd 1 fvco 5156.25} 572.916667 {refclk 572.916667 m 18 n 2 lpfd 1 lpd 1 fvco 5156.25} 271.381579 {refclk 271.381579 m 19 n 1 lpfd 1 lpd 1 fvco 5156.25} 542.763158 {refclk 542.763158 m 19 n 2 lpfd 1 lpd 1 fvco 5156.25} 257.812500 {refclk 257.812500 m 20 n 1 lpfd 1 lpd 1 fvco 5156.25} 515.625000 {refclk 515.625000 m 20 n 2 lpfd 1 lpd 1 fvco 5156.25} 245.535714 {refclk 245.535714 m 21 n 1 lpfd 1 lpd 1 fvco 5156.25} 491.071429 {refclk 491.071429 m 21 n 2 lpfd 1 lpd 1 fvco 5156.25} 234.375000 {refclk 234.375000 m 22 n 1 lpfd 1 lpd 1 fvco 5156.25} 468.750000 {refclk 468.750000 m 22 n 2 lpfd 1 lpd 1 fvco 5156.25} 224.184783 {refclk 224.184783 m 23 n 1 lpfd 1 lpd 1 fvco 5156.25} 448.369565 {refclk 448.369565 m 23 n 2 lpfd 1 lpd 1 fvco 5156.25} 214.843750 {refclk 214.843750 m 24 n 1 lpfd 1 lpd 1 fvco 5156.25} 429.687500 {refclk 429.687500 m 24 n 2 lpfd 1 lpd 1 fvco 5156.25} 206.250000 {refclk 206.250000 m 25 n 1 lpfd 1 lpd 1 fvco 5156.25} 412.500000 {refclk 412.500000 m 25 n 2 lpfd 1 lpd 1 fvco 5156.25} 198.317308 {refclk 198.317308 m 26 n 1 lpfd 1 lpd 1 fvco 5156.25} 396.634615 {refclk 396.634615 m 26 n 2 lpfd 1 lpd 1 fvco 5156.25} 793.269231 {refclk 793.269231 m 26 n 4 lpfd 1 lpd 1 fvco 5156.25} 190.972222 {refclk 190.972222 m 27 n 1 lpfd 1 lpd 1 fvco 5156.25} 381.944444 {refclk 381.944444 m 27 n 2 lpfd 1 lpd 1 fvco 5156.25} 763.888889 {refclk 763.888889 m 27 n 4 lpfd 1 lpd 1 fvco 5156.25} 184.151786 {refclk 184.151786 m 28 n 1 lpfd 1 lpd 1 fvco 5156.25} 368.303571 {refclk 368.303571 m 28 n 2 lpfd 1 lpd 1 fvco 5156.25} 736.607143 {refclk 736.607143 m 28 n 4 lpfd 1 lpd 1 fvco 5156.25} 177.801724 {refclk 177.801724 m 29 n 1 lpfd 1 lpd 1 fvco 5156.25} 355.603448 {refclk 355.603448 m 29 n 2 lpfd 1 lpd 1 fvco 5156.25} 711.206897 {refclk 711.206897 m 29 n 4 lpfd 1 lpd 1 fvco 5156.25} 171.875000 {refclk 171.875000 m 30 n 1 lpfd 1 lpd 1 fvco 5156.25} 166.330645 {refclk 166.330645 m 31 n 1 lpfd 1 lpd 1 fvco 5156.25} 332.661290 {refclk 332.661290 m 31 n 2 lpfd 1 lpd 1 fvco 5156.25} 665.322581 {refclk 665.322581 m 31 n 4 lpfd 1 lpd 1 fvco 5156.25} 161.132812 {refclk 161.132812 m 32 n 1 lpfd 1 lpd 1 fvco 5156.25} 156.250000 {refclk 156.250000 m 33 n 1 lpfd 1 lpd 1 fvco 5156.25} 312.500000 {refclk 312.500000 m 33 n 2 lpfd 1 lpd 1 fvco 5156.25} 625.000000 {refclk 625.000000 m 33 n 4 lpfd 1 lpd 1 fvco 5156.25} 151.654412 {refclk 151.654412 m 34 n 1 lpfd 1 lpd 1 fvco 5156.25} 147.321429 {refclk 147.321429 m 35 n 1 lpfd 1 lpd 1 fvco 5156.25} 294.642857 {refclk 294.642857 m 35 n 2 lpfd 1 lpd 1 fvco 5156.25} 589.285714 {refclk 589.285714 m 35 n 4 lpfd 1 lpd 1 fvco 5156.25} 143.229167 {refclk 143.229167 m 36 n 1 lpfd 1 lpd 1 fvco 5156.25} 139.358108 {refclk 139.358108 m 37 n 1 lpfd 1 lpd 1 fvco 5156.25} 278.716216 {refclk 278.716216 m 37 n 2 lpfd 1 lpd 1 fvco 5156.25} 557.432432 {refclk 557.432432 m 37 n 4 lpfd 1 lpd 1 fvco 5156.25} 135.690789 {refclk 135.690789 m 38 n 1 lpfd 1 lpd 1 fvco 5156.25} 132.211538 {refclk 132.211538 m 39 n 1 lpfd 1 lpd 1 fvco 5156.25} 264.423077 {refclk 264.423077 m 39 n 2 lpfd 1 lpd 1 fvco 5156.25} 528.846154 {refclk 528.846154 m 39 n 4 lpfd 1 lpd 1 fvco 5156.25} 128.906250 {refclk 128.906250 m 40 n 1 lpfd 1 lpd 1 fvco 5156.25} 125.762195 {refclk 125.762195 m 41 n 1 lpfd 1 lpd 1 fvco 5156.25} 251.524390 {refclk 251.524390 m 41 n 2 lpfd 1 lpd 1 fvco 5156.25} 503.048780 {refclk 503.048780 m 41 n 4 lpfd 1 lpd 1 fvco 5156.25} 122.767857 {refclk 122.767857 m 42 n 1 lpfd 1 lpd 1 fvco 5156.25} 119.912791 {refclk 119.912791 m 43 n 1 lpfd 1 lpd 1 fvco 5156.25} 239.825581 {refclk 239.825581 m 43 n 2 lpfd 1 lpd 1 fvco 5156.25} 479.651163 {refclk 479.651163 m 43 n 4 lpfd 1 lpd 1 fvco 5156.25} 117.187500 {refclk 117.187500 m 44 n 1 lpfd 1 lpd 1 fvco 5156.25} 114.583333 {refclk 114.583333 m 45 n 1 lpfd 1 lpd 1 fvco 5156.25} 229.166667 {refclk 229.166667 m 45 n 2 lpfd 1 lpd 1 fvco 5156.25} 458.333333 {refclk 458.333333 m 45 n 4 lpfd 1 lpd 1 fvco 5156.25} 112.092391 {refclk 112.092391 m 46 n 1 lpfd 1 lpd 1 fvco 5156.25} 109.707447 {refclk 109.707447 m 47 n 1 lpfd 1 lpd 1 fvco 5156.25} 219.414894 {refclk 219.414894 m 47 n 2 lpfd 1 lpd 1 fvco 5156.25} 438.829787 {refclk 438.829787 m 47 n 4 lpfd 1 lpd 1 fvco 5156.25} 107.421875 {refclk 107.421875 m 48 n 1 lpfd 1 lpd 1 fvco 5156.25} 105.229592 {refclk 105.229592 m 49 n 1 lpfd 1 lpd 1 fvco 5156.25} 210.459184 {refclk 210.459184 m 49 n 2 lpfd 1 lpd 1 fvco 5156.25} 420.918367 {refclk 420.918367 m 49 n 4 lpfd 1 lpd 1 fvco 5156.25} 103.125000 {refclk 103.125000 m 50 n 1 lpfd 1 lpd 1 fvco 5156.25} 101.102941 {refclk 101.102941 m 51 n 1 lpfd 1 lpd 1 fvco 5156.25} 202.205882 {refclk 202.205882 m 51 n 2 lpfd 1 lpd 1 fvco 5156.25} 404.411765 {refclk 404.411765 m 51 n 4 lpfd 1 lpd 1 fvco 5156.25} 99.158654 {refclk 99.158654 m 52 n 1 lpfd 1 lpd 1 fvco 5156.25} 97.287736 {refclk 97.287736 m 53 n 1 lpfd 1 lpd 1 fvco 5156.25} 194.575472 {refclk 194.575472 m 53 n 2 lpfd 1 lpd 1 fvco 5156.25} 389.150943 {refclk 389.150943 m 53 n 4 lpfd 1 lpd 1 fvco 5156.25} 778.301887 {refclk 778.301887 m 53 n 8 lpfd 1 lpd 1 fvco 5156.25} 95.486111 {refclk 95.486111 m 54 n 1 lpfd 1 lpd 1 fvco 5156.25} 93.750000 {refclk 93.750000 m 55 n 1 lpfd 1 lpd 1 fvco 5156.25} 187.500000 {refclk 187.500000 m 55 n 2 lpfd 1 lpd 1 fvco 5156.25} 375.000000 {refclk 375.000000 m 55 n 4 lpfd 1 lpd 1 fvco 5156.25} 750.000000 {refclk 750.000000 m 55 n 8 lpfd 1 lpd 1 fvco 5156.25} 92.075893 {refclk 92.075893 m 56 n 1 lpfd 1 lpd 1 fvco 5156.25} 90.460526 {refclk 90.460526 m 57 n 1 lpfd 1 lpd 1 fvco 5156.25} 180.921053 {refclk 180.921053 m 57 n 2 lpfd 1 lpd 1 fvco 5156.25} 361.842105 {refclk 361.842105 m 57 n 4 lpfd 1 lpd 1 fvco 5156.25} 723.684211 {refclk 723.684211 m 57 n 8 lpfd 1 lpd 1 fvco 5156.25} 88.900862 {refclk 88.900862 m 58 n 1 lpfd 1 lpd 1 fvco 5156.25} 87.394068 {refclk 87.394068 m 59 n 1 lpfd 1 lpd 1 fvco 5156.25} 174.788136 {refclk 174.788136 m 59 n 2 lpfd 1 lpd 1 fvco 5156.25} 349.576271 {refclk 349.576271 m 59 n 4 lpfd 1 lpd 1 fvco 5156.25} 699.152542 {refclk 699.152542 m 59 n 8 lpfd 1 lpd 1 fvco 5156.25} 85.937500 {refclk 85.937500 m 60 n 1 lpfd 1 lpd 1 fvco 5156.25} 84.528689 {refclk 84.528689 m 61 n 1 lpfd 1 lpd 1 fvco 5156.25} 169.057377 {refclk 169.057377 m 61 n 2 lpfd 1 lpd 1 fvco 5156.25} 338.114754 {refclk 338.114754 m 61 n 4 lpfd 1 lpd 1 fvco 5156.25} 676.229508 {refclk 676.229508 m 61 n 8 lpfd 1 lpd 1 fvco 5156.25} 83.165323 {refclk 83.165323 m 62 n 1 lpfd 1 lpd 1 fvco 5156.25} 81.845238 {refclk 81.845238 m 63 n 1 lpfd 1 lpd 1 fvco 5156.25} 163.690476 {refclk 163.690476 m 63 n 2 lpfd 1 lpd 1 fvco 5156.25} 327.380952 {refclk 327.380952 m 63 n 4 lpfd 1 lpd 1 fvco 5156.25} 654.761905 {refclk 654.761905 m 63 n 8 lpfd 1 lpd 1 fvco 5156.25} 80.566406 {refclk 80.566406 m 64 n 1 lpfd 1 lpd 1 fvco 5156.25} 79.326923 {refclk 79.326923 m 65 n 1 lpfd 1 lpd 1 fvco 5156.25} 158.653846 {refclk 158.653846 m 65 n 2 lpfd 1 lpd 1 fvco 5156.25} 317.307692 {refclk 317.307692 m 65 n 4 lpfd 1 lpd 1 fvco 5156.25} 634.615385 {refclk 634.615385 m 65 n 8 lpfd 1 lpd 1 fvco 5156.25} 78.125000 {refclk 78.125000 m 66 n 1 lpfd 1 lpd 1 fvco 5156.25} 76.958955 {refclk 76.958955 m 67 n 1 lpfd 1 lpd 1 fvco 5156.25} 153.917910 {refclk 153.917910 m 67 n 2 lpfd 1 lpd 1 fvco 5156.25} 307.835821 {refclk 307.835821 m 67 n 4 lpfd 1 lpd 1 fvco 5156.25} 615.671642 {refclk 615.671642 m 67 n 8 lpfd 1 lpd 1 fvco 5156.25} 75.827206 {refclk 75.827206 m 68 n 1 lpfd 1 lpd 1 fvco 5156.25} 74.728261 {refclk 74.728261 m 69 n 1 lpfd 1 lpd 1 fvco 5156.25} 149.456522 {refclk 149.456522 m 69 n 2 lpfd 1 lpd 1 fvco 5156.25} 298.913043 {refclk 298.913043 m 69 n 4 lpfd 1 lpd 1 fvco 5156.25} 597.826087 {refclk 597.826087 m 69 n 8 lpfd 1 lpd 1 fvco 5156.25} 73.660714 {refclk 73.660714 m 70 n 1 lpfd 1 lpd 1 fvco 5156.25} 72.623239 {refclk 72.623239 m 71 n 1 lpfd 1 lpd 1 fvco 5156.25} 145.246479 {refclk 145.246479 m 71 n 2 lpfd 1 lpd 1 fvco 5156.25} 290.492958 {refclk 290.492958 m 71 n 4 lpfd 1 lpd 1 fvco 5156.25} 580.985915 {refclk 580.985915 m 71 n 8 lpfd 1 lpd 1 fvco 5156.25} 71.614583 {refclk 71.614583 m 72 n 1 lpfd 1 lpd 1 fvco 5156.25} 70.633562 {refclk 70.633562 m 73 n 1 lpfd 1 lpd 1 fvco 5156.25} 141.267123 {refclk 141.267123 m 73 n 2 lpfd 1 lpd 1 fvco 5156.25} 282.534247 {refclk 282.534247 m 73 n 4 lpfd 1 lpd 1 fvco 5156.25} 565.068493 {refclk 565.068493 m 73 n 8 lpfd 1 lpd 1 fvco 5156.25} 69.679054 {refclk 69.679054 m 74 n 1 lpfd 1 lpd 1 fvco 5156.25} 68.750000 {refclk 68.750000 m 75 n 1 lpfd 1 lpd 1 fvco 5156.25} 137.500000 {refclk 137.500000 m 75 n 2 lpfd 1 lpd 1 fvco 5156.25} 275.000000 {refclk 275.000000 m 75 n 4 lpfd 1 lpd 1 fvco 5156.25} 550.000000 {refclk 550.000000 m 75 n 8 lpfd 1 lpd 1 fvco 5156.25} 67.845395 {refclk 67.845395 m 76 n 1 lpfd 1 lpd 1 fvco 5156.25} 66.964286 {refclk 66.964286 m 77 n 1 lpfd 1 lpd 1 fvco 5156.25} 133.928571 {refclk 133.928571 m 77 n 2 lpfd 1 lpd 1 fvco 5156.25} 267.857143 {refclk 267.857143 m 77 n 4 lpfd 1 lpd 1 fvco 5156.25} 535.714286 {refclk 535.714286 m 77 n 8 lpfd 1 lpd 1 fvco 5156.25} 66.105769 {refclk 66.105769 m 78 n 1 lpfd 1 lpd 1 fvco 5156.25} 65.268987 {refclk 65.268987 m 79 n 1 lpfd 1 lpd 1 fvco 5156.25} 130.537975 {refclk 130.537975 m 79 n 2 lpfd 1 lpd 1 fvco 5156.25} 261.075949 {refclk 261.075949 m 79 n 4 lpfd 1 lpd 1 fvco 5156.25} 522.151899 {refclk 522.151899 m 79 n 8 lpfd 1 lpd 1 fvco 5156.25} 64.453125 {refclk 64.453125 m 80 n 1 lpfd 1 lpd 1 fvco 5156.25} 63.657407 {refclk 63.657407 m 81 n 1 lpfd 1 lpd 1 fvco 5156.25} 127.314815 {refclk 127.314815 m 81 n 2 lpfd 1 lpd 1 fvco 5156.25} 254.629630 {refclk 254.629630 m 81 n 4 lpfd 1 lpd 1 fvco 5156.25} 509.259259 {refclk 509.259259 m 81 n 8 lpfd 1 lpd 1 fvco 5156.25} 62.881098 {refclk 62.881098 m 82 n 1 lpfd 1 lpd 1 fvco 5156.25} 62.123494 {refclk 62.123494 m 83 n 1 lpfd 1 lpd 1 fvco 5156.25} 124.246988 {refclk 124.246988 m 83 n 2 lpfd 1 lpd 1 fvco 5156.25} 248.493976 {refclk 248.493976 m 83 n 4 lpfd 1 lpd 1 fvco 5156.25} 496.987952 {refclk 496.987952 m 83 n 8 lpfd 1 lpd 1 fvco 5156.25} 61.383929 {refclk 61.383929 m 84 n 1 lpfd 1 lpd 1 fvco 5156.25} 60.661765 {refclk 60.661765 m 85 n 1 lpfd 1 lpd 1 fvco 5156.25} 121.323529 {refclk 121.323529 m 85 n 2 lpfd 1 lpd 1 fvco 5156.25} 242.647059 {refclk 242.647059 m 85 n 4 lpfd 1 lpd 1 fvco 5156.25} 485.294118 {refclk 485.294118 m 85 n 8 lpfd 1 lpd 1 fvco 5156.25} 59.956395 {refclk 59.956395 m 86 n 1 lpfd 1 lpd 1 fvco 5156.25} 59.267241 {refclk 59.267241 m 87 n 1 lpfd 1 lpd 1 fvco 5156.25} 118.534483 {refclk 118.534483 m 87 n 2 lpfd 1 lpd 1 fvco 5156.25} 237.068966 {refclk 237.068966 m 87 n 4 lpfd 1 lpd 1 fvco 5156.25} 474.137931 {refclk 474.137931 m 87 n 8 lpfd 1 lpd 1 fvco 5156.25} 58.593750 {refclk 58.593750 m 88 n 1 lpfd 1 lpd 1 fvco 5156.25} 57.935393 {refclk 57.935393 m 89 n 1 lpfd 1 lpd 1 fvco 5156.25} 115.870787 {refclk 115.870787 m 89 n 2 lpfd 1 lpd 1 fvco 5156.25} 231.741573 {refclk 231.741573 m 89 n 4 lpfd 1 lpd 1 fvco 5156.25} 463.483146 {refclk 463.483146 m 89 n 8 lpfd 1 lpd 1 fvco 5156.25} 57.291667 {refclk 57.291667 m 90 n 1 lpfd 1 lpd 1 fvco 5156.25} 56.662088 {refclk 56.662088 m 91 n 1 lpfd 1 lpd 1 fvco 5156.25} 113.324176 {refclk 113.324176 m 91 n 2 lpfd 1 lpd 1 fvco 5156.25} 226.648352 {refclk 226.648352 m 91 n 4 lpfd 1 lpd 1 fvco 5156.25} 453.296703 {refclk 453.296703 m 91 n 8 lpfd 1 lpd 1 fvco 5156.25} 56.046196 {refclk 56.046196 m 92 n 1 lpfd 1 lpd 1 fvco 5156.25} 55.443548 {refclk 55.443548 m 93 n 1 lpfd 1 lpd 1 fvco 5156.25} 110.887097 {refclk 110.887097 m 93 n 2 lpfd 1 lpd 1 fvco 5156.25} 221.774194 {refclk 221.774194 m 93 n 4 lpfd 1 lpd 1 fvco 5156.25} 443.548387 {refclk 443.548387 m 93 n 8 lpfd 1 lpd 1 fvco 5156.25} 54.853723 {refclk 54.853723 m 94 n 1 lpfd 1 lpd 1 fvco 5156.25} 54.276316 {refclk 54.276316 m 95 n 1 lpfd 1 lpd 1 fvco 5156.25} 108.552632 {refclk 108.552632 m 95 n 2 lpfd 1 lpd 1 fvco 5156.25} 217.105263 {refclk 217.105263 m 95 n 4 lpfd 1 lpd 1 fvco 5156.25} 434.210526 {refclk 434.210526 m 95 n 8 lpfd 1 lpd 1 fvco 5156.25} 53.710938 {refclk 53.710938 m 96 n 1 lpfd 1 lpd 1 fvco 5156.25} 53.157216 {refclk 53.157216 m 97 n 1 lpfd 1 lpd 1 fvco 5156.25} 106.314433 {refclk 106.314433 m 97 n 2 lpfd 1 lpd 1 fvco 5156.25} 212.628866 {refclk 212.628866 m 97 n 4 lpfd 1 lpd 1 fvco 5156.25} 425.257732 {refclk 425.257732 m 97 n 8 lpfd 1 lpd 1 fvco 5156.25} 52.614796 {refclk 52.614796 m 98 n 1 lpfd 1 lpd 1 fvco 5156.25} 52.083333 {refclk 52.083333 m 99 n 1 lpfd 1 lpd 1 fvco 5156.25} 104.166667 {refclk 104.166667 m 99 n 2 lpfd 1 lpd 1 fvco 5156.25} 208.333333 {refclk 208.333333 m 99 n 4 lpfd 1 lpd 1 fvco 5156.25} 416.666667 {refclk 416.666667 m 99 n 8 lpfd 1 lpd 1 fvco 5156.25} 51.562500 {refclk 51.562500 m 100 n 1 lpfd 1 lpd 1 fvco 5156.25} 51.051980 {refclk 51.051980 m 101 n 1 lpfd 1 lpd 1 fvco 5156.25} 102.103960 {refclk 102.103960 m 101 n 2 lpfd 1 lpd 1 fvco 5156.25} 204.207921 {refclk 204.207921 m 101 n 4 lpfd 1 lpd 1 fvco 5156.25} 408.415842 {refclk 408.415842 m 101 n 8 lpfd 1 lpd 1 fvco 5156.25} 50.551471 {refclk 50.551471 m 102 n 1 lpfd 1 lpd 1 fvco 5156.25} 50.060680 {refclk 50.060680 m 103 n 1 lpfd 1 lpd 1 fvco 5156.25} 100.121359 {refclk 100.121359 m 103 n 2 lpfd 1 lpd 1 fvco 5156.25} 200.242718 {refclk 200.242718 m 103 n 4 lpfd 1 lpd 1 fvco 5156.25} 400.485437 {refclk 400.485437 m 103 n 8 lpfd 1 lpd 1 fvco 5156.25} allowed_ranges {50.060680 50.551471 51.051980 51.562500 52.083333 52.614796 53.157216 53.710938 54.276316 54.853723 55.443548 56.046196 56.662088 57.291667 57.935393 58.593750 59.267241 59.956395 60.661765 61.383929 62.123494 62.881098 63.657407 64.453125 65.268987 66.105769 66.964286 67.845395 68.750000 69.679054 70.633562 71.614583 72.623239 73.660714 74.728261 75.827206 76.958955 78.125000 79.326923 80.566406 81.845238 83.165323 84.528689 85.937500 87.394068 88.900862 90.460526 92.075893 93.750000 95.486111 97.287736 99.158654 100.121359 101.102941 102.103960 103.125000 104.166667 105.229592 106.314433 107.421875 108.552632 109.707447 110.887097 112.092391 113.324176 114.583333 115.870787 117.187500 118.534483 119.912791 121.323529 122.767857 124.246988 125.762195 127.314815 128.906250 130.537975 132.211538 133.928571 135.690789 137.500000 139.358108 141.267123 143.229167 145.246479 147.321429 149.456522 151.654412 153.917910 156.250000 158.653846 161.132812 163.690476 166.330645 169.057377 171.875000 174.788136 177.801724 180.921053 184.151786 187.500000 190.972222 194.575472 198.317308 200.242718 202.205882 204.207921 206.250000 208.333333 210.459184 212.628866 214.843750 217.105263 219.414894 221.774194 224.184783 226.648352 229.166667 231.741573 234.375000 237.068966 239.825581 242.647059 245.535714 248.493976 251.524390 254.629630 257.812500 261.075949 264.423077 267.857143 271.381579 275.000000 278.716216 282.534247 286.458333 290.492958 294.642857 298.913043 303.308824 307.835821 312.500000 317.307692 322.265625 327.380952 332.661290 338.114754 343.750000 349.576271 355.603448 361.842105 368.303571 375.000000 381.944444 389.150943 396.634615 400.485437 404.411765 408.415842 412.500000 416.666667 420.918367 425.257732 429.687500 434.210526 438.829787 443.548387 448.369565 453.296703 458.333333 463.483146 468.750000 474.137931 479.651163 485.294118 491.071429 496.987952 503.048780 509.259259 515.625000 522.151899 528.846154 535.714286 542.763158 550.000000 557.432432 565.068493 572.916667 580.985915 589.285714 597.826087 606.617647 615.671642 625.000000 634.615385 644.531250 654.761905 665.322581 676.229508 687.500000 699.152542 711.206897 723.684211 736.607143 750.000000 763.888889 778.301887 793.269231}</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_pll_settings_key" type="string"> + <ipxact:name>l_pll_settings_key</ipxact:name> + <ipxact:displayName>l_pll_settings_key</ipxact:displayName> + <ipxact:value>644.531250</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_enable_pma_bonding" type="int"> + <ipxact:name>l_enable_pma_bonding</ipxact:name> + <ipxact:displayName>l_enable_pma_bonding</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_enable_reve_support" type="int"> + <ipxact:name>l_enable_reve_support</ipxact:name> + <ipxact:displayName>l_enable_reve_support</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_std" type="int"> + <ipxact:name>enable_std</ipxact:name> + <ipxact:displayName>enable_std</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_enable_std_pipe" type="int"> + <ipxact:name>l_enable_std_pipe</ipxact:name> + <ipxact:displayName>l_enable_std_pipe</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_enable_tx_std" type="int"> + <ipxact:name>l_enable_tx_std</ipxact:name> + <ipxact:displayName>l_enable_tx_std</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_enable_rx_std" type="int"> + <ipxact:name>l_enable_rx_std</ipxact:name> + <ipxact:displayName>l_enable_rx_std</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_enable_tx_std_iface" type="int"> + <ipxact:name>l_enable_tx_std_iface</ipxact:name> + <ipxact:displayName>l_enable_tx_std_iface</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_enable_rx_std_iface" type="int"> + <ipxact:name>l_enable_rx_std_iface</ipxact:name> + <ipxact:displayName>l_enable_rx_std_iface</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_std_tx_word_count" type="int"> + <ipxact:name>l_std_tx_word_count</ipxact:name> + <ipxact:displayName>l_std_tx_word_count</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_std_tx_word_width" type="int"> + <ipxact:name>l_std_tx_word_width</ipxact:name> + <ipxact:displayName>l_std_tx_word_width</ipxact:displayName> + <ipxact:value>10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_std_tx_field_width" type="int"> + <ipxact:name>l_std_tx_field_width</ipxact:name> + <ipxact:displayName>l_std_tx_field_width</ipxact:displayName> + <ipxact:value>11</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_std_rx_word_count" type="int"> + <ipxact:name>l_std_rx_word_count</ipxact:name> + <ipxact:displayName>l_std_rx_word_count</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_std_rx_word_width" type="int"> + <ipxact:name>l_std_rx_word_width</ipxact:name> + <ipxact:displayName>l_std_rx_word_width</ipxact:displayName> + <ipxact:value>10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_std_rx_field_width" type="int"> + <ipxact:name>l_std_rx_field_width</ipxact:name> + <ipxact:displayName>l_std_rx_field_width</ipxact:displayName> + <ipxact:value>16</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_std_tx_pld_pcs_width" type="int"> + <ipxact:name>l_std_tx_pld_pcs_width</ipxact:name> + <ipxact:displayName>l_std_tx_pld_pcs_width</ipxact:displayName> + <ipxact:value>10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_std_rx_pld_pcs_width" type="int"> + <ipxact:name>l_std_rx_pld_pcs_width</ipxact:name> + <ipxact:displayName>l_std_rx_pld_pcs_width</ipxact:displayName> + <ipxact:value>10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_std_data_mask_count_multi" type="int"> + <ipxact:name>l_std_data_mask_count_multi</ipxact:name> + <ipxact:displayName>l_std_data_mask_count_multi</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_enh" type="int"> + <ipxact:name>enable_enh</ipxact:name> + <ipxact:displayName>enable_enh</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_enable_tx_enh" type="int"> + <ipxact:name>l_enable_tx_enh</ipxact:name> + <ipxact:displayName>l_enable_tx_enh</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_enable_rx_enh" type="int"> + <ipxact:name>l_enable_rx_enh</ipxact:name> + <ipxact:displayName>l_enable_rx_enh</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_enable_tx_enh_iface" type="int"> + <ipxact:name>l_enable_tx_enh_iface</ipxact:name> + <ipxact:displayName>l_enable_tx_enh_iface</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_enable_rx_enh_iface" type="int"> + <ipxact:name>l_enable_rx_enh_iface</ipxact:name> + <ipxact:displayName>l_enable_rx_enh_iface</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_pcs_dir" type="int"> + <ipxact:name>enable_pcs_dir</ipxact:name> + <ipxact:displayName>enable_pcs_dir</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_enable_tx_pcs_dir" type="int"> + <ipxact:name>l_enable_tx_pcs_dir</ipxact:name> + <ipxact:displayName>l_enable_tx_pcs_dir</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_enable_rx_pcs_dir" type="int"> + <ipxact:name>l_enable_rx_pcs_dir</ipxact:name> + <ipxact:displayName>l_enable_rx_pcs_dir</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_rcfg_ifaces" type="int"> + <ipxact:name>l_rcfg_ifaces</ipxact:name> + <ipxact:displayName>l_rcfg_ifaces</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_rcfg_addr_bits" type="int"> + <ipxact:name>l_rcfg_addr_bits</ipxact:name> + <ipxact:displayName>l_rcfg_addr_bits</ipxact:displayName> + <ipxact:value>15</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="is_c10" type="int"> + <ipxact:name>is_c10</ipxact:name> + <ipxact:displayName>is_c10</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_enable" type="int"> + <ipxact:name>rcfg_enable</ipxact:name> + <ipxact:displayName>Enable dynamic reconfiguration</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_shared" type="int"> + <ipxact:name>rcfg_shared</ipxact:name> + <ipxact:displayName>Share reconfiguration interface</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_jtag_enable" type="int"> + <ipxact:name>rcfg_jtag_enable</ipxact:name> + <ipxact:displayName>Enable Native PHY Debug Master Endpoint</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_separate_avmm_busy" type="int"> + <ipxact:name>rcfg_separate_avmm_busy</ipxact:name> + <ipxact:displayName>Separate reconfig_waitrequest from the status of AVMM arbitration with PreSICE</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_enable_avmm_busy_port" type="int"> + <ipxact:name>rcfg_enable_avmm_busy_port</ipxact:name> + <ipxact:displayName>Enable avmm_busy port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adme_prot_mode" type="string"> + <ipxact:name>adme_prot_mode</ipxact:name> + <ipxact:displayName>adme_prot_mode</ipxact:displayName> + <ipxact:value>teng_baser_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adme_pma_mode" type="string"> + <ipxact:name>adme_pma_mode</ipxact:name> + <ipxact:displayName>adme_pma_mode</ipxact:displayName> + <ipxact:value>basic</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adme_tx_power_mode" type="string"> + <ipxact:name>adme_tx_power_mode</ipxact:name> + <ipxact:displayName>adme_tx_power_mode</ipxact:displayName> + <ipxact:value>mid_power</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adme_data_rate" type="string"> + <ipxact:name>adme_data_rate</ipxact:name> + <ipxact:displayName>adme_data_rate</ipxact:displayName> + <ipxact:value>10312500000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_pcie_dfe_ip" type="bit"> + <ipxact:name>enable_pcie_dfe_ip</ipxact:name> + <ipxact:displayName>Enable PICe DFE IP</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="sim_reduced_counters" type="bit"> + <ipxact:name>sim_reduced_counters</ipxact:name> + <ipxact:displayName>Enable fast sim</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="disable_continuous_dfe" type="bit"> + <ipxact:name>disable_continuous_dfe</ipxact:name> + <ipxact:displayName>Disable DFE Continuous</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_embedded_debug_enable" type="int"> + <ipxact:name>set_embedded_debug_enable</ipxact:name> + <ipxact:displayName>Enable embedded debug</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_capability_reg_enable" type="int"> + <ipxact:name>set_capability_reg_enable</ipxact:name> + <ipxact:displayName>Enable capability registers</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_user_identifier" type="int"> + <ipxact:name>set_user_identifier</ipxact:name> + <ipxact:displayName>Set user-defined IP identifier</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_csr_soft_logic_enable" type="int"> + <ipxact:name>set_csr_soft_logic_enable</ipxact:name> + <ipxact:displayName>Enable control and status registers</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_prbs_soft_logic_enable" type="int"> + <ipxact:name>set_prbs_soft_logic_enable</ipxact:name> + <ipxact:displayName>Enable PRBS soft accumulators</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_odi_soft_logic_enable" type="int"> + <ipxact:name>set_odi_soft_logic_enable</ipxact:name> + <ipxact:displayName>Enable ODI acceleration logic</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="dbg_embedded_debug_enable" type="int"> + <ipxact:name>dbg_embedded_debug_enable</ipxact:name> + <ipxact:displayName>dbg_embedded_debug_enable</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="dbg_capability_reg_enable" type="int"> + <ipxact:name>dbg_capability_reg_enable</ipxact:name> + <ipxact:displayName>dbg_capability_reg_enable</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="dbg_user_identifier" type="int"> + <ipxact:name>dbg_user_identifier</ipxact:name> + <ipxact:displayName>dbg_user_identifier</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="dbg_stat_soft_logic_enable" type="int"> + <ipxact:name>dbg_stat_soft_logic_enable</ipxact:name> + <ipxact:displayName>dbg_stat_soft_logic_enable</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="dbg_ctrl_soft_logic_enable" type="int"> + <ipxact:name>dbg_ctrl_soft_logic_enable</ipxact:name> + <ipxact:displayName>dbg_ctrl_soft_logic_enable</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="dbg_prbs_soft_logic_enable" type="int"> + <ipxact:name>dbg_prbs_soft_logic_enable</ipxact:name> + <ipxact:displayName>dbg_prbs_soft_logic_enable</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="dbg_odi_soft_logic_enable" type="int"> + <ipxact:name>dbg_odi_soft_logic_enable</ipxact:name> + <ipxact:displayName>dbg_odi_soft_logic_enable</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_file_prefix" type="string"> + <ipxact:name>rcfg_file_prefix</ipxact:name> + <ipxact:displayName>Configuration file prefix</ipxact:displayName> + <ipxact:value>altera_xcvr_native_a10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_sv_file_enable" type="int"> + <ipxact:name>rcfg_sv_file_enable</ipxact:name> + <ipxact:displayName>Generate SystemVerilog package file</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_h_file_enable" type="int"> + <ipxact:name>rcfg_h_file_enable</ipxact:name> + <ipxact:displayName>Generate C header file</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_mif_file_enable" type="int"> + <ipxact:name>rcfg_mif_file_enable</ipxact:name> + <ipxact:displayName>Generate MIF (Memory Initialization File)</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_multi_enable" type="int"> + <ipxact:name>rcfg_multi_enable</ipxact:name> + <ipxact:displayName>Enable multiple reconfiguration profiles</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_rcfg_emb_strm_enable" type="int"> + <ipxact:name>set_rcfg_emb_strm_enable</ipxact:name> + <ipxact:displayName>Enable embedded reconfiguration streamer</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_emb_strm_enable" type="int"> + <ipxact:name>rcfg_emb_strm_enable</ipxact:name> + <ipxact:displayName>rcfg_emb_strm_enable</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_reduced_files_enable" type="int"> + <ipxact:name>rcfg_reduced_files_enable</ipxact:name> + <ipxact:displayName>Generate reduced reconfiguration files</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_profile_cnt" type="int"> + <ipxact:name>rcfg_profile_cnt</ipxact:name> + <ipxact:displayName>Number of reconfiguration profiles</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_profile_select" type="int"> + <ipxact:name>rcfg_profile_select</ipxact:name> + <ipxact:displayName>Selected reconfiguration profile</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_profile_data0" type="string"> + <ipxact:name>rcfg_profile_data0</ipxact:name> + <ipxact:displayName>rcfg_profile_data0</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_profile_data1" type="string"> + <ipxact:name>rcfg_profile_data1</ipxact:name> + <ipxact:displayName>rcfg_profile_data1</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_profile_data2" type="string"> + <ipxact:name>rcfg_profile_data2</ipxact:name> + <ipxact:displayName>rcfg_profile_data2</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_profile_data3" type="string"> + <ipxact:name>rcfg_profile_data3</ipxact:name> + <ipxact:displayName>rcfg_profile_data3</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_profile_data4" type="string"> + <ipxact:name>rcfg_profile_data4</ipxact:name> + <ipxact:displayName>rcfg_profile_data4</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_profile_data5" type="string"> + <ipxact:name>rcfg_profile_data5</ipxact:name> + <ipxact:displayName>rcfg_profile_data5</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_profile_data6" type="string"> + <ipxact:name>rcfg_profile_data6</ipxact:name> + <ipxact:displayName>rcfg_profile_data6</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_profile_data7" type="string"> + <ipxact:name>rcfg_profile_data7</ipxact:name> + <ipxact:displayName>rcfg_profile_data7</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_params" type="string"> + <ipxact:name>rcfg_params</ipxact:name> + <ipxact:displayName>rcfg_params</ipxact:displayName> + <ipxact:value>anlg_voltage,anlg_link,support_mode,protocol_mode,pma_mode,duplex_mode,channels,set_data_rate,rcfg_iface_enable,enable_simple_interface,enable_split_interface,set_enable_calibration,enable_parallel_loopback,enable_upi_pipeline_options,pcs_tx_delay1_ctrl,pcs_tx_delay1_data_sel,pcs_tx_delay2_ctrl,bonded_mode,set_pcs_bonding_master,tx_pma_clk_div,plls,pll_select,enable_port_tx_analog_reset_ack,enable_port_tx_pma_clkout,enable_port_tx_pma_div_clkout,tx_pma_div_clkout_divider,enable_port_tx_pma_iqtxrx_clkout,enable_port_tx_pma_elecidle,enable_port_tx_pma_qpipullup,enable_port_tx_pma_qpipulldn,enable_port_tx_pma_txdetectrx,enable_port_tx_pma_rxfound,enable_port_rx_seriallpbken_tx,number_physical_bonding_clocks,cdr_refclk_cnt,cdr_refclk_select,set_cdr_refclk_freq,rx_ppm_detect_threshold,rx_pma_ctle_adaptation_mode,rx_pma_dfe_adaptation_mode,rx_pma_dfe_fixed_taps,enable_ports_adaptation,enable_port_rx_analog_reset_ack,enable_port_rx_pma_clkout,enable_port_rx_pma_div_clkout,rx_pma_div_clkout_divider,enable_port_rx_pma_iqtxrx_clkout,enable_port_rx_pma_clkslip,enable_port_rx_pma_qpipulldn,enable_port_rx_is_lockedtodata,enable_port_rx_is_lockedtoref,enable_ports_rx_manual_cdr_mode,enable_ports_rx_manual_ppm,enable_port_rx_signaldetect,enable_port_rx_seriallpbken,enable_ports_rx_prbs,std_pcs_pma_width,std_low_latency_bypass_enable,enable_hip,enable_hard_reset,set_hip_cal_en,std_tx_pcfifo_mode,std_rx_pcfifo_mode,enable_port_tx_std_pcfifo_full,enable_port_tx_std_pcfifo_empty,enable_port_rx_std_pcfifo_full,enable_port_rx_std_pcfifo_empty,std_tx_byte_ser_mode,std_rx_byte_deser_mode,std_tx_8b10b_enable,std_tx_8b10b_disp_ctrl_enable,std_rx_8b10b_enable,std_rx_rmfifo_mode,std_rx_rmfifo_pattern_n,std_rx_rmfifo_pattern_p,enable_port_rx_std_rmfifo_full,enable_port_rx_std_rmfifo_empty,pcie_rate_match,std_tx_bitslip_enable,enable_port_tx_std_bitslipboundarysel,std_rx_word_aligner_mode,std_rx_word_aligner_pattern_len,std_rx_word_aligner_pattern,std_rx_word_aligner_rknumber,std_rx_word_aligner_renumber,std_rx_word_aligner_rgnumber,std_rx_word_aligner_fast_sync_status_enable,enable_port_rx_std_wa_patternalign,enable_port_rx_std_wa_a1a2size,enable_port_rx_std_bitslipboundarysel,enable_port_rx_std_bitslip,std_tx_bitrev_enable,std_tx_byterev_enable,std_tx_polinv_enable,enable_port_tx_polinv,std_rx_bitrev_enable,enable_port_rx_std_bitrev_ena,std_rx_byterev_enable,enable_port_rx_std_byterev_ena,std_rx_polinv_enable,enable_port_rx_polinv,enable_port_rx_std_signaldetect,enable_ports_pipe_sw,enable_ports_pipe_hclk,enable_ports_pipe_g3_analog,enable_ports_pipe_rx_elecidle,enable_port_pipe_rx_polarity,enh_pcs_pma_width,enh_pld_pcs_width,enh_low_latency_enable,enh_rxtxfifo_double_width,enh_txfifo_mode,enh_txfifo_pfull,enh_txfifo_pempty,enable_port_tx_enh_fifo_full,enable_port_tx_enh_fifo_pfull,enable_port_tx_enh_fifo_empty,enable_port_tx_enh_fifo_pempty,enable_port_tx_enh_fifo_cnt,enh_rxfifo_mode,enh_rxfifo_pfull,enh_rxfifo_pempty,enh_rxfifo_align_del,enh_rxfifo_control_del,enable_port_rx_enh_data_valid,enable_port_rx_enh_fifo_full,enable_port_rx_enh_fifo_pfull,enable_port_rx_enh_fifo_empty,enable_port_rx_enh_fifo_pempty,enable_port_rx_enh_fifo_cnt,enable_port_rx_enh_fifo_del,enable_port_rx_enh_fifo_insert,enable_port_rx_enh_fifo_rd_en,enable_port_rx_enh_fifo_align_val,enable_port_rx_enh_fifo_align_clr,enh_tx_frmgen_enable,enh_tx_frmgen_mfrm_length,enh_tx_frmgen_burst_enable,enable_port_tx_enh_frame,enable_port_tx_enh_frame_diag_status,enable_port_tx_enh_frame_burst_en,enh_rx_frmsync_enable,enh_rx_frmsync_mfrm_length,enable_port_rx_enh_frame,enable_port_rx_enh_frame_lock,enable_port_rx_enh_frame_diag_status,enh_tx_crcgen_enable,enh_tx_crcerr_enable,enh_rx_crcchk_enable,enable_port_rx_enh_crc32_err,enable_port_rx_enh_highber,enable_port_rx_enh_highber_clr_cnt,enable_port_rx_enh_clr_errblk_count,enable_port_rx_enh_clr_errblk_count_c10,enh_tx_64b66b_enable,enh_rx_64b66b_enable,enh_tx_sh_err,enh_tx_scram_enable,enh_tx_scram_seed,enh_rx_descram_enable,enh_tx_dispgen_enable,enh_rx_dispchk_enable,enh_tx_randomdispbit_enable,enh_rx_blksync_enable,enable_port_rx_enh_blk_lock,enh_tx_bitslip_enable,enh_tx_polinv_enable,enh_rx_bitslip_enable,enh_rx_polinv_enable,enable_port_tx_enh_bitslip,enable_port_rx_enh_bitslip,enh_rx_krfec_err_mark_enable,enh_rx_krfec_err_mark_type,enh_tx_krfec_burst_err_enable,enh_tx_krfec_burst_err_len,enable_port_krfec_tx_enh_frame,enable_port_krfec_rx_enh_frame,enable_port_krfec_rx_enh_frame_diag_status,pcs_direct_width,enable_analog_settings,anlg_tx_analog_mode,anlg_enable_tx_default_ovr,anlg_tx_vod_output_swing_ctrl,anlg_tx_pre_emp_sign_pre_tap_1t,anlg_tx_pre_emp_switching_ctrl_pre_tap_1t,anlg_tx_pre_emp_sign_pre_tap_2t,anlg_tx_pre_emp_switching_ctrl_pre_tap_2t,anlg_tx_pre_emp_sign_1st_post_tap,anlg_tx_pre_emp_switching_ctrl_1st_post_tap,anlg_tx_pre_emp_sign_2nd_post_tap,anlg_tx_pre_emp_switching_ctrl_2nd_post_tap,anlg_tx_slew_rate_ctrl,anlg_tx_compensation_en,anlg_tx_term_sel,anlg_enable_rx_default_ovr,anlg_rx_one_stage_enable,anlg_rx_eq_dc_gain_trim,anlg_rx_adp_ctle_acgain_4s,anlg_rx_adp_ctle_eqz_1s_sel,anlg_rx_adp_vga_sel,anlg_rx_adp_dfe_fxtap1,anlg_rx_adp_dfe_fxtap2,anlg_rx_adp_dfe_fxtap3,anlg_rx_adp_dfe_fxtap4,anlg_rx_adp_dfe_fxtap5,anlg_rx_adp_dfe_fxtap6,anlg_rx_adp_dfe_fxtap7,anlg_rx_adp_dfe_fxtap8,anlg_rx_adp_dfe_fxtap9,anlg_rx_adp_dfe_fxtap10,anlg_rx_adp_dfe_fxtap11,anlg_rx_term_sel</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_param_labels" type="string"> + <ipxact:name>rcfg_param_labels</ipxact:name> + <ipxact:displayName>IP Parameters</ipxact:displayName> + <ipxact:value>VCCR_GXB and VCCT_GXB supply voltage for the Transceiver,Tranceiver Link Type,Protocol support mode,Transceiver configuration rules,PMA configuration rules,Transceiver mode,Number of data channels,Data rate,Enable datapath and interface reconfiguration,Enable simplified data interface,Provide separate interface for each channel,Enable calibration,Enable parallel loopback,Enable UPI Pipeline Options,Delay1 setting,Delay1 mode,Delay2 setting,TX channel bonding mode,PCS TX channel bonding master,TX local clock division factor,Number of TX PLL clock inputs per channel,Initial TX PLL clock input selection,Enable tx_analog_reset_ack port,Enable tx_pma_clkout port,Enable tx_pma_div_clkout port,tx_pma_div_clkout division factor,Enable tx_pma_iqtxrx_clkout port,Enable tx_pma_elecidle port,Enable tx_pma_qpipullup port (QPI),Enable tx_pma_qpipulldn port (QPI),Enable tx_pma_txdetectrx port (QPI),Enable tx_pma_rxfound port (QPI),Enable rx_seriallpbken port,Number of physical bonding clock ports to use.,Number of CDR reference clocks,Selected CDR reference clock,Selected CDR reference clock frequency,PPM detector threshold,CTLE mode,DFE mode,Number of fixed dfe taps,Enable adaptation control ports,Enable rx_analog_reset_ack port,Enable rx_pma_clkout port,Enable rx_pma_div_clkout port,rx_pma_div_clkout division factor,Enable rx_pma_iqtxrx_clkout port,Enable rx_pma_clkslip port,Enable rx_pma_qpipulldn port (QPI),Enable rx_is_lockedtodata port,Enable rx_is_lockedtoref port,Enable rx_set_locktodata and rx_set_locktoref ports,Enable rx_fref and rx_clklow ports,Enable rx_signaldetect port,Enable rx_seriallpbken port,Enable PRBS verifier control and status ports,Standard PCS / PMA interface width,Enable 'Standard PCS' low latency mode,Enable PCIe hard IP support,Enable hard reset controller (HIP),Enable PCIe hard IP calibration,TX FIFO mode,RX FIFO mode,Enable tx_std_pcfifo_full port,Enable tx_std_pcfifo_empty port,Enable rx_std_pcfifo_full port,Enable rx_std_pcfifo_empty port,TX byte serializer mode,RX byte deserializer mode,Enable TX 8B/10B encoder,Enable TX 8B/10B disparity control,Enable RX 8B/10B decoder,RX rate match FIFO mode,RX rate match insert/delete -ve pattern (hex),RX rate match insert/delete +ve pattern (hex),Enable rx_std_rmfifo_full port,Enable rx_std_rmfifo_empty port,PCI Express Gen 3 rate match FIFO mode,Enable TX bitslip,Enable tx_std_bitslipboundarysel port,RX word aligner mode,RX word aligner pattern length,RX word aligner pattern (hex),Number of word alignment patterns to achieve sync,Number of invalid data words to lose sync,Number of valid data words to decrement error count,Enable fast sync status reporting for deterministic latency SM,Enable rx_std_wa_patternalign port,Enable rx_std_wa_a1a2size port,Enable rx_std_bitslipboundarysel port,Enable rx_bitslip port,Enable TX bit reversal,Enable TX byte reversal,Enable TX polarity inversion,Enable tx_polinv port,Enable RX bit reversal,Enable rx_std_bitrev_ena port,Enable RX byte reversal,Enable rx_std_byterev_ena port,Enable RX polarity inversion,Enable rx_polinv port,Enable rx_std_signaldetect port,Enable PCIe dynamic datarate switch ports,Enable PCIe pipe_hclk_in and pipe_hclk_out ports,Enable PCIe Gen 3 analog control ports,Enable PCIe electrical idle control and status ports,Enable PCIe pipe_rx_polarity port,Enhanced PCS / PMA interface width,FPGA fabric / Enhanced PCS interface width,Enable 'Enhanced PCS' low latency mode,Enable RX/TX FIFO double width mode,TX FIFO mode,TX FIFO partially full threshold,TX FIFO partially empty threshold,Enable tx_enh_fifo_full port,Enable tx_enh_fifo_pfull port,Enable tx_enh_fifo_empty port,Enable tx_enh_fifo_pempty port,Enable tx_enh_fifo_cnt port,RX FIFO mode,RX FIFO partially full threshold,RX FIFO partially empty threshold,Enable RX FIFO alignment word deletion (Interlaken),Enable RX FIFO control word deletion (Interlaken),Enable rx_enh_data_valid port,Enable rx_enh_fifo_full port,Enable rx_enh_fifo_pfull port,Enable rx_enh_fifo_empty port,Enable rx_enh_fifo_pempty port,Enable rx_enh_fifo_cnt port,Enable rx_enh_fifo_del port (10GBASE-R),Enable rx_enh_fifo_insert port (10GBASE-R),Enable rx_enh_fifo_rd_en port,Enable rx_enh_fifo_align_val port (Interlaken),Enable rx_enh_fifo_align_clr port (Interlaken),Enable Interlaken frame generator,Frame generator metaframe length,Enable frame generator burst control,Enable tx_enh_frame port,Enable tx_enh_frame_diag_status port,Enable tx_enh_frame_burst_en port,Enable Interlaken frame synchronizer,Frame synchronizer metaframe length,Enable rx_enh_frame port,Enable rx_enh_frame_lock port,Enable rx_enh_frame_diag_status port,Enable Interlaken TX CRC-32 generator,Enable Interlaken TX CRC-32 generator error insertion,Enable Interlaken RX CRC-32 checker,Enable rx_enh_crc32_err port,Enable rx_enh_highber port (10GBASE-R),Enable rx_enh_highber_clr_cnt port (10GBASE-R),Enable rx_enh_clr_errblk_count port (10GBASE-R & FEC),Enable rx_enh_clr_errblk_count port (10GBASE-R),Enable TX 64b/66b encoder,Enable RX 64b/66b decoder,Enable TX sync header error insertion,Enable TX scrambler (10GBASE-R/Interlaken),TX scrambler seed (10GBASE-R/Interlaken),Enable RX descrambler (10GBASE-R/Interlaken),Enable Interlaken TX disparity generator,Enable Interlaken RX disparity checker,Enable Interlaken TX random disparity bit,Enable RX block synchronizer,Enable rx_enh_blk_lock port,Enable TX data bitslip,Enable TX data polarity inversion,Enable RX data bitslip,Enable RX data polarity inversion,Enable tx_enh_bitslip port,Enable rx_bitslip port,Enable RX KR-FEC error marking,Error marking type,Enable KR-FEC TX error insertion,KR-FEC TX error insertion spacing,Enable tx_enh_frame port,Enable rx_enh_frame port,Enable rx_enh_frame_diag_status port,PCS Direct interface width,Include PMA analog settings in configuration files,Analog Mode (Intel-recommended Default Setting Rules),Override Intel-recommended Analog Mode Default Settings,Output Swing Level (VOD),Pre-Emphasis First Pre-Tap Polarity,Pre-Emphasis First Pre-Tap Magnitude,Pre-Emphasis Second Pre-Tap Polarity,Pre-Emphasis Second Pre-Tap Magnitude,Pre-Emphasis First Post-Tap Polarity,Pre-Emphasis First Post-Tap Magnitude,Pre-Emphasis Second Post-Tap Polarity,Pre-Emphasis Second Post-Tap Magnitude,Slew Rate Control,High-Speed Compensation,On-Chip Termination,Override Intel-recommended Default Settings,CTLE (Continuous Time Linear Equalizer) mode,DC Gain Control of High Gain Mode CTLE,AC Gain Control of High Gain Mode CTLE,AC Gain Control of High Data Rate Mode CTLE,Variable Gain Amplifier (VGA) Voltage Swing Select,Decision Feedback Equalizer (DFE) Fixed Tap 1 Co-efficient,Decision Feedback Equalizer (DFE) Fixed Tap 2 Co-efficient,Decision Feedback Equalizer (DFE) Fixed Tap 3 Co-efficient,Decision Feedback Equalizer (DFE) Fixed Tap 4 Co-efficient,Decision Feedback Equalizer (DFE) Fixed Tap 5 Co-efficient,Decision Feedback Equalizer (DFE) Fixed Tap 6 Co-efficient,Decision Feedback Equalizer (DFE) Fixed Tap 7 Co-efficient,Decision Feedback Equalizer (DFE) Fixed Tap 8 Co-efficient,Decision Feedback Equalizer (DFE) Fixed Tap 9 Co-efficient,Decision Feedback Equalizer (DFE) Fixed Tap 10 Co-efficient,Decision Feedback Equalizer (DFE) Fixed Tap 11 Co-efficient,On-Chip Termination</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_param_vals0" type="string"> + <ipxact:name>rcfg_param_vals0</ipxact:name> + <ipxact:displayName>Profile 0</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_param_vals1" type="string"> + <ipxact:name>rcfg_param_vals1</ipxact:name> + <ipxact:displayName>Profile 1</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_param_vals2" type="string"> + <ipxact:name>rcfg_param_vals2</ipxact:name> + <ipxact:displayName>Profile 2</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_param_vals3" type="string"> + <ipxact:name>rcfg_param_vals3</ipxact:name> + <ipxact:displayName>Profile 3</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_param_vals4" type="string"> + <ipxact:name>rcfg_param_vals4</ipxact:name> + <ipxact:displayName>Profile 4</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_param_vals5" type="string"> + <ipxact:name>rcfg_param_vals5</ipxact:name> + <ipxact:displayName>Profile 5</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_param_vals6" type="string"> + <ipxact:name>rcfg_param_vals6</ipxact:name> + <ipxact:displayName>Profile 6</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_param_vals7" type="string"> + <ipxact:name>rcfg_param_vals7</ipxact:name> + <ipxact:displayName>Profile 7</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_rcfg_datapath_message" type="int"> + <ipxact:name>l_rcfg_datapath_message</ipxact:name> + <ipxact:displayName>l_rcfg_datapath_message</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_analog_settings" type="int"> + <ipxact:name>enable_analog_settings</ipxact:name> + <ipxact:displayName>Include PMA analog settings in configuration files</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_tx_analog_mode" type="string"> + <ipxact:name>anlg_tx_analog_mode</ipxact:name> + <ipxact:displayName>Analog Mode (Intel-recommended Default Setting Rules)</ipxact:displayName> + <ipxact:value>user_custom</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_enable_tx_default_ovr" type="int"> + <ipxact:name>anlg_enable_tx_default_ovr</ipxact:name> + <ipxact:displayName>Override Intel-recommended Analog Mode Default Settings</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_tx_vod_output_swing_ctrl" type="int"> + <ipxact:name>anlg_tx_vod_output_swing_ctrl</ipxact:name> + <ipxact:displayName>Output Swing Level (VOD)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_tx_pre_emp_sign_pre_tap_1t" type="string"> + <ipxact:name>anlg_tx_pre_emp_sign_pre_tap_1t</ipxact:name> + <ipxact:displayName>Pre-Emphasis First Pre-Tap Polarity</ipxact:displayName> + <ipxact:value>fir_pre_1t_neg</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_tx_pre_emp_switching_ctrl_pre_tap_1t" type="int"> + <ipxact:name>anlg_tx_pre_emp_switching_ctrl_pre_tap_1t</ipxact:name> + <ipxact:displayName>Pre-Emphasis First Pre-Tap Magnitude</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_tx_pre_emp_sign_pre_tap_2t" type="string"> + <ipxact:name>anlg_tx_pre_emp_sign_pre_tap_2t</ipxact:name> + <ipxact:displayName>Pre-Emphasis Second Pre-Tap Polarity</ipxact:displayName> + <ipxact:value>fir_pre_2t_neg</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_tx_pre_emp_switching_ctrl_pre_tap_2t" type="int"> + <ipxact:name>anlg_tx_pre_emp_switching_ctrl_pre_tap_2t</ipxact:name> + <ipxact:displayName>Pre-Emphasis Second Pre-Tap Magnitude</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_tx_pre_emp_sign_1st_post_tap" type="string"> + <ipxact:name>anlg_tx_pre_emp_sign_1st_post_tap</ipxact:name> + <ipxact:displayName>Pre-Emphasis First Post-Tap Polarity</ipxact:displayName> + <ipxact:value>fir_post_1t_neg</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_tx_pre_emp_switching_ctrl_1st_post_tap" type="int"> + <ipxact:name>anlg_tx_pre_emp_switching_ctrl_1st_post_tap</ipxact:name> + <ipxact:displayName>Pre-Emphasis First Post-Tap Magnitude</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_tx_pre_emp_sign_2nd_post_tap" type="string"> + <ipxact:name>anlg_tx_pre_emp_sign_2nd_post_tap</ipxact:name> + <ipxact:displayName>Pre-Emphasis Second Post-Tap Polarity</ipxact:displayName> + <ipxact:value>fir_post_2t_neg</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_tx_pre_emp_switching_ctrl_2nd_post_tap" type="int"> + <ipxact:name>anlg_tx_pre_emp_switching_ctrl_2nd_post_tap</ipxact:name> + <ipxact:displayName>Pre-Emphasis Second Post-Tap Magnitude</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_tx_slew_rate_ctrl" type="string"> + <ipxact:name>anlg_tx_slew_rate_ctrl</ipxact:name> + <ipxact:displayName>Slew Rate Control</ipxact:displayName> + <ipxact:value>slew_r7</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_tx_compensation_en" type="string"> + <ipxact:name>anlg_tx_compensation_en</ipxact:name> + <ipxact:displayName>High-Speed Compensation</ipxact:displayName> + <ipxact:value>enable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_tx_term_sel" type="string"> + <ipxact:name>anlg_tx_term_sel</ipxact:name> + <ipxact:displayName>On-Chip Termination</ipxact:displayName> + <ipxact:value>r_r1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_enable_rx_default_ovr" type="int"> + <ipxact:name>anlg_enable_rx_default_ovr</ipxact:name> + <ipxact:displayName>Override Intel-recommended Default Settings</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_rx_one_stage_enable" type="string"> + <ipxact:name>anlg_rx_one_stage_enable</ipxact:name> + <ipxact:displayName>CTLE (Continuous Time Linear Equalizer) mode</ipxact:displayName> + <ipxact:value>s1_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_rx_eq_dc_gain_trim" type="string"> + <ipxact:name>anlg_rx_eq_dc_gain_trim</ipxact:name> + <ipxact:displayName>DC Gain Control of High Gain Mode CTLE</ipxact:displayName> + <ipxact:value>stg2_gain7</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_rx_adp_ctle_acgain_4s" type="string"> + <ipxact:name>anlg_rx_adp_ctle_acgain_4s</ipxact:name> + <ipxact:displayName>AC Gain Control of High Gain Mode CTLE</ipxact:displayName> + <ipxact:value>radp_ctle_acgain_4s_1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_rx_adp_ctle_eqz_1s_sel" type="string"> + <ipxact:name>anlg_rx_adp_ctle_eqz_1s_sel</ipxact:name> + <ipxact:displayName>AC Gain Control of High Data Rate Mode CTLE</ipxact:displayName> + <ipxact:value>radp_ctle_eqz_1s_sel_3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_rx_adp_vga_sel" type="string"> + <ipxact:name>anlg_rx_adp_vga_sel</ipxact:name> + <ipxact:displayName>Variable Gain Amplifier (VGA) Voltage Swing Select</ipxact:displayName> + <ipxact:value>radp_vga_sel_2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_rx_adp_dfe_fxtap1" type="string"> + <ipxact:name>anlg_rx_adp_dfe_fxtap1</ipxact:name> + <ipxact:displayName>Decision Feedback Equalizer (DFE) Fixed Tap 1 Co-efficient</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap1_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_rx_adp_dfe_fxtap2" type="string"> + <ipxact:name>anlg_rx_adp_dfe_fxtap2</ipxact:name> + <ipxact:displayName>Decision Feedback Equalizer (DFE) Fixed Tap 2 Co-efficient</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap2_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_rx_adp_dfe_fxtap3" type="string"> + <ipxact:name>anlg_rx_adp_dfe_fxtap3</ipxact:name> + <ipxact:displayName>Decision Feedback Equalizer (DFE) Fixed Tap 3 Co-efficient</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap3_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_rx_adp_dfe_fxtap4" type="string"> + <ipxact:name>anlg_rx_adp_dfe_fxtap4</ipxact:name> + <ipxact:displayName>Decision Feedback Equalizer (DFE) Fixed Tap 4 Co-efficient</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap4_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_rx_adp_dfe_fxtap5" type="string"> + <ipxact:name>anlg_rx_adp_dfe_fxtap5</ipxact:name> + <ipxact:displayName>Decision Feedback Equalizer (DFE) Fixed Tap 5 Co-efficient</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap5_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_rx_adp_dfe_fxtap6" type="string"> + <ipxact:name>anlg_rx_adp_dfe_fxtap6</ipxact:name> + <ipxact:displayName>Decision Feedback Equalizer (DFE) Fixed Tap 6 Co-efficient</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap6_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_rx_adp_dfe_fxtap7" type="string"> + <ipxact:name>anlg_rx_adp_dfe_fxtap7</ipxact:name> + <ipxact:displayName>Decision Feedback Equalizer (DFE) Fixed Tap 7 Co-efficient</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap7_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_rx_adp_dfe_fxtap8" type="string"> + <ipxact:name>anlg_rx_adp_dfe_fxtap8</ipxact:name> + <ipxact:displayName>Decision Feedback Equalizer (DFE) Fixed Tap 8 Co-efficient</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap8_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_rx_adp_dfe_fxtap9" type="string"> + <ipxact:name>anlg_rx_adp_dfe_fxtap9</ipxact:name> + <ipxact:displayName>Decision Feedback Equalizer (DFE) Fixed Tap 9 Co-efficient</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap9_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_rx_adp_dfe_fxtap10" type="string"> + <ipxact:name>anlg_rx_adp_dfe_fxtap10</ipxact:name> + <ipxact:displayName>Decision Feedback Equalizer (DFE) Fixed Tap 10 Co-efficient</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap10_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_rx_adp_dfe_fxtap11" type="string"> + <ipxact:name>anlg_rx_adp_dfe_fxtap11</ipxact:name> + <ipxact:displayName>Decision Feedback Equalizer (DFE) Fixed Tap 11 Co-efficient</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap11_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_rx_term_sel" type="string"> + <ipxact:name>anlg_rx_term_sel</ipxact:name> + <ipxact:displayName>On-Chip Termination</ipxact:displayName> + <ipxact:value>r_r1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_anlg_tx_enable" type="int"> + <ipxact:name>l_anlg_tx_enable</ipxact:name> + <ipxact:displayName>l_anlg_tx_enable</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_anlg_rx_enable" type="int"> + <ipxact:name>l_anlg_rx_enable</ipxact:name> + <ipxact:displayName>l_anlg_rx_enable</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_rx_pcs_block_sync" type="string"> + <ipxact:name>hssi_gen3_rx_pcs_block_sync</ipxact:name> + <ipxact:displayName>hssi_gen3_rx_pcs_block_sync</ipxact:displayName> + <ipxact:value>bypass_block_sync</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_rx_pcs_block_sync_sm" type="string"> + <ipxact:name>hssi_gen3_rx_pcs_block_sync_sm</ipxact:name> + <ipxact:displayName>hssi_gen3_rx_pcs_block_sync_sm</ipxact:displayName> + <ipxact:value>disable_blk_sync_sm</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_rx_pcs_cdr_ctrl_force_unalgn" type="string"> + <ipxact:name>hssi_gen3_rx_pcs_cdr_ctrl_force_unalgn</ipxact:name> + <ipxact:displayName>hssi_gen3_rx_pcs_cdr_ctrl_force_unalgn</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_rx_pcs_lpbk_force" type="string"> + <ipxact:name>hssi_gen3_rx_pcs_lpbk_force</ipxact:name> + <ipxact:displayName>hssi_gen3_rx_pcs_lpbk_force</ipxact:displayName> + <ipxact:value>lpbk_frce_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_rx_pcs_mode" type="string"> + <ipxact:name>hssi_gen3_rx_pcs_mode</ipxact:name> + <ipxact:displayName>hssi_gen3_rx_pcs_mode</ipxact:displayName> + <ipxact:value>disable_pcs</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_rx_pcs_rate_match_fifo" type="string"> + <ipxact:name>hssi_gen3_rx_pcs_rate_match_fifo</ipxact:name> + <ipxact:displayName>hssi_gen3_rx_pcs_rate_match_fifo</ipxact:displayName> + <ipxact:value>bypass_rm_fifo</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_rx_pcs_rate_match_fifo_latency" type="string"> + <ipxact:name>hssi_gen3_rx_pcs_rate_match_fifo_latency</ipxact:name> + <ipxact:displayName>hssi_gen3_rx_pcs_rate_match_fifo_latency</ipxact:displayName> + <ipxact:value>low_latency</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_rx_pcs_reverse_lpbk" type="string"> + <ipxact:name>hssi_gen3_rx_pcs_reverse_lpbk</ipxact:name> + <ipxact:displayName>hssi_gen3_rx_pcs_reverse_lpbk</ipxact:displayName> + <ipxact:value>rev_lpbk_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_rx_pcs_rx_b4gb_par_lpbk" type="string"> + <ipxact:name>hssi_gen3_rx_pcs_rx_b4gb_par_lpbk</ipxact:name> + <ipxact:displayName>hssi_gen3_rx_pcs_rx_b4gb_par_lpbk</ipxact:displayName> + <ipxact:value>b4gb_par_lpbk_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_rx_pcs_rx_force_balign" type="string"> + <ipxact:name>hssi_gen3_rx_pcs_rx_force_balign</ipxact:name> + <ipxact:displayName>hssi_gen3_rx_pcs_rx_force_balign</ipxact:displayName> + <ipxact:value>dis_force_balign</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_rx_pcs_rx_ins_del_one_skip" type="string"> + <ipxact:name>hssi_gen3_rx_pcs_rx_ins_del_one_skip</ipxact:name> + <ipxact:displayName>hssi_gen3_rx_pcs_rx_ins_del_one_skip</ipxact:displayName> + <ipxact:value>ins_del_one_skip_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_rx_pcs_rx_num_fixed_pat" type="int"> + <ipxact:name>hssi_gen3_rx_pcs_rx_num_fixed_pat</ipxact:name> + <ipxact:displayName>hssi_gen3_rx_pcs_rx_num_fixed_pat</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_rx_pcs_rx_test_out_sel" type="string"> + <ipxact:name>hssi_gen3_rx_pcs_rx_test_out_sel</ipxact:name> + <ipxact:displayName>hssi_gen3_rx_pcs_rx_test_out_sel</ipxact:displayName> + <ipxact:value>rx_test_out0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_rx_pcs_sup_mode" type="string"> + <ipxact:name>hssi_gen3_rx_pcs_sup_mode</ipxact:name> + <ipxact:displayName>hssi_gen3_rx_pcs_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_rx_pcs_silicon_rev" type="string"> + <ipxact:name>hssi_gen3_rx_pcs_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_gen3_rx_pcs_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_rx_pcs_reconfig_settings" type="string"> + <ipxact:name>hssi_gen3_rx_pcs_reconfig_settings</ipxact:name> + <ipxact:displayName>hssi_gen3_rx_pcs_reconfig_settings</ipxact:displayName> + <ipxact:value>{}</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_tx_pcs_mode" type="string"> + <ipxact:name>hssi_gen3_tx_pcs_mode</ipxact:name> + <ipxact:displayName>hssi_gen3_tx_pcs_mode</ipxact:displayName> + <ipxact:value>disable_pcs</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_tx_pcs_reverse_lpbk" type="string"> + <ipxact:name>hssi_gen3_tx_pcs_reverse_lpbk</ipxact:name> + <ipxact:displayName>hssi_gen3_tx_pcs_reverse_lpbk</ipxact:displayName> + <ipxact:value>rev_lpbk_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_tx_pcs_sup_mode" type="string"> + <ipxact:name>hssi_gen3_tx_pcs_sup_mode</ipxact:name> + <ipxact:displayName>hssi_gen3_tx_pcs_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_tx_pcs_tx_bitslip" type="int"> + <ipxact:name>hssi_gen3_tx_pcs_tx_bitslip</ipxact:name> + <ipxact:displayName>hssi_gen3_tx_pcs_tx_bitslip</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_tx_pcs_tx_gbox_byp" type="string"> + <ipxact:name>hssi_gen3_tx_pcs_tx_gbox_byp</ipxact:name> + <ipxact:displayName>hssi_gen3_tx_pcs_tx_gbox_byp</ipxact:displayName> + <ipxact:value>bypass_gbox</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_tx_pcs_silicon_rev" type="string"> + <ipxact:name>hssi_gen3_tx_pcs_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_gen3_tx_pcs_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_blksync_cor_en" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_blksync_cor_en</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_blksync_cor_en</ipxact:displayName> + <ipxact:value>detect</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_bypass_gb" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_bypass_gb</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_bypass_gb</ipxact:displayName> + <ipxact:value>bypass_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_clr_ctrl" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_clr_ctrl</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_clr_ctrl</ipxact:displayName> + <ipxact:value>both_enabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_ctrl_bit_reverse" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_ctrl_bit_reverse</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_ctrl_bit_reverse</ipxact:displayName> + <ipxact:value>ctrl_bit_reverse_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_data_bit_reverse" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_data_bit_reverse</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_data_bit_reverse</ipxact:displayName> + <ipxact:value>data_bit_reverse_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_dv_start" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_dv_start</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_dv_start</ipxact:displayName> + <ipxact:value>with_blklock</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_err_mark_type" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_err_mark_type</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_err_mark_type</ipxact:displayName> + <ipxact:value>err_mark_10g</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_error_marking_en" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_error_marking_en</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_error_marking_en</ipxact:displayName> + <ipxact:value>err_mark_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_low_latency_en" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_low_latency_en</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_low_latency_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_lpbk_mode" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_lpbk_mode</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_lpbk_mode</ipxact:displayName> + <ipxact:value>lpbk_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_parity_invalid_enum" type="int"> + <ipxact:name>hssi_krfec_rx_pcs_parity_invalid_enum</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_parity_invalid_enum</ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_parity_valid_num" type="int"> + <ipxact:name>hssi_krfec_rx_pcs_parity_valid_num</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_parity_valid_num</ipxact:displayName> + <ipxact:value>4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_pipeln_blksync" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_pipeln_blksync</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_pipeln_blksync</ipxact:displayName> + <ipxact:value>enable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_pipeln_descrm" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_pipeln_descrm</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_pipeln_descrm</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_pipeln_errcorrect" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_pipeln_errcorrect</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_pipeln_errcorrect</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_pipeln_errtrap_ind" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_pipeln_errtrap_ind</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_pipeln_errtrap_ind</ipxact:displayName> + <ipxact:value>enable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_pipeln_errtrap_lfsr" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_pipeln_errtrap_lfsr</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_pipeln_errtrap_lfsr</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_pipeln_errtrap_loc" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_pipeln_errtrap_loc</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_pipeln_errtrap_loc</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_pipeln_errtrap_pat" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_pipeln_errtrap_pat</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_pipeln_errtrap_pat</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_pipeln_gearbox" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_pipeln_gearbox</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_pipeln_gearbox</ipxact:displayName> + <ipxact:value>enable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_pipeln_syndrm" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_pipeln_syndrm</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_pipeln_syndrm</ipxact:displayName> + <ipxact:value>enable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_pipeln_trans_dec" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_pipeln_trans_dec</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_pipeln_trans_dec</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_prot_mode" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_prot_mode</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_prot_mode</ipxact:displayName> + <ipxact:value>disable_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_receive_order" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_receive_order</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_receive_order</ipxact:displayName> + <ipxact:value>receive_lsb</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_rx_testbus_sel" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_rx_testbus_sel</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_rx_testbus_sel</ipxact:displayName> + <ipxact:value>overall</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_signal_ok_en" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_signal_ok_en</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_signal_ok_en</ipxact:displayName> + <ipxact:value>sig_ok_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_sup_mode" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_sup_mode</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_silicon_rev" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_reconfig_settings" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_reconfig_settings</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_reconfig_settings</ipxact:displayName> + <ipxact:value>{}</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_tx_pcs_burst_err" type="string"> + <ipxact:name>hssi_krfec_tx_pcs_burst_err</ipxact:name> + <ipxact:displayName>hssi_krfec_tx_pcs_burst_err</ipxact:displayName> + <ipxact:value>burst_err_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_tx_pcs_burst_err_len" type="string"> + <ipxact:name>hssi_krfec_tx_pcs_burst_err_len</ipxact:name> + <ipxact:displayName>hssi_krfec_tx_pcs_burst_err_len</ipxact:displayName> + <ipxact:value>burst_err_len1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_tx_pcs_ctrl_bit_reverse" type="string"> + <ipxact:name>hssi_krfec_tx_pcs_ctrl_bit_reverse</ipxact:name> + <ipxact:displayName>hssi_krfec_tx_pcs_ctrl_bit_reverse</ipxact:displayName> + <ipxact:value>ctrl_bit_reverse_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_tx_pcs_data_bit_reverse" type="string"> + <ipxact:name>hssi_krfec_tx_pcs_data_bit_reverse</ipxact:name> + <ipxact:displayName>hssi_krfec_tx_pcs_data_bit_reverse</ipxact:displayName> + <ipxact:value>data_bit_reverse_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_tx_pcs_enc_frame_query" type="string"> + <ipxact:name>hssi_krfec_tx_pcs_enc_frame_query</ipxact:name> + <ipxact:displayName>hssi_krfec_tx_pcs_enc_frame_query</ipxact:displayName> + <ipxact:value>enc_query_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_tx_pcs_low_latency_en" type="string"> + <ipxact:name>hssi_krfec_tx_pcs_low_latency_en</ipxact:name> + <ipxact:displayName>hssi_krfec_tx_pcs_low_latency_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_tx_pcs_pipeln_encoder" type="string"> + <ipxact:name>hssi_krfec_tx_pcs_pipeln_encoder</ipxact:name> + <ipxact:displayName>hssi_krfec_tx_pcs_pipeln_encoder</ipxact:displayName> + <ipxact:value>enable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_tx_pcs_pipeln_scrambler" type="string"> + <ipxact:name>hssi_krfec_tx_pcs_pipeln_scrambler</ipxact:name> + <ipxact:displayName>hssi_krfec_tx_pcs_pipeln_scrambler</ipxact:displayName> + <ipxact:value>enable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_tx_pcs_prot_mode" type="string"> + <ipxact:name>hssi_krfec_tx_pcs_prot_mode</ipxact:name> + <ipxact:displayName>hssi_krfec_tx_pcs_prot_mode</ipxact:displayName> + <ipxact:value>disable_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_tx_pcs_sup_mode" type="string"> + <ipxact:name>hssi_krfec_tx_pcs_sup_mode</ipxact:name> + <ipxact:displayName>hssi_krfec_tx_pcs_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_tx_pcs_transcode_err" type="string"> + <ipxact:name>hssi_krfec_tx_pcs_transcode_err</ipxact:name> + <ipxact:displayName>hssi_krfec_tx_pcs_transcode_err</ipxact:displayName> + <ipxact:value>trans_err_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_tx_pcs_transmit_order" type="string"> + <ipxact:name>hssi_krfec_tx_pcs_transmit_order</ipxact:name> + <ipxact:displayName>hssi_krfec_tx_pcs_transmit_order</ipxact:displayName> + <ipxact:value>transmit_lsb</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_tx_pcs_tx_testbus_sel" type="string"> + <ipxact:name>hssi_krfec_tx_pcs_tx_testbus_sel</ipxact:name> + <ipxact:displayName>hssi_krfec_tx_pcs_tx_testbus_sel</ipxact:displayName> + <ipxact:value>overall</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_tx_pcs_silicon_rev" type="string"> + <ipxact:name>hssi_krfec_tx_pcs_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_krfec_tx_pcs_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_align_del" type="string"> + <ipxact:name>hssi_10g_rx_pcs_align_del</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_align_del</ipxact:displayName> + <ipxact:value>align_del_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_ber_bit_err_total_cnt" type="string"> + <ipxact:name>hssi_10g_rx_pcs_ber_bit_err_total_cnt</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_ber_bit_err_total_cnt</ipxact:displayName> + <ipxact:value>bit_err_total_cnt_10g</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_ber_clken" type="string"> + <ipxact:name>hssi_10g_rx_pcs_ber_clken</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_ber_clken</ipxact:displayName> + <ipxact:value>ber_clk_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_ber_xus_timer_window" type="int"> + <ipxact:name>hssi_10g_rx_pcs_ber_xus_timer_window</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_ber_xus_timer_window</ipxact:displayName> + <ipxact:value>19530</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_bitslip_mode" type="string"> + <ipxact:name>hssi_10g_rx_pcs_bitslip_mode</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_bitslip_mode</ipxact:displayName> + <ipxact:value>bitslip_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_blksync_bitslip_type" type="string"> + <ipxact:name>hssi_10g_rx_pcs_blksync_bitslip_type</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_blksync_bitslip_type</ipxact:displayName> + <ipxact:value>bitslip_comb</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_blksync_bitslip_wait_cnt" type="int"> + <ipxact:name>hssi_10g_rx_pcs_blksync_bitslip_wait_cnt</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_blksync_bitslip_wait_cnt</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_blksync_bitslip_wait_type" type="string"> + <ipxact:name>hssi_10g_rx_pcs_blksync_bitslip_wait_type</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_blksync_bitslip_wait_type</ipxact:displayName> + <ipxact:value>bitslip_cnt</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_blksync_bypass" type="string"> + <ipxact:name>hssi_10g_rx_pcs_blksync_bypass</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_blksync_bypass</ipxact:displayName> + <ipxact:value>blksync_bypass_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_blksync_clken" type="string"> + <ipxact:name>hssi_10g_rx_pcs_blksync_clken</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_blksync_clken</ipxact:displayName> + <ipxact:value>blksync_clk_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_blksync_enum_invalid_sh_cnt" type="string"> + <ipxact:name>hssi_10g_rx_pcs_blksync_enum_invalid_sh_cnt</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_blksync_enum_invalid_sh_cnt</ipxact:displayName> + <ipxact:value>enum_invalid_sh_cnt_10g</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_blksync_knum_sh_cnt_postlock" type="string"> + <ipxact:name>hssi_10g_rx_pcs_blksync_knum_sh_cnt_postlock</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_blksync_knum_sh_cnt_postlock</ipxact:displayName> + <ipxact:value>knum_sh_cnt_postlock_10g</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_blksync_knum_sh_cnt_prelock" type="string"> + <ipxact:name>hssi_10g_rx_pcs_blksync_knum_sh_cnt_prelock</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_blksync_knum_sh_cnt_prelock</ipxact:displayName> + <ipxact:value>knum_sh_cnt_prelock_10g</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_blksync_pipeln" type="string"> + <ipxact:name>hssi_10g_rx_pcs_blksync_pipeln</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_blksync_pipeln</ipxact:displayName> + <ipxact:value>blksync_pipeln_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_clr_errblk_cnt_en" type="string"> + <ipxact:name>hssi_10g_rx_pcs_clr_errblk_cnt_en</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_clr_errblk_cnt_en</ipxact:displayName> + <ipxact:value>enable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_control_del" type="string"> + <ipxact:name>hssi_10g_rx_pcs_control_del</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_control_del</ipxact:displayName> + <ipxact:value>control_del_none</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_crcchk_bypass" type="string"> + <ipxact:name>hssi_10g_rx_pcs_crcchk_bypass</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_crcchk_bypass</ipxact:displayName> + <ipxact:value>crcchk_bypass_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_crcchk_clken" type="string"> + <ipxact:name>hssi_10g_rx_pcs_crcchk_clken</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_crcchk_clken</ipxact:displayName> + <ipxact:value>crcchk_clk_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_crcchk_inv" type="string"> + <ipxact:name>hssi_10g_rx_pcs_crcchk_inv</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_crcchk_inv</ipxact:displayName> + <ipxact:value>crcchk_inv_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_crcchk_pipeln" type="string"> + <ipxact:name>hssi_10g_rx_pcs_crcchk_pipeln</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_crcchk_pipeln</ipxact:displayName> + <ipxact:value>crcchk_pipeln_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_crcflag_pipeln" type="string"> + <ipxact:name>hssi_10g_rx_pcs_crcflag_pipeln</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_crcflag_pipeln</ipxact:displayName> + <ipxact:value>crcflag_pipeln_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_ctrl_bit_reverse" type="string"> + <ipxact:name>hssi_10g_rx_pcs_ctrl_bit_reverse</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_ctrl_bit_reverse</ipxact:displayName> + <ipxact:value>ctrl_bit_reverse_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_data_bit_reverse" type="string"> + <ipxact:name>hssi_10g_rx_pcs_data_bit_reverse</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_data_bit_reverse</ipxact:displayName> + <ipxact:value>data_bit_reverse_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_dec_64b66b_rxsm_bypass" type="string"> + <ipxact:name>hssi_10g_rx_pcs_dec_64b66b_rxsm_bypass</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_dec_64b66b_rxsm_bypass</ipxact:displayName> + <ipxact:value>dec_64b66b_rxsm_bypass_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_dec64b66b_clken" type="string"> + <ipxact:name>hssi_10g_rx_pcs_dec64b66b_clken</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_dec64b66b_clken</ipxact:displayName> + <ipxact:value>dec64b66b_clk_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_descrm_bypass" type="string"> + <ipxact:name>hssi_10g_rx_pcs_descrm_bypass</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_descrm_bypass</ipxact:displayName> + <ipxact:value>descrm_bypass_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_descrm_clken" type="string"> + <ipxact:name>hssi_10g_rx_pcs_descrm_clken</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_descrm_clken</ipxact:displayName> + <ipxact:value>descrm_clk_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_descrm_mode" type="string"> + <ipxact:name>hssi_10g_rx_pcs_descrm_mode</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_descrm_mode</ipxact:displayName> + <ipxact:value>async</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_descrm_pipeln" type="string"> + <ipxact:name>hssi_10g_rx_pcs_descrm_pipeln</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_descrm_pipeln</ipxact:displayName> + <ipxact:value>enable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_dft_clk_out_sel" type="string"> + <ipxact:name>hssi_10g_rx_pcs_dft_clk_out_sel</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_dft_clk_out_sel</ipxact:displayName> + <ipxact:value>rx_master_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_dis_signal_ok" type="string"> + <ipxact:name>hssi_10g_rx_pcs_dis_signal_ok</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_dis_signal_ok</ipxact:displayName> + <ipxact:value>dis_signal_ok_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_dispchk_bypass" type="string"> + <ipxact:name>hssi_10g_rx_pcs_dispchk_bypass</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_dispchk_bypass</ipxact:displayName> + <ipxact:value>dispchk_bypass_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_empty_flag_type" type="string"> + <ipxact:name>hssi_10g_rx_pcs_empty_flag_type</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_empty_flag_type</ipxact:displayName> + <ipxact:value>empty_rd_side</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_fast_path" type="string"> + <ipxact:name>hssi_10g_rx_pcs_fast_path</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_fast_path</ipxact:displayName> + <ipxact:value>fast_path_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_fec_clken" type="string"> + <ipxact:name>hssi_10g_rx_pcs_fec_clken</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_fec_clken</ipxact:displayName> + <ipxact:value>fec_clk_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_fec_enable" type="string"> + <ipxact:name>hssi_10g_rx_pcs_fec_enable</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_fec_enable</ipxact:displayName> + <ipxact:value>fec_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_fifo_double_read" type="string"> + <ipxact:name>hssi_10g_rx_pcs_fifo_double_read</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_fifo_double_read</ipxact:displayName> + <ipxact:value>fifo_double_read_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_fifo_stop_rd" type="string"> + <ipxact:name>hssi_10g_rx_pcs_fifo_stop_rd</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_fifo_stop_rd</ipxact:displayName> + <ipxact:value>n_rd_empty</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_fifo_stop_wr" type="string"> + <ipxact:name>hssi_10g_rx_pcs_fifo_stop_wr</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_fifo_stop_wr</ipxact:displayName> + <ipxact:value>n_wr_full</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_force_align" type="string"> + <ipxact:name>hssi_10g_rx_pcs_force_align</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_force_align</ipxact:displayName> + <ipxact:value>force_align_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_frmsync_bypass" type="string"> + <ipxact:name>hssi_10g_rx_pcs_frmsync_bypass</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_frmsync_bypass</ipxact:displayName> + <ipxact:value>frmsync_bypass_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_frmsync_clken" type="string"> + <ipxact:name>hssi_10g_rx_pcs_frmsync_clken</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_frmsync_clken</ipxact:displayName> + <ipxact:value>frmsync_clk_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_frmsync_enum_scrm" type="string"> + <ipxact:name>hssi_10g_rx_pcs_frmsync_enum_scrm</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_frmsync_enum_scrm</ipxact:displayName> + <ipxact:value>enum_scrm_default</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_frmsync_enum_sync" type="string"> + <ipxact:name>hssi_10g_rx_pcs_frmsync_enum_sync</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_frmsync_enum_sync</ipxact:displayName> + <ipxact:value>enum_sync_default</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_frmsync_flag_type" type="string"> + <ipxact:name>hssi_10g_rx_pcs_frmsync_flag_type</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_frmsync_flag_type</ipxact:displayName> + <ipxact:value>location_only</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_frmsync_knum_sync" type="string"> + <ipxact:name>hssi_10g_rx_pcs_frmsync_knum_sync</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_frmsync_knum_sync</ipxact:displayName> + <ipxact:value>knum_sync_default</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_frmsync_mfrm_length" type="int"> + <ipxact:name>hssi_10g_rx_pcs_frmsync_mfrm_length</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_frmsync_mfrm_length</ipxact:displayName> + <ipxact:value>2048</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_frmsync_pipeln" type="string"> + <ipxact:name>hssi_10g_rx_pcs_frmsync_pipeln</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_frmsync_pipeln</ipxact:displayName> + <ipxact:value>frmsync_pipeln_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_full_flag_type" type="string"> + <ipxact:name>hssi_10g_rx_pcs_full_flag_type</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_full_flag_type</ipxact:displayName> + <ipxact:value>full_wr_side</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_gb_rx_idwidth" type="string"> + <ipxact:name>hssi_10g_rx_pcs_gb_rx_idwidth</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_gb_rx_idwidth</ipxact:displayName> + <ipxact:value>width_32</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_gb_rx_odwidth" type="string"> + <ipxact:name>hssi_10g_rx_pcs_gb_rx_odwidth</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_gb_rx_odwidth</ipxact:displayName> + <ipxact:value>width_66</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_gbexp_clken" type="string"> + <ipxact:name>hssi_10g_rx_pcs_gbexp_clken</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_gbexp_clken</ipxact:displayName> + <ipxact:value>gbexp_clk_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_low_latency_en" type="string"> + <ipxact:name>hssi_10g_rx_pcs_low_latency_en</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_low_latency_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_lpbk_mode" type="string"> + <ipxact:name>hssi_10g_rx_pcs_lpbk_mode</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_lpbk_mode</ipxact:displayName> + <ipxact:value>lpbk_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_master_clk_sel" type="string"> + <ipxact:name>hssi_10g_rx_pcs_master_clk_sel</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_master_clk_sel</ipxact:displayName> + <ipxact:value>master_rx_pma_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_pempty_flag_type" type="string"> + <ipxact:name>hssi_10g_rx_pcs_pempty_flag_type</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_pempty_flag_type</ipxact:displayName> + <ipxact:value>pempty_rd_side</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_pfull_flag_type" type="string"> + <ipxact:name>hssi_10g_rx_pcs_pfull_flag_type</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_pfull_flag_type</ipxact:displayName> + <ipxact:value>pfull_wr_side</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_phcomp_rd_del" type="string"> + <ipxact:name>hssi_10g_rx_pcs_phcomp_rd_del</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_phcomp_rd_del</ipxact:displayName> + <ipxact:value>phcomp_rd_del2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_pld_if_type" type="string"> + <ipxact:name>hssi_10g_rx_pcs_pld_if_type</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_pld_if_type</ipxact:displayName> + <ipxact:value>fifo</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_prot_mode" type="string"> + <ipxact:name>hssi_10g_rx_pcs_prot_mode</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_prot_mode</ipxact:displayName> + <ipxact:value>teng_baser_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_rand_clken" type="string"> + <ipxact:name>hssi_10g_rx_pcs_rand_clken</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_rand_clken</ipxact:displayName> + <ipxact:value>rand_clk_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_rd_clk_sel" type="string"> + <ipxact:name>hssi_10g_rx_pcs_rd_clk_sel</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_rd_clk_sel</ipxact:displayName> + <ipxact:value>rd_rx_pld_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_rdfifo_clken" type="string"> + <ipxact:name>hssi_10g_rx_pcs_rdfifo_clken</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_rdfifo_clken</ipxact:displayName> + <ipxact:value>rdfifo_clk_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_rx_fifo_write_ctrl" type="string"> + <ipxact:name>hssi_10g_rx_pcs_rx_fifo_write_ctrl</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_rx_fifo_write_ctrl</ipxact:displayName> + <ipxact:value>blklock_stops</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_rx_scrm_width" type="string"> + <ipxact:name>hssi_10g_rx_pcs_rx_scrm_width</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_rx_scrm_width</ipxact:displayName> + <ipxact:value>bit64</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_rx_sh_location" type="string"> + <ipxact:name>hssi_10g_rx_pcs_rx_sh_location</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_rx_sh_location</ipxact:displayName> + <ipxact:value>lsb</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_rx_signal_ok_sel" type="string"> + <ipxact:name>hssi_10g_rx_pcs_rx_signal_ok_sel</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_rx_signal_ok_sel</ipxact:displayName> + <ipxact:value>synchronized_ver</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_rx_sm_bypass" type="string"> + <ipxact:name>hssi_10g_rx_pcs_rx_sm_bypass</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_rx_sm_bypass</ipxact:displayName> + <ipxact:value>rx_sm_bypass_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_rx_sm_hiber" type="string"> + <ipxact:name>hssi_10g_rx_pcs_rx_sm_hiber</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_rx_sm_hiber</ipxact:displayName> + <ipxact:value>rx_sm_hiber_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_rx_sm_pipeln" type="string"> + <ipxact:name>hssi_10g_rx_pcs_rx_sm_pipeln</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_rx_sm_pipeln</ipxact:displayName> + <ipxact:value>rx_sm_pipeln_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_rx_testbus_sel" type="string"> + <ipxact:name>hssi_10g_rx_pcs_rx_testbus_sel</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_rx_testbus_sel</ipxact:displayName> + <ipxact:value>rx_fifo_testbus1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_rx_true_b2b" type="string"> + <ipxact:name>hssi_10g_rx_pcs_rx_true_b2b</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_rx_true_b2b</ipxact:displayName> + <ipxact:value>b2b</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_rxfifo_empty" type="string"> + <ipxact:name>hssi_10g_rx_pcs_rxfifo_empty</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_rxfifo_empty</ipxact:displayName> + <ipxact:value>empty_default</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_rxfifo_full" type="string"> + <ipxact:name>hssi_10g_rx_pcs_rxfifo_full</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_rxfifo_full</ipxact:displayName> + <ipxact:value>full_default</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_rxfifo_mode" type="string"> + <ipxact:name>hssi_10g_rx_pcs_rxfifo_mode</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_rxfifo_mode</ipxact:displayName> + <ipxact:value>clk_comp_10g</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_rxfifo_pempty" type="int"> + <ipxact:name>hssi_10g_rx_pcs_rxfifo_pempty</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_rxfifo_pempty</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_rxfifo_pfull" type="int"> + <ipxact:name>hssi_10g_rx_pcs_rxfifo_pfull</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_rxfifo_pfull</ipxact:displayName> + <ipxact:value>23</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_stretch_num_stages" type="string"> + <ipxact:name>hssi_10g_rx_pcs_stretch_num_stages</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_stretch_num_stages</ipxact:displayName> + <ipxact:value>two_stage</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_sup_mode" type="string"> + <ipxact:name>hssi_10g_rx_pcs_sup_mode</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_test_mode" type="string"> + <ipxact:name>hssi_10g_rx_pcs_test_mode</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_test_mode</ipxact:displayName> + <ipxact:value>test_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_wrfifo_clken" type="string"> + <ipxact:name>hssi_10g_rx_pcs_wrfifo_clken</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_wrfifo_clken</ipxact:displayName> + <ipxact:value>wrfifo_clk_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_advanced_user_mode" type="string"> + <ipxact:name>hssi_10g_rx_pcs_advanced_user_mode</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_advanced_user_mode</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_silicon_rev" type="string"> + <ipxact:name>hssi_10g_rx_pcs_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_reconfig_settings" type="string"> + <ipxact:name>hssi_10g_rx_pcs_reconfig_settings</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_reconfig_settings</ipxact:displayName> + <ipxact:value>{}</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_bitslip_en" type="string"> + <ipxact:name>hssi_10g_tx_pcs_bitslip_en</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_bitslip_en</ipxact:displayName> + <ipxact:value>bitslip_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_bonding_dft_en" type="string"> + <ipxact:name>hssi_10g_tx_pcs_bonding_dft_en</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_bonding_dft_en</ipxact:displayName> + <ipxact:value>dft_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_bonding_dft_val" type="string"> + <ipxact:name>hssi_10g_tx_pcs_bonding_dft_val</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_bonding_dft_val</ipxact:displayName> + <ipxact:value>dft_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_comp_cnt" type="int"> + <ipxact:name>hssi_10g_tx_pcs_comp_cnt</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_comp_cnt</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_compin_sel" type="string"> + <ipxact:name>hssi_10g_tx_pcs_compin_sel</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_compin_sel</ipxact:displayName> + <ipxact:value>compin_master</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_crcgen_bypass" type="string"> + <ipxact:name>hssi_10g_tx_pcs_crcgen_bypass</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_crcgen_bypass</ipxact:displayName> + <ipxact:value>crcgen_bypass_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_crcgen_clken" type="string"> + <ipxact:name>hssi_10g_tx_pcs_crcgen_clken</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_crcgen_clken</ipxact:displayName> + <ipxact:value>crcgen_clk_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_crcgen_err" type="string"> + <ipxact:name>hssi_10g_tx_pcs_crcgen_err</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_crcgen_err</ipxact:displayName> + <ipxact:value>crcgen_err_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_crcgen_inv" type="string"> + <ipxact:name>hssi_10g_tx_pcs_crcgen_inv</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_crcgen_inv</ipxact:displayName> + <ipxact:value>crcgen_inv_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_ctrl_bit_reverse" type="string"> + <ipxact:name>hssi_10g_tx_pcs_ctrl_bit_reverse</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_ctrl_bit_reverse</ipxact:displayName> + <ipxact:value>ctrl_bit_reverse_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_ctrl_plane_bonding" type="string"> + <ipxact:name>hssi_10g_tx_pcs_ctrl_plane_bonding</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_ctrl_plane_bonding</ipxact:displayName> + <ipxact:value>individual</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_data_bit_reverse" type="string"> + <ipxact:name>hssi_10g_tx_pcs_data_bit_reverse</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_data_bit_reverse</ipxact:displayName> + <ipxact:value>data_bit_reverse_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_dft_clk_out_sel" type="string"> + <ipxact:name>hssi_10g_tx_pcs_dft_clk_out_sel</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_dft_clk_out_sel</ipxact:displayName> + <ipxact:value>tx_master_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_dispgen_bypass" type="string"> + <ipxact:name>hssi_10g_tx_pcs_dispgen_bypass</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_dispgen_bypass</ipxact:displayName> + <ipxact:value>dispgen_bypass_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_dispgen_clken" type="string"> + <ipxact:name>hssi_10g_tx_pcs_dispgen_clken</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_dispgen_clken</ipxact:displayName> + <ipxact:value>dispgen_clk_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_dispgen_err" type="string"> + <ipxact:name>hssi_10g_tx_pcs_dispgen_err</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_dispgen_err</ipxact:displayName> + <ipxact:value>dispgen_err_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_dispgen_pipeln" type="string"> + <ipxact:name>hssi_10g_tx_pcs_dispgen_pipeln</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_dispgen_pipeln</ipxact:displayName> + <ipxact:value>dispgen_pipeln_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_distdwn_bypass_pipeln" type="string"> + <ipxact:name>hssi_10g_tx_pcs_distdwn_bypass_pipeln</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_distdwn_bypass_pipeln</ipxact:displayName> + <ipxact:value>distdwn_bypass_pipeln_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_distdwn_master" type="string"> + <ipxact:name>hssi_10g_tx_pcs_distdwn_master</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_distdwn_master</ipxact:displayName> + <ipxact:value>distdwn_master_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_distup_bypass_pipeln" type="string"> + <ipxact:name>hssi_10g_tx_pcs_distup_bypass_pipeln</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_distup_bypass_pipeln</ipxact:displayName> + <ipxact:value>distup_bypass_pipeln_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_distup_master" type="string"> + <ipxact:name>hssi_10g_tx_pcs_distup_master</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_distup_master</ipxact:displayName> + <ipxact:value>distup_master_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_dv_bond" type="string"> + <ipxact:name>hssi_10g_tx_pcs_dv_bond</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_dv_bond</ipxact:displayName> + <ipxact:value>dv_bond_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_empty_flag_type" type="string"> + <ipxact:name>hssi_10g_tx_pcs_empty_flag_type</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_empty_flag_type</ipxact:displayName> + <ipxact:value>empty_rd_side</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_enc_64b66b_txsm_bypass" type="string"> + <ipxact:name>hssi_10g_tx_pcs_enc_64b66b_txsm_bypass</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_enc_64b66b_txsm_bypass</ipxact:displayName> + <ipxact:value>enc_64b66b_txsm_bypass_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_enc64b66b_txsm_clken" type="string"> + <ipxact:name>hssi_10g_tx_pcs_enc64b66b_txsm_clken</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_enc64b66b_txsm_clken</ipxact:displayName> + <ipxact:value>enc64b66b_txsm_clk_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_fastpath" type="string"> + <ipxact:name>hssi_10g_tx_pcs_fastpath</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_fastpath</ipxact:displayName> + <ipxact:value>fastpath_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_fec_clken" type="string"> + <ipxact:name>hssi_10g_tx_pcs_fec_clken</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_fec_clken</ipxact:displayName> + <ipxact:value>fec_clk_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_fec_enable" type="string"> + <ipxact:name>hssi_10g_tx_pcs_fec_enable</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_fec_enable</ipxact:displayName> + <ipxact:value>fec_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_fifo_double_write" type="string"> + <ipxact:name>hssi_10g_tx_pcs_fifo_double_write</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_fifo_double_write</ipxact:displayName> + <ipxact:value>fifo_double_write_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_fifo_reg_fast" type="string"> + <ipxact:name>hssi_10g_tx_pcs_fifo_reg_fast</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_fifo_reg_fast</ipxact:displayName> + <ipxact:value>fifo_reg_fast_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_fifo_stop_rd" type="string"> + <ipxact:name>hssi_10g_tx_pcs_fifo_stop_rd</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_fifo_stop_rd</ipxact:displayName> + <ipxact:value>rd_empty</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_fifo_stop_wr" type="string"> + <ipxact:name>hssi_10g_tx_pcs_fifo_stop_wr</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_fifo_stop_wr</ipxact:displayName> + <ipxact:value>n_wr_full</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_frmgen_burst" type="string"> + <ipxact:name>hssi_10g_tx_pcs_frmgen_burst</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_frmgen_burst</ipxact:displayName> + <ipxact:value>frmgen_burst_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_frmgen_bypass" type="string"> + <ipxact:name>hssi_10g_tx_pcs_frmgen_bypass</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_frmgen_bypass</ipxact:displayName> + <ipxact:value>frmgen_bypass_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_frmgen_clken" type="string"> + <ipxact:name>hssi_10g_tx_pcs_frmgen_clken</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_frmgen_clken</ipxact:displayName> + <ipxact:value>frmgen_clk_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_frmgen_mfrm_length" type="int"> + <ipxact:name>hssi_10g_tx_pcs_frmgen_mfrm_length</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_frmgen_mfrm_length</ipxact:displayName> + <ipxact:value>2048</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_frmgen_pipeln" type="string"> + <ipxact:name>hssi_10g_tx_pcs_frmgen_pipeln</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_frmgen_pipeln</ipxact:displayName> + <ipxact:value>frmgen_pipeln_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_frmgen_pyld_ins" type="string"> + <ipxact:name>hssi_10g_tx_pcs_frmgen_pyld_ins</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_frmgen_pyld_ins</ipxact:displayName> + <ipxact:value>frmgen_pyld_ins_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_frmgen_wordslip" type="string"> + <ipxact:name>hssi_10g_tx_pcs_frmgen_wordslip</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_frmgen_wordslip</ipxact:displayName> + <ipxact:value>frmgen_wordslip_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_full_flag_type" type="string"> + <ipxact:name>hssi_10g_tx_pcs_full_flag_type</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_full_flag_type</ipxact:displayName> + <ipxact:value>full_wr_side</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_gb_pipeln_bypass" type="string"> + <ipxact:name>hssi_10g_tx_pcs_gb_pipeln_bypass</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_gb_pipeln_bypass</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_gb_tx_idwidth" type="string"> + <ipxact:name>hssi_10g_tx_pcs_gb_tx_idwidth</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_gb_tx_idwidth</ipxact:displayName> + <ipxact:value>width_66</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_gb_tx_odwidth" type="string"> + <ipxact:name>hssi_10g_tx_pcs_gb_tx_odwidth</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_gb_tx_odwidth</ipxact:displayName> + <ipxact:value>width_32</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_gbred_clken" type="string"> + <ipxact:name>hssi_10g_tx_pcs_gbred_clken</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_gbred_clken</ipxact:displayName> + <ipxact:value>gbred_clk_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_indv" type="string"> + <ipxact:name>hssi_10g_tx_pcs_indv</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_indv</ipxact:displayName> + <ipxact:value>indv_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_low_latency_en" type="string"> + <ipxact:name>hssi_10g_tx_pcs_low_latency_en</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_low_latency_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_master_clk_sel" type="string"> + <ipxact:name>hssi_10g_tx_pcs_master_clk_sel</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_master_clk_sel</ipxact:displayName> + <ipxact:value>master_tx_pma_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_pempty_flag_type" type="string"> + <ipxact:name>hssi_10g_tx_pcs_pempty_flag_type</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_pempty_flag_type</ipxact:displayName> + <ipxact:value>pempty_rd_side</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_pfull_flag_type" type="string"> + <ipxact:name>hssi_10g_tx_pcs_pfull_flag_type</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_pfull_flag_type</ipxact:displayName> + <ipxact:value>pfull_wr_side</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_phcomp_rd_del" type="string"> + <ipxact:name>hssi_10g_tx_pcs_phcomp_rd_del</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_phcomp_rd_del</ipxact:displayName> + <ipxact:value>phcomp_rd_del4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_pld_if_type" type="string"> + <ipxact:name>hssi_10g_tx_pcs_pld_if_type</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_pld_if_type</ipxact:displayName> + <ipxact:value>fifo</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_prot_mode" type="string"> + <ipxact:name>hssi_10g_tx_pcs_prot_mode</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_prot_mode</ipxact:displayName> + <ipxact:value>teng_baser_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_pseudo_random" type="string"> + <ipxact:name>hssi_10g_tx_pcs_pseudo_random</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_pseudo_random</ipxact:displayName> + <ipxact:value>all_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_pseudo_seed_a" type="string"> + <ipxact:name>hssi_10g_tx_pcs_pseudo_seed_a</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_pseudo_seed_a</ipxact:displayName> + <ipxact:value>288230376151711743</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_pseudo_seed_b" type="string"> + <ipxact:name>hssi_10g_tx_pcs_pseudo_seed_b</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_pseudo_seed_b</ipxact:displayName> + <ipxact:value>288230376151711743</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_random_disp" type="string"> + <ipxact:name>hssi_10g_tx_pcs_random_disp</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_random_disp</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_rdfifo_clken" type="string"> + <ipxact:name>hssi_10g_tx_pcs_rdfifo_clken</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_rdfifo_clken</ipxact:displayName> + <ipxact:value>rdfifo_clk_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_scrm_bypass" type="string"> + <ipxact:name>hssi_10g_tx_pcs_scrm_bypass</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_scrm_bypass</ipxact:displayName> + <ipxact:value>scrm_bypass_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_scrm_clken" type="string"> + <ipxact:name>hssi_10g_tx_pcs_scrm_clken</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_scrm_clken</ipxact:displayName> + <ipxact:value>scrm_clk_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_scrm_mode" type="string"> + <ipxact:name>hssi_10g_tx_pcs_scrm_mode</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_scrm_mode</ipxact:displayName> + <ipxact:value>async</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_scrm_pipeln" type="string"> + <ipxact:name>hssi_10g_tx_pcs_scrm_pipeln</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_scrm_pipeln</ipxact:displayName> + <ipxact:value>enable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_sh_err" type="string"> + <ipxact:name>hssi_10g_tx_pcs_sh_err</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_sh_err</ipxact:displayName> + <ipxact:value>sh_err_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_sop_mark" type="string"> + <ipxact:name>hssi_10g_tx_pcs_sop_mark</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_sop_mark</ipxact:displayName> + <ipxact:value>sop_mark_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_stretch_num_stages" type="string"> + <ipxact:name>hssi_10g_tx_pcs_stretch_num_stages</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_stretch_num_stages</ipxact:displayName> + <ipxact:value>two_stage</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_sup_mode" type="string"> + <ipxact:name>hssi_10g_tx_pcs_sup_mode</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_test_mode" type="string"> + <ipxact:name>hssi_10g_tx_pcs_test_mode</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_test_mode</ipxact:displayName> + <ipxact:value>test_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_tx_scrm_err" type="string"> + <ipxact:name>hssi_10g_tx_pcs_tx_scrm_err</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_tx_scrm_err</ipxact:displayName> + <ipxact:value>scrm_err_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_tx_scrm_width" type="string"> + <ipxact:name>hssi_10g_tx_pcs_tx_scrm_width</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_tx_scrm_width</ipxact:displayName> + <ipxact:value>bit64</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_tx_sh_location" type="string"> + <ipxact:name>hssi_10g_tx_pcs_tx_sh_location</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_tx_sh_location</ipxact:displayName> + <ipxact:value>lsb</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_tx_sm_bypass" type="string"> + <ipxact:name>hssi_10g_tx_pcs_tx_sm_bypass</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_tx_sm_bypass</ipxact:displayName> + <ipxact:value>tx_sm_bypass_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_tx_sm_pipeln" type="string"> + <ipxact:name>hssi_10g_tx_pcs_tx_sm_pipeln</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_tx_sm_pipeln</ipxact:displayName> + <ipxact:value>tx_sm_pipeln_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_tx_testbus_sel" type="string"> + <ipxact:name>hssi_10g_tx_pcs_tx_testbus_sel</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_tx_testbus_sel</ipxact:displayName> + <ipxact:value>tx_fifo_testbus1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_txfifo_empty" type="string"> + <ipxact:name>hssi_10g_tx_pcs_txfifo_empty</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_txfifo_empty</ipxact:displayName> + <ipxact:value>empty_default</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_txfifo_full" type="string"> + <ipxact:name>hssi_10g_tx_pcs_txfifo_full</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_txfifo_full</ipxact:displayName> + <ipxact:value>full_default</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_txfifo_mode" type="string"> + <ipxact:name>hssi_10g_tx_pcs_txfifo_mode</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_txfifo_mode</ipxact:displayName> + <ipxact:value>phase_comp</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_txfifo_pempty" type="int"> + <ipxact:name>hssi_10g_tx_pcs_txfifo_pempty</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_txfifo_pempty</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_txfifo_pfull" type="int"> + <ipxact:name>hssi_10g_tx_pcs_txfifo_pfull</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_txfifo_pfull</ipxact:displayName> + <ipxact:value>11</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_wr_clk_sel" type="string"> + <ipxact:name>hssi_10g_tx_pcs_wr_clk_sel</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_wr_clk_sel</ipxact:displayName> + <ipxact:value>wr_tx_pld_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_wrfifo_clken" type="string"> + <ipxact:name>hssi_10g_tx_pcs_wrfifo_clken</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_wrfifo_clken</ipxact:displayName> + <ipxact:value>wrfifo_clk_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_advanced_user_mode" type="string"> + <ipxact:name>hssi_10g_tx_pcs_advanced_user_mode</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_advanced_user_mode</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_silicon_rev" type="string"> + <ipxact:name>hssi_10g_tx_pcs_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_reconfig_settings" type="string"> + <ipxact:name>hssi_10g_tx_pcs_reconfig_settings</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_reconfig_settings</ipxact:displayName> + <ipxact:value>{}</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_auto_error_replacement" type="string"> + <ipxact:name>hssi_8g_rx_pcs_auto_error_replacement</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_auto_error_replacement</ipxact:displayName> + <ipxact:value>dis_err_replace</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_auto_speed_nego" type="string"> + <ipxact:name>hssi_8g_rx_pcs_auto_speed_nego</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_auto_speed_nego</ipxact:displayName> + <ipxact:value>dis_asn</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_bit_reversal" type="string"> + <ipxact:name>hssi_8g_rx_pcs_bit_reversal</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_bit_reversal</ipxact:displayName> + <ipxact:value>dis_bit_reversal</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_bonding_dft_en" type="string"> + <ipxact:name>hssi_8g_rx_pcs_bonding_dft_en</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_bonding_dft_en</ipxact:displayName> + <ipxact:value>dft_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_bonding_dft_val" type="string"> + <ipxact:name>hssi_8g_rx_pcs_bonding_dft_val</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_bonding_dft_val</ipxact:displayName> + <ipxact:value>dft_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_bypass_pipeline_reg" type="string"> + <ipxact:name>hssi_8g_rx_pcs_bypass_pipeline_reg</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_bypass_pipeline_reg</ipxact:displayName> + <ipxact:value>dis_bypass_pipeline</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_byte_deserializer" type="string"> + <ipxact:name>hssi_8g_rx_pcs_byte_deserializer</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_byte_deserializer</ipxact:displayName> + <ipxact:value>dis_bds</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_cdr_ctrl_rxvalid_mask" type="string"> + <ipxact:name>hssi_8g_rx_pcs_cdr_ctrl_rxvalid_mask</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_cdr_ctrl_rxvalid_mask</ipxact:displayName> + <ipxact:value>dis_rxvalid_mask</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_clkcmp_pattern_n" type="int"> + <ipxact:name>hssi_8g_rx_pcs_clkcmp_pattern_n</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_clkcmp_pattern_n</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_clkcmp_pattern_p" type="int"> + <ipxact:name>hssi_8g_rx_pcs_clkcmp_pattern_p</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_clkcmp_pattern_p</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_clock_gate_bds_dec_asn" type="string"> + <ipxact:name>hssi_8g_rx_pcs_clock_gate_bds_dec_asn</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_clock_gate_bds_dec_asn</ipxact:displayName> + <ipxact:value>en_bds_dec_asn_clk_gating</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_clock_gate_cdr_eidle" type="string"> + <ipxact:name>hssi_8g_rx_pcs_clock_gate_cdr_eidle</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_clock_gate_cdr_eidle</ipxact:displayName> + <ipxact:value>en_cdr_eidle_clk_gating</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_clock_gate_dw_pc_wrclk" type="string"> + <ipxact:name>hssi_8g_rx_pcs_clock_gate_dw_pc_wrclk</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_clock_gate_dw_pc_wrclk</ipxact:displayName> + <ipxact:value>en_dw_pc_wrclk_gating</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_clock_gate_dw_rm_rd" type="string"> + <ipxact:name>hssi_8g_rx_pcs_clock_gate_dw_rm_rd</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_clock_gate_dw_rm_rd</ipxact:displayName> + <ipxact:value>en_dw_rm_rdclk_gating</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_clock_gate_dw_rm_wr" type="string"> + <ipxact:name>hssi_8g_rx_pcs_clock_gate_dw_rm_wr</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_clock_gate_dw_rm_wr</ipxact:displayName> + <ipxact:value>en_dw_rm_wrclk_gating</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_clock_gate_dw_wa" type="string"> + <ipxact:name>hssi_8g_rx_pcs_clock_gate_dw_wa</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_clock_gate_dw_wa</ipxact:displayName> + <ipxact:value>en_dw_wa_clk_gating</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_clock_gate_pc_rdclk" type="string"> + <ipxact:name>hssi_8g_rx_pcs_clock_gate_pc_rdclk</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_clock_gate_pc_rdclk</ipxact:displayName> + <ipxact:value>en_pc_rdclk_gating</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_clock_gate_sw_pc_wrclk" type="string"> + <ipxact:name>hssi_8g_rx_pcs_clock_gate_sw_pc_wrclk</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_clock_gate_sw_pc_wrclk</ipxact:displayName> + <ipxact:value>en_sw_pc_wrclk_gating</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_clock_gate_sw_rm_rd" type="string"> + <ipxact:name>hssi_8g_rx_pcs_clock_gate_sw_rm_rd</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_clock_gate_sw_rm_rd</ipxact:displayName> + <ipxact:value>en_sw_rm_rdclk_gating</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_clock_gate_sw_rm_wr" type="string"> + <ipxact:name>hssi_8g_rx_pcs_clock_gate_sw_rm_wr</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_clock_gate_sw_rm_wr</ipxact:displayName> + <ipxact:value>en_sw_rm_wrclk_gating</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_clock_gate_sw_wa" type="string"> + <ipxact:name>hssi_8g_rx_pcs_clock_gate_sw_wa</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_clock_gate_sw_wa</ipxact:displayName> + <ipxact:value>en_sw_wa_clk_gating</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_clock_observation_in_pld_core" type="string"> + <ipxact:name>hssi_8g_rx_pcs_clock_observation_in_pld_core</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_clock_observation_in_pld_core</ipxact:displayName> + <ipxact:value>internal_sw_wa_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_ctrl_plane_bonding_compensation" type="string"> + <ipxact:name>hssi_8g_rx_pcs_ctrl_plane_bonding_compensation</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_ctrl_plane_bonding_compensation</ipxact:displayName> + <ipxact:value>dis_compensation</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_ctrl_plane_bonding_consumption" type="string"> + <ipxact:name>hssi_8g_rx_pcs_ctrl_plane_bonding_consumption</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_ctrl_plane_bonding_consumption</ipxact:displayName> + <ipxact:value>individual</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_ctrl_plane_bonding_distribution" type="string"> + <ipxact:name>hssi_8g_rx_pcs_ctrl_plane_bonding_distribution</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_ctrl_plane_bonding_distribution</ipxact:displayName> + <ipxact:value>not_master_chnl_distr</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_eidle_entry_eios" type="string"> + <ipxact:name>hssi_8g_rx_pcs_eidle_entry_eios</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_eidle_entry_eios</ipxact:displayName> + <ipxact:value>dis_eidle_eios</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_eidle_entry_iei" type="string"> + <ipxact:name>hssi_8g_rx_pcs_eidle_entry_iei</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_eidle_entry_iei</ipxact:displayName> + <ipxact:value>dis_eidle_iei</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_eidle_entry_sd" type="string"> + <ipxact:name>hssi_8g_rx_pcs_eidle_entry_sd</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_eidle_entry_sd</ipxact:displayName> + <ipxact:value>dis_eidle_sd</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_eightb_tenb_decoder" type="string"> + <ipxact:name>hssi_8g_rx_pcs_eightb_tenb_decoder</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_eightb_tenb_decoder</ipxact:displayName> + <ipxact:value>en_8b10b_ibm</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_err_flags_sel" type="string"> + <ipxact:name>hssi_8g_rx_pcs_err_flags_sel</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_err_flags_sel</ipxact:displayName> + <ipxact:value>err_flags_wa</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_fixed_pat_det" type="string"> + <ipxact:name>hssi_8g_rx_pcs_fixed_pat_det</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_fixed_pat_det</ipxact:displayName> + <ipxact:value>dis_fixed_patdet</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_fixed_pat_num" type="int"> + <ipxact:name>hssi_8g_rx_pcs_fixed_pat_num</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_fixed_pat_num</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_force_signal_detect" type="string"> + <ipxact:name>hssi_8g_rx_pcs_force_signal_detect</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_force_signal_detect</ipxact:displayName> + <ipxact:value>en_force_signal_detect</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_gen3_clk_en" type="string"> + <ipxact:name>hssi_8g_rx_pcs_gen3_clk_en</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_gen3_clk_en</ipxact:displayName> + <ipxact:value>disable_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_gen3_rx_clk_sel" type="string"> + <ipxact:name>hssi_8g_rx_pcs_gen3_rx_clk_sel</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_gen3_rx_clk_sel</ipxact:displayName> + <ipxact:value>rcvd_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_gen3_tx_clk_sel" type="string"> + <ipxact:name>hssi_8g_rx_pcs_gen3_tx_clk_sel</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_gen3_tx_clk_sel</ipxact:displayName> + <ipxact:value>tx_pma_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_hip_mode" type="string"> + <ipxact:name>hssi_8g_rx_pcs_hip_mode</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_hip_mode</ipxact:displayName> + <ipxact:value>dis_hip</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_ibm_invalid_code" type="string"> + <ipxact:name>hssi_8g_rx_pcs_ibm_invalid_code</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_ibm_invalid_code</ipxact:displayName> + <ipxact:value>dis_ibm_invalid_code</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_invalid_code_flag_only" type="string"> + <ipxact:name>hssi_8g_rx_pcs_invalid_code_flag_only</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_invalid_code_flag_only</ipxact:displayName> + <ipxact:value>dis_invalid_code_only</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_pad_or_edb_error_replace" type="string"> + <ipxact:name>hssi_8g_rx_pcs_pad_or_edb_error_replace</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_pad_or_edb_error_replace</ipxact:displayName> + <ipxact:value>replace_edb</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_pcs_bypass" type="string"> + <ipxact:name>hssi_8g_rx_pcs_pcs_bypass</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_pcs_bypass</ipxact:displayName> + <ipxact:value>dis_pcs_bypass</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_phase_comp_rdptr" type="string"> + <ipxact:name>hssi_8g_rx_pcs_phase_comp_rdptr</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_phase_comp_rdptr</ipxact:displayName> + <ipxact:value>disable_rdptr</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_phase_compensation_fifo" type="string"> + <ipxact:name>hssi_8g_rx_pcs_phase_compensation_fifo</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_phase_compensation_fifo</ipxact:displayName> + <ipxact:value>low_latency</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_pipe_if_enable" type="string"> + <ipxact:name>hssi_8g_rx_pcs_pipe_if_enable</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_pipe_if_enable</ipxact:displayName> + <ipxact:value>dis_pipe_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_pma_dw" type="string"> + <ipxact:name>hssi_8g_rx_pcs_pma_dw</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_pma_dw</ipxact:displayName> + <ipxact:value>ten_bit</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_polinv_8b10b_dec" type="string"> + <ipxact:name>hssi_8g_rx_pcs_polinv_8b10b_dec</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_polinv_8b10b_dec</ipxact:displayName> + <ipxact:value>dis_polinv_8b10b_dec</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_prot_mode" type="string"> + <ipxact:name>hssi_8g_rx_pcs_prot_mode</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_prot_mode</ipxact:displayName> + <ipxact:value>disabled_prot_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_rate_match" type="string"> + <ipxact:name>hssi_8g_rx_pcs_rate_match</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_rate_match</ipxact:displayName> + <ipxact:value>dis_rm</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_rate_match_del_thres" type="string"> + <ipxact:name>hssi_8g_rx_pcs_rate_match_del_thres</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_rate_match_del_thres</ipxact:displayName> + <ipxact:value>dis_rm_del_thres</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_rate_match_empty_thres" type="string"> + <ipxact:name>hssi_8g_rx_pcs_rate_match_empty_thres</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_rate_match_empty_thres</ipxact:displayName> + <ipxact:value>dis_rm_empty_thres</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_rate_match_full_thres" type="string"> + <ipxact:name>hssi_8g_rx_pcs_rate_match_full_thres</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_rate_match_full_thres</ipxact:displayName> + <ipxact:value>dis_rm_full_thres</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_rate_match_ins_thres" type="string"> + <ipxact:name>hssi_8g_rx_pcs_rate_match_ins_thres</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_rate_match_ins_thres</ipxact:displayName> + <ipxact:value>dis_rm_ins_thres</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_rate_match_start_thres" type="string"> + <ipxact:name>hssi_8g_rx_pcs_rate_match_start_thres</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_rate_match_start_thres</ipxact:displayName> + <ipxact:value>dis_rm_start_thres</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_rx_clk_free_running" type="string"> + <ipxact:name>hssi_8g_rx_pcs_rx_clk_free_running</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_rx_clk_free_running</ipxact:displayName> + <ipxact:value>en_rx_clk_free_run</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_rx_clk2" type="string"> + <ipxact:name>hssi_8g_rx_pcs_rx_clk2</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_rx_clk2</ipxact:displayName> + <ipxact:value>rcvd_clk_clk2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_rx_pcs_urst" type="string"> + <ipxact:name>hssi_8g_rx_pcs_rx_pcs_urst</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_rx_pcs_urst</ipxact:displayName> + <ipxact:value>en_rx_pcs_urst</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_rx_rcvd_clk" type="string"> + <ipxact:name>hssi_8g_rx_pcs_rx_rcvd_clk</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_rx_rcvd_clk</ipxact:displayName> + <ipxact:value>rcvd_clk_rcvd_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_rx_rd_clk" type="string"> + <ipxact:name>hssi_8g_rx_pcs_rx_rd_clk</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_rx_rd_clk</ipxact:displayName> + <ipxact:value>pld_rx_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_rx_refclk" type="string"> + <ipxact:name>hssi_8g_rx_pcs_rx_refclk</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_rx_refclk</ipxact:displayName> + <ipxact:value>dis_refclk_sel</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_rx_wr_clk" type="string"> + <ipxact:name>hssi_8g_rx_pcs_rx_wr_clk</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_rx_wr_clk</ipxact:displayName> + <ipxact:value>rx_clk2_div_1_2_4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_sup_mode" type="string"> + <ipxact:name>hssi_8g_rx_pcs_sup_mode</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_symbol_swap" type="string"> + <ipxact:name>hssi_8g_rx_pcs_symbol_swap</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_symbol_swap</ipxact:displayName> + <ipxact:value>dis_symbol_swap</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_sync_sm_idle_eios" type="string"> + <ipxact:name>hssi_8g_rx_pcs_sync_sm_idle_eios</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_sync_sm_idle_eios</ipxact:displayName> + <ipxact:value>dis_syncsm_idle</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_test_bus_sel" type="string"> + <ipxact:name>hssi_8g_rx_pcs_test_bus_sel</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_test_bus_sel</ipxact:displayName> + <ipxact:value>tx_testbus</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_tx_rx_parallel_loopback" type="string"> + <ipxact:name>hssi_8g_rx_pcs_tx_rx_parallel_loopback</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_tx_rx_parallel_loopback</ipxact:displayName> + <ipxact:value>dis_plpbk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_wa_boundary_lock_ctrl" type="string"> + <ipxact:name>hssi_8g_rx_pcs_wa_boundary_lock_ctrl</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_wa_boundary_lock_ctrl</ipxact:displayName> + <ipxact:value>sync_sm</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_wa_clk_slip_spacing" type="int"> + <ipxact:name>hssi_8g_rx_pcs_wa_clk_slip_spacing</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_wa_clk_slip_spacing</ipxact:displayName> + <ipxact:value>16</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_wa_det_latency_sync_status_beh" type="string"> + <ipxact:name>hssi_8g_rx_pcs_wa_det_latency_sync_status_beh</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_wa_det_latency_sync_status_beh</ipxact:displayName> + <ipxact:value>dont_care_assert_sync</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_wa_disp_err_flag" type="string"> + <ipxact:name>hssi_8g_rx_pcs_wa_disp_err_flag</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_wa_disp_err_flag</ipxact:displayName> + <ipxact:value>en_disp_err_flag</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_wa_kchar" type="string"> + <ipxact:name>hssi_8g_rx_pcs_wa_kchar</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_wa_kchar</ipxact:displayName> + <ipxact:value>dis_kchar</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_wa_pd" type="string"> + <ipxact:name>hssi_8g_rx_pcs_wa_pd</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_wa_pd</ipxact:displayName> + <ipxact:value>wa_pd_10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_wa_pd_data" type="string"> + <ipxact:name>hssi_8g_rx_pcs_wa_pd_data</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_wa_pd_data</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_wa_pd_polarity" type="string"> + <ipxact:name>hssi_8g_rx_pcs_wa_pd_polarity</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_wa_pd_polarity</ipxact:displayName> + <ipxact:value>dont_care_both_pol</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_wa_pld_controlled" type="string"> + <ipxact:name>hssi_8g_rx_pcs_wa_pld_controlled</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_wa_pld_controlled</ipxact:displayName> + <ipxact:value>dis_pld_ctrl</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_wa_renumber_data" type="int"> + <ipxact:name>hssi_8g_rx_pcs_wa_renumber_data</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_wa_renumber_data</ipxact:displayName> + <ipxact:value>3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_wa_rgnumber_data" type="int"> + <ipxact:name>hssi_8g_rx_pcs_wa_rgnumber_data</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_wa_rgnumber_data</ipxact:displayName> + <ipxact:value>3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_wa_rknumber_data" type="int"> + <ipxact:name>hssi_8g_rx_pcs_wa_rknumber_data</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_wa_rknumber_data</ipxact:displayName> + <ipxact:value>3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_wa_rosnumber_data" type="int"> + <ipxact:name>hssi_8g_rx_pcs_wa_rosnumber_data</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_wa_rosnumber_data</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_wa_rvnumber_data" type="int"> + <ipxact:name>hssi_8g_rx_pcs_wa_rvnumber_data</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_wa_rvnumber_data</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_wa_sync_sm_ctrl" type="string"> + <ipxact:name>hssi_8g_rx_pcs_wa_sync_sm_ctrl</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_wa_sync_sm_ctrl</ipxact:displayName> + <ipxact:value>gige_sync_sm</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_wait_cnt" type="int"> + <ipxact:name>hssi_8g_rx_pcs_wait_cnt</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_wait_cnt</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_silicon_rev" type="string"> + <ipxact:name>hssi_8g_rx_pcs_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_reconfig_settings" type="string"> + <ipxact:name>hssi_8g_rx_pcs_reconfig_settings</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_reconfig_settings</ipxact:displayName> + <ipxact:value>{}</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_auto_speed_nego_gen2" type="string"> + <ipxact:name>hssi_8g_tx_pcs_auto_speed_nego_gen2</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_auto_speed_nego_gen2</ipxact:displayName> + <ipxact:value>dis_asn_g2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_bit_reversal" type="string"> + <ipxact:name>hssi_8g_tx_pcs_bit_reversal</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_bit_reversal</ipxact:displayName> + <ipxact:value>dis_bit_reversal</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_bonding_dft_en" type="string"> + <ipxact:name>hssi_8g_tx_pcs_bonding_dft_en</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_bonding_dft_en</ipxact:displayName> + <ipxact:value>dft_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_bonding_dft_val" type="string"> + <ipxact:name>hssi_8g_tx_pcs_bonding_dft_val</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_bonding_dft_val</ipxact:displayName> + <ipxact:value>dft_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_bypass_pipeline_reg" type="string"> + <ipxact:name>hssi_8g_tx_pcs_bypass_pipeline_reg</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_bypass_pipeline_reg</ipxact:displayName> + <ipxact:value>dis_bypass_pipeline</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_byte_serializer" type="string"> + <ipxact:name>hssi_8g_tx_pcs_byte_serializer</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_byte_serializer</ipxact:displayName> + <ipxact:value>dis_bs</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_clock_gate_bs_enc" type="string"> + <ipxact:name>hssi_8g_tx_pcs_clock_gate_bs_enc</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_clock_gate_bs_enc</ipxact:displayName> + <ipxact:value>en_bs_enc_clk_gating</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_clock_gate_dw_fifowr" type="string"> + <ipxact:name>hssi_8g_tx_pcs_clock_gate_dw_fifowr</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_clock_gate_dw_fifowr</ipxact:displayName> + <ipxact:value>en_dw_fifowr_clk_gating</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_clock_gate_fiford" type="string"> + <ipxact:name>hssi_8g_tx_pcs_clock_gate_fiford</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_clock_gate_fiford</ipxact:displayName> + <ipxact:value>en_fiford_clk_gating</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_clock_gate_sw_fifowr" type="string"> + <ipxact:name>hssi_8g_tx_pcs_clock_gate_sw_fifowr</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_clock_gate_sw_fifowr</ipxact:displayName> + <ipxact:value>en_sw_fifowr_clk_gating</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_clock_observation_in_pld_core" type="string"> + <ipxact:name>hssi_8g_tx_pcs_clock_observation_in_pld_core</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_clock_observation_in_pld_core</ipxact:displayName> + <ipxact:value>internal_refclk_b</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_ctrl_plane_bonding_compensation" type="string"> + <ipxact:name>hssi_8g_tx_pcs_ctrl_plane_bonding_compensation</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_ctrl_plane_bonding_compensation</ipxact:displayName> + <ipxact:value>dis_compensation</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_ctrl_plane_bonding_consumption" type="string"> + <ipxact:name>hssi_8g_tx_pcs_ctrl_plane_bonding_consumption</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_ctrl_plane_bonding_consumption</ipxact:displayName> + <ipxact:value>individual</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_ctrl_plane_bonding_distribution" type="string"> + <ipxact:name>hssi_8g_tx_pcs_ctrl_plane_bonding_distribution</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_ctrl_plane_bonding_distribution</ipxact:displayName> + <ipxact:value>not_master_chnl_distr</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_data_selection_8b10b_encoder_input" type="string"> + <ipxact:name>hssi_8g_tx_pcs_data_selection_8b10b_encoder_input</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_data_selection_8b10b_encoder_input</ipxact:displayName> + <ipxact:value>normal_data_path</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_dynamic_clk_switch" type="string"> + <ipxact:name>hssi_8g_tx_pcs_dynamic_clk_switch</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_dynamic_clk_switch</ipxact:displayName> + <ipxact:value>dis_dyn_clk_switch</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_eightb_tenb_disp_ctrl" type="string"> + <ipxact:name>hssi_8g_tx_pcs_eightb_tenb_disp_ctrl</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_eightb_tenb_disp_ctrl</ipxact:displayName> + <ipxact:value>dis_disp_ctrl</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_eightb_tenb_encoder" type="string"> + <ipxact:name>hssi_8g_tx_pcs_eightb_tenb_encoder</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_eightb_tenb_encoder</ipxact:displayName> + <ipxact:value>en_8b10b_ibm</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_force_echar" type="string"> + <ipxact:name>hssi_8g_tx_pcs_force_echar</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_force_echar</ipxact:displayName> + <ipxact:value>dis_force_echar</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_force_kchar" type="string"> + <ipxact:name>hssi_8g_tx_pcs_force_kchar</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_force_kchar</ipxact:displayName> + <ipxact:value>dis_force_kchar</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_gen3_tx_clk_sel" type="string"> + <ipxact:name>hssi_8g_tx_pcs_gen3_tx_clk_sel</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_gen3_tx_clk_sel</ipxact:displayName> + <ipxact:value>dis_tx_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_gen3_tx_pipe_clk_sel" type="string"> + <ipxact:name>hssi_8g_tx_pcs_gen3_tx_pipe_clk_sel</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_gen3_tx_pipe_clk_sel</ipxact:displayName> + <ipxact:value>dis_tx_pipe_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_hip_mode" type="string"> + <ipxact:name>hssi_8g_tx_pcs_hip_mode</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_hip_mode</ipxact:displayName> + <ipxact:value>dis_hip</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_pcs_bypass" type="string"> + <ipxact:name>hssi_8g_tx_pcs_pcs_bypass</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_pcs_bypass</ipxact:displayName> + <ipxact:value>dis_pcs_bypass</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_phase_comp_rdptr" type="string"> + <ipxact:name>hssi_8g_tx_pcs_phase_comp_rdptr</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_phase_comp_rdptr</ipxact:displayName> + <ipxact:value>disable_rdptr</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_phase_compensation_fifo" type="string"> + <ipxact:name>hssi_8g_tx_pcs_phase_compensation_fifo</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_phase_compensation_fifo</ipxact:displayName> + <ipxact:value>low_latency</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_phfifo_write_clk_sel" type="string"> + <ipxact:name>hssi_8g_tx_pcs_phfifo_write_clk_sel</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_phfifo_write_clk_sel</ipxact:displayName> + <ipxact:value>pld_tx_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_pma_dw" type="string"> + <ipxact:name>hssi_8g_tx_pcs_pma_dw</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_pma_dw</ipxact:displayName> + <ipxact:value>ten_bit</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_prot_mode" type="string"> + <ipxact:name>hssi_8g_tx_pcs_prot_mode</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_prot_mode</ipxact:displayName> + <ipxact:value>disabled_prot_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_refclk_b_clk_sel" type="string"> + <ipxact:name>hssi_8g_tx_pcs_refclk_b_clk_sel</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_refclk_b_clk_sel</ipxact:displayName> + <ipxact:value>tx_pma_clock</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_revloop_back_rm" type="string"> + <ipxact:name>hssi_8g_tx_pcs_revloop_back_rm</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_revloop_back_rm</ipxact:displayName> + <ipxact:value>dis_rev_loopback_rx_rm</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_sup_mode" type="string"> + <ipxact:name>hssi_8g_tx_pcs_sup_mode</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_symbol_swap" type="string"> + <ipxact:name>hssi_8g_tx_pcs_symbol_swap</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_symbol_swap</ipxact:displayName> + <ipxact:value>dis_symbol_swap</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_tx_bitslip" type="string"> + <ipxact:name>hssi_8g_tx_pcs_tx_bitslip</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_tx_bitslip</ipxact:displayName> + <ipxact:value>dis_tx_bitslip</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_tx_compliance_controlled_disparity" type="string"> + <ipxact:name>hssi_8g_tx_pcs_tx_compliance_controlled_disparity</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_tx_compliance_controlled_disparity</ipxact:displayName> + <ipxact:value>dis_txcompliance</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_tx_fast_pld_reg" type="string"> + <ipxact:name>hssi_8g_tx_pcs_tx_fast_pld_reg</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_tx_fast_pld_reg</ipxact:displayName> + <ipxact:value>dis_tx_fast_pld_reg</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_txclk_freerun" type="string"> + <ipxact:name>hssi_8g_tx_pcs_txclk_freerun</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_txclk_freerun</ipxact:displayName> + <ipxact:value>en_freerun_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_txpcs_urst" type="string"> + <ipxact:name>hssi_8g_tx_pcs_txpcs_urst</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_txpcs_urst</ipxact:displayName> + <ipxact:value>en_txpcs_urst</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_silicon_rev" type="string"> + <ipxact:name>hssi_8g_tx_pcs_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_reconfig_settings" type="string"> + <ipxact:name>hssi_8g_tx_pcs_reconfig_settings</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_reconfig_settings</ipxact:displayName> + <ipxact:value>{}</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_hip_en" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_hip_en</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_hip_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_hrdrstctl_en" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_hrdrstctl_en</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_hrdrstctl_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_prot_mode_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_prot_mode_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_prot_mode_tx</ipxact:displayName> + <ipxact:value>teng_baser_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_ctrl_plane_bonding_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_ctrl_plane_bonding_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_ctrl_plane_bonding_tx</ipxact:displayName> + <ipxact:value>individual_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_pma_dw_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_pma_dw_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_pma_dw_tx</ipxact:displayName> + <ipxact:value>pma_32b_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_pld_fifo_mode_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_pld_fifo_mode_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_pld_fifo_mode_tx</ipxact:displayName> + <ipxact:value>fifo_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_shared_fifo_width_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_shared_fifo_width_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_shared_fifo_width_tx</ipxact:displayName> + <ipxact:value>single_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_low_latency_en_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_low_latency_en_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_low_latency_en_tx</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_func_mode" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_func_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_func_mode</ipxact:displayName> + <ipxact:value>enable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_sup_mode" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_sup_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_channel_operation_mode" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_channel_operation_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_channel_operation_mode</ipxact:displayName> + <ipxact:value>tx_rx_pair_enabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_lpbk_en" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_lpbk_en</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_lpbk_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_frequency_rules_en" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_frequency_rules_en</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_frequency_rules_en</ipxact:displayName> + <ipxact:value>enable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_speed_grade" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_speed_grade</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_speed_grade</ipxact:displayName> + <ipxact:value>e3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_pma_tx_clk_hz" type="int"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_pma_tx_clk_hz</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_pma_tx_clk_hz</ipxact:displayName> + <ipxact:value>322265625</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_pld_tx_clk_hz" type="int"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_pld_tx_clk_hz</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_pld_tx_clk_hz</ipxact:displayName> + <ipxact:value>156250000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_pld_uhsif_tx_clk_hz" type="int"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_pld_uhsif_tx_clk_hz</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_pld_uhsif_tx_clk_hz</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_hclk_clk_hz" type="int"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_hclk_clk_hz</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_hclk_clk_hz</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_pld_pcs_refclk_dig_nonatpg_mode_clk_hz" type="int"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_pld_pcs_refclk_dig_nonatpg_mode_clk_hz</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_pld_pcs_refclk_dig_nonatpg_mode_clk_hz</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_pld_8g_refclk_dig_nonatpg_mode_clk_hz" type="int"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_pld_8g_refclk_dig_nonatpg_mode_clk_hz</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_pld_8g_refclk_dig_nonatpg_mode_clk_hz</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_pcs_tx_ac_pwr_uw_per_mhz" type="int"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_pcs_tx_ac_pwr_uw_per_mhz</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_pcs_tx_ac_pwr_uw_per_mhz</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_pcs_tx_pwr_scaling_clk" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_pcs_tx_pwr_scaling_clk</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_pcs_tx_pwr_scaling_clk</ipxact:displayName> + <ipxact:value>pma_tx_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_fifo_sup_mode" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_fifo_sup_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_fifo_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_fifo_channel_operation_mode" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_fifo_channel_operation_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_fifo_channel_operation_mode</ipxact:displayName> + <ipxact:value>tx_rx_pair_enabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_fifo_prot_mode_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_fifo_prot_mode_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_fifo_prot_mode_tx</ipxact:displayName> + <ipxact:value>teng_mode_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_fifo_shared_fifo_width_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_fifo_shared_fifo_width_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_fifo_shared_fifo_width_tx</ipxact:displayName> + <ipxact:value>single_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_10g_sup_mode" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_10g_sup_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_10g_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_10g_channel_operation_mode" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_10g_channel_operation_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_10g_channel_operation_mode</ipxact:displayName> + <ipxact:value>tx_rx_pair_enabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_10g_lpbk_en" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_10g_lpbk_en</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_10g_lpbk_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_10g_advanced_user_mode_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_10g_advanced_user_mode_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_10g_advanced_user_mode_tx</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_10g_pma_dw_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_10g_pma_dw_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_10g_pma_dw_tx</ipxact:displayName> + <ipxact:value>pma_32b_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_10g_fifo_mode_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_10g_fifo_mode_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_10g_fifo_mode_tx</ipxact:displayName> + <ipxact:value>fifo_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_10g_prot_mode_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_10g_prot_mode_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_10g_prot_mode_tx</ipxact:displayName> + <ipxact:value>teng_baser_mode_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_10g_ctrl_plane_bonding_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_10g_ctrl_plane_bonding_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_10g_ctrl_plane_bonding_tx</ipxact:displayName> + <ipxact:value>individual_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_10g_low_latency_en_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_10g_low_latency_en_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_10g_low_latency_en_tx</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_10g_shared_fifo_width_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_10g_shared_fifo_width_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_10g_shared_fifo_width_tx</ipxact:displayName> + <ipxact:value>single_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_8g_sup_mode" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_8g_sup_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_8g_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_8g_channel_operation_mode" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_8g_channel_operation_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_8g_channel_operation_mode</ipxact:displayName> + <ipxact:value>tx_rx_pair_enabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_8g_lpbk_en" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_8g_lpbk_en</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_8g_lpbk_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_8g_prot_mode_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_8g_prot_mode_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_8g_prot_mode_tx</ipxact:displayName> + <ipxact:value>disabled_prot_mode_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_8g_hip_mode" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_8g_hip_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_8g_hip_mode</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_8g_ctrl_plane_bonding_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_8g_ctrl_plane_bonding_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_8g_ctrl_plane_bonding_tx</ipxact:displayName> + <ipxact:value>ctrl_master_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_8g_pma_dw_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_8g_pma_dw_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_8g_pma_dw_tx</ipxact:displayName> + <ipxact:value>pma_10b_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_8g_fifo_mode_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_8g_fifo_mode_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_8g_fifo_mode_tx</ipxact:displayName> + <ipxact:value>fifo_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_g3_sup_mode" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_g3_sup_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_g3_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_g3_prot_mode" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_g3_prot_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_g3_prot_mode</ipxact:displayName> + <ipxact:value>disabled_prot_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_krfec_sup_mode" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_krfec_sup_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_krfec_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_krfec_channel_operation_mode" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_krfec_channel_operation_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_krfec_channel_operation_mode</ipxact:displayName> + <ipxact:value>tx_rx_pair_enabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_krfec_lpbk_en" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_krfec_lpbk_en</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_krfec_lpbk_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_krfec_prot_mode_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_krfec_prot_mode_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_krfec_prot_mode_tx</ipxact:displayName> + <ipxact:value>disabled_prot_mode_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_krfec_low_latency_en_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_krfec_low_latency_en_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_krfec_low_latency_en_tx</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_pmaif_sup_mode" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_pmaif_sup_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_pmaif_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_pmaif_lpbk_en" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_pmaif_lpbk_en</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_pmaif_lpbk_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_pmaif_channel_operation_mode" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_pmaif_channel_operation_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_pmaif_channel_operation_mode</ipxact:displayName> + <ipxact:value>tx_rx_pair_enabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_pmaif_sim_mode" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_pmaif_sim_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_pmaif_sim_mode</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_pmaif_prot_mode_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_pmaif_prot_mode_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_pmaif_prot_mode_tx</ipxact:displayName> + <ipxact:value>teng_krfec_mode_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_pmaif_ctrl_plane_bonding" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_pmaif_ctrl_plane_bonding</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_pmaif_ctrl_plane_bonding</ipxact:displayName> + <ipxact:value>individual</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_pmaif_pma_dw_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_pmaif_pma_dw_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_pmaif_pma_dw_tx</ipxact:displayName> + <ipxact:value>pma_32b_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_pldif_prot_mode_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_pldif_prot_mode_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_pldif_prot_mode_tx</ipxact:displayName> + <ipxact:value>teng_pld_fifo_mode_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_pldif_hrdrstctl_en" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_pldif_hrdrstctl_en</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_pldif_hrdrstctl_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_pldif_sup_mode" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_pldif_sup_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_pldif_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_pcs_tx_clk_source" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_pcs_tx_clk_source</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_pcs_tx_clk_source</ipxact:displayName> + <ipxact:value>teng</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_pcs_tx_data_source" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_pcs_tx_data_source</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_pcs_tx_data_source</ipxact:displayName> + <ipxact:value>hip_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_pcs_tx_delay1_clk_en" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_pcs_tx_delay1_clk_en</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_pcs_tx_delay1_clk_en</ipxact:displayName> + <ipxact:value>delay1_clk_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_pcs_tx_delay1_clk_sel" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_pcs_tx_delay1_clk_sel</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_pcs_tx_delay1_clk_sel</ipxact:displayName> + <ipxact:value>pcs_tx_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_pcs_tx_delay1_ctrl" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_pcs_tx_delay1_ctrl</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_pcs_tx_delay1_ctrl</ipxact:displayName> + <ipxact:value>delay1_path0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_pcs_tx_delay1_data_sel" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_pcs_tx_delay1_data_sel</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_pcs_tx_delay1_data_sel</ipxact:displayName> + <ipxact:value>one_ff_delay</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_pcs_tx_delay2_clk_en" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_pcs_tx_delay2_clk_en</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_pcs_tx_delay2_clk_en</ipxact:displayName> + <ipxact:value>delay2_clk_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_pcs_tx_delay2_ctrl" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_pcs_tx_delay2_ctrl</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_pcs_tx_delay2_ctrl</ipxact:displayName> + <ipxact:value>delay2_path0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_pcs_tx_output_sel" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_pcs_tx_output_sel</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_pcs_tx_output_sel</ipxact:displayName> + <ipxact:value>teng_output</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_silicon_rev" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_pcs_tx_clk_out_sel" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_pcs_tx_clk_out_sel</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_pcs_tx_clk_out_sel</ipxact:displayName> + <ipxact:value>teng_clk_out</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_reconfig_settings" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_reconfig_settings</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_reconfig_settings</ipxact:displayName> + <ipxact:value>{}</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_hip_en" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_hip_en</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_hip_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_transparent_pcs_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_transparent_pcs_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_transparent_pcs_rx</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_hrdrstctl_en" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_hrdrstctl_en</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_hrdrstctl_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_prot_mode_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_prot_mode_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_prot_mode_rx</ipxact:displayName> + <ipxact:value>teng_baser_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_ctrl_plane_bonding_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_ctrl_plane_bonding_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_ctrl_plane_bonding_rx</ipxact:displayName> + <ipxact:value>individual_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_pma_dw_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_pma_dw_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_pma_dw_rx</ipxact:displayName> + <ipxact:value>pma_32b_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_pld_fifo_mode_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_pld_fifo_mode_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_pld_fifo_mode_rx</ipxact:displayName> + <ipxact:value>fifo_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_shared_fifo_width_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_shared_fifo_width_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_shared_fifo_width_rx</ipxact:displayName> + <ipxact:value>single_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_low_latency_en_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_low_latency_en_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_low_latency_en_rx</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_func_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_func_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_func_mode</ipxact:displayName> + <ipxact:value>enable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_sup_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_sup_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_channel_operation_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_channel_operation_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_channel_operation_mode</ipxact:displayName> + <ipxact:value>tx_rx_pair_enabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_lpbk_en" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_lpbk_en</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_lpbk_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_10g_advanced_user_mode_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_10g_advanced_user_mode_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_10g_advanced_user_mode_rx</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_frequency_rules_en" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_frequency_rules_en</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_frequency_rules_en</ipxact:displayName> + <ipxact:value>enable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_speed_grade" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_speed_grade</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_speed_grade</ipxact:displayName> + <ipxact:value>e3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_pma_rx_clk_hz" type="int"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_pma_rx_clk_hz</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_pma_rx_clk_hz</ipxact:displayName> + <ipxact:value>322265625</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_pld_rx_clk_hz" type="int"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_pld_rx_clk_hz</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_pld_rx_clk_hz</ipxact:displayName> + <ipxact:value>156250000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_fref_clk_hz" type="int"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_fref_clk_hz</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_fref_clk_hz</ipxact:displayName> + <ipxact:value>322265625</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_clklow_clk_hz" type="int"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_clklow_clk_hz</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_clklow_clk_hz</ipxact:displayName> + <ipxact:value>322265625</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_hclk_clk_hz" type="int"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_hclk_clk_hz</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_hclk_clk_hz</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_pld_pcs_refclk_dig_nonatpg_mode_clk_hz" type="int"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_pld_pcs_refclk_dig_nonatpg_mode_clk_hz</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_pld_pcs_refclk_dig_nonatpg_mode_clk_hz</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_pld_8g_refclk_dig_nonatpg_mode_clk_hz" type="int"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_pld_8g_refclk_dig_nonatpg_mode_clk_hz</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_pld_8g_refclk_dig_nonatpg_mode_clk_hz</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_pcs_rx_ac_pwr_uw_per_mhz" type="int"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_pcs_rx_ac_pwr_uw_per_mhz</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_pcs_rx_ac_pwr_uw_per_mhz</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_operating_voltage" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_operating_voltage</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_operating_voltage</ipxact:displayName> + <ipxact:value>standard</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_pcs_ac_pwr_rules_en" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_pcs_ac_pwr_rules_en</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_pcs_ac_pwr_rules_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_pcs_rx_pwr_scaling_clk" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_pcs_rx_pwr_scaling_clk</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_pcs_rx_pwr_scaling_clk</ipxact:displayName> + <ipxact:value>pma_rx_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_pcs_pair_ac_pwr_uw_per_mhz" type="int"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_pcs_pair_ac_pwr_uw_per_mhz</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_pcs_pair_ac_pwr_uw_per_mhz</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_fifo_sup_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_fifo_sup_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_fifo_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_fifo_channel_operation_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_fifo_channel_operation_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_fifo_channel_operation_mode</ipxact:displayName> + <ipxact:value>tx_rx_pair_enabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_fifo_prot_mode_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_fifo_prot_mode_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_fifo_prot_mode_rx</ipxact:displayName> + <ipxact:value>teng_mode_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_fifo_shared_fifo_width_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_fifo_shared_fifo_width_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_fifo_shared_fifo_width_rx</ipxact:displayName> + <ipxact:value>single_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_10g_sup_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_10g_sup_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_10g_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_10g_channel_operation_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_10g_channel_operation_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_10g_channel_operation_mode</ipxact:displayName> + <ipxact:value>tx_rx_pair_enabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_10g_lpbk_en" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_10g_lpbk_en</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_10g_lpbk_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_10g_pma_dw_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_10g_pma_dw_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_10g_pma_dw_rx</ipxact:displayName> + <ipxact:value>pma_32b_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_10g_fifo_mode_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_10g_fifo_mode_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_10g_fifo_mode_rx</ipxact:displayName> + <ipxact:value>fifo_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_10g_prot_mode_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_10g_prot_mode_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_10g_prot_mode_rx</ipxact:displayName> + <ipxact:value>teng_baser_mode_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_10g_ctrl_plane_bonding_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_10g_ctrl_plane_bonding_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_10g_ctrl_plane_bonding_rx</ipxact:displayName> + <ipxact:value>individual_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_10g_low_latency_en_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_10g_low_latency_en_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_10g_low_latency_en_rx</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_10g_shared_fifo_width_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_10g_shared_fifo_width_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_10g_shared_fifo_width_rx</ipxact:displayName> + <ipxact:value>single_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_10g_test_bus_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_10g_test_bus_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_10g_test_bus_mode</ipxact:displayName> + <ipxact:value>rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_8g_sup_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_8g_sup_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_8g_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_8g_channel_operation_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_8g_channel_operation_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_8g_channel_operation_mode</ipxact:displayName> + <ipxact:value>tx_rx_pair_enabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_8g_lpbk_en" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_8g_lpbk_en</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_8g_lpbk_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_8g_prot_mode_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_8g_prot_mode_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_8g_prot_mode_rx</ipxact:displayName> + <ipxact:value>disabled_prot_mode_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_8g_hip_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_8g_hip_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_8g_hip_mode</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_8g_ctrl_plane_bonding_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_8g_ctrl_plane_bonding_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_8g_ctrl_plane_bonding_rx</ipxact:displayName> + <ipxact:value>individual_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_8g_pma_dw_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_8g_pma_dw_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_8g_pma_dw_rx</ipxact:displayName> + <ipxact:value>pma_10b_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_8g_fifo_mode_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_8g_fifo_mode_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_8g_fifo_mode_rx</ipxact:displayName> + <ipxact:value>fifo_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_g3_sup_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_g3_sup_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_g3_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_g3_prot_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_g3_prot_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_g3_prot_mode</ipxact:displayName> + <ipxact:value>disabled_prot_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_krfec_sup_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_krfec_sup_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_krfec_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_krfec_channel_operation_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_krfec_channel_operation_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_krfec_channel_operation_mode</ipxact:displayName> + <ipxact:value>tx_rx_pair_enabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_krfec_lpbk_en" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_krfec_lpbk_en</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_krfec_lpbk_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_krfec_prot_mode_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_krfec_prot_mode_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_krfec_prot_mode_rx</ipxact:displayName> + <ipxact:value>disabled_prot_mode_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_krfec_low_latency_en_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_krfec_low_latency_en_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_krfec_low_latency_en_rx</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_krfec_test_bus_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_krfec_test_bus_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_krfec_test_bus_mode</ipxact:displayName> + <ipxact:value>tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_pmaif_sup_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_pmaif_sup_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_pmaif_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_pmaif_lpbk_en" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_pmaif_lpbk_en</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_pmaif_lpbk_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_pmaif_channel_operation_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_pmaif_channel_operation_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_pmaif_channel_operation_mode</ipxact:displayName> + <ipxact:value>tx_rx_pair_enabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_pmaif_sim_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_pmaif_sim_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_pmaif_sim_mode</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_pmaif_prot_mode_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_pmaif_prot_mode_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_pmaif_prot_mode_rx</ipxact:displayName> + <ipxact:value>teng_krfec_mode_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_pmaif_pma_dw_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_pmaif_pma_dw_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_pmaif_pma_dw_rx</ipxact:displayName> + <ipxact:value>pma_32b_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_pldif_prot_mode_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_pldif_prot_mode_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_pldif_prot_mode_rx</ipxact:displayName> + <ipxact:value>teng_pld_fifo_mode_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_pldif_hrdrstctl_en" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_pldif_hrdrstctl_en</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_pldif_hrdrstctl_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_pldif_sup_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_pldif_sup_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_pldif_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_pcs_rx_block_sel" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_pcs_rx_block_sel</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_pcs_rx_block_sel</ipxact:displayName> + <ipxact:value>teng</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_pcs_rx_clk_sel" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_pcs_rx_clk_sel</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_pcs_rx_clk_sel</ipxact:displayName> + <ipxact:value>pld_rx_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_pcs_rx_hip_clk_en" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_pcs_rx_hip_clk_en</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_pcs_rx_hip_clk_en</ipxact:displayName> + <ipxact:value>hip_rx_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_pcs_rx_output_sel" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_pcs_rx_output_sel</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_pcs_rx_output_sel</ipxact:displayName> + <ipxact:value>teng_output</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_silicon_rev" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_pcs_rx_clk_out_sel" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_pcs_rx_clk_out_sel</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_pcs_rx_clk_out_sel</ipxact:displayName> + <ipxact:value>teng_clk_out</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_reconfig_settings" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_reconfig_settings</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_reconfig_settings</ipxact:displayName> + <ipxact:value>{}</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pld_pcs_interface_dft_clk_out_en" type="string"> + <ipxact:name>hssi_common_pld_pcs_interface_dft_clk_out_en</ipxact:name> + <ipxact:displayName>hssi_common_pld_pcs_interface_dft_clk_out_en</ipxact:displayName> + <ipxact:value>dft_clk_out_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pld_pcs_interface_dft_clk_out_sel" type="string"> + <ipxact:name>hssi_common_pld_pcs_interface_dft_clk_out_sel</ipxact:name> + <ipxact:displayName>hssi_common_pld_pcs_interface_dft_clk_out_sel</ipxact:displayName> + <ipxact:value>teng_rx_dft_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pld_pcs_interface_hrdrstctrl_en" type="string"> + <ipxact:name>hssi_common_pld_pcs_interface_hrdrstctrl_en</ipxact:name> + <ipxact:displayName>hssi_common_pld_pcs_interface_hrdrstctrl_en</ipxact:displayName> + <ipxact:value>hrst_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pld_pcs_interface_pcs_testbus_block_sel" type="string"> + <ipxact:name>hssi_common_pld_pcs_interface_pcs_testbus_block_sel</ipxact:name> + <ipxact:displayName>hssi_common_pld_pcs_interface_pcs_testbus_block_sel</ipxact:displayName> + <ipxact:value>pma_if</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pld_pcs_interface_silicon_rev" type="string"> + <ipxact:name>hssi_common_pld_pcs_interface_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_common_pld_pcs_interface_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pld_pcs_interface_reconfig_settings" type="string"> + <ipxact:name>hssi_common_pld_pcs_interface_reconfig_settings</ipxact:name> + <ipxact:displayName>hssi_common_pld_pcs_interface_reconfig_settings</ipxact:displayName> + <ipxact:value>{}</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_block_sel" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_block_sel</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_block_sel</ipxact:displayName> + <ipxact:value>ten_g_pcs</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_channel_operation_mode" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_channel_operation_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_channel_operation_mode</ipxact:displayName> + <ipxact:value>tx_rx_pair_enabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_clkslip_sel" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_clkslip_sel</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_clkslip_sel</ipxact:displayName> + <ipxact:value>pld</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_lpbk_en" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_lpbk_en</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_lpbk_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_master_clk_sel" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_master_clk_sel</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_master_clk_sel</ipxact:displayName> + <ipxact:value>master_rx_pma_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_pldif_datawidth_mode" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_pldif_datawidth_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_pldif_datawidth_mode</ipxact:displayName> + <ipxact:value>pldif_data_10bit</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_pma_dw_rx" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_pma_dw_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_pma_dw_rx</ipxact:displayName> + <ipxact:value>pma_32b_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_pma_if_dft_en" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_pma_if_dft_en</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_pma_if_dft_en</ipxact:displayName> + <ipxact:value>dft_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_pma_if_dft_val" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_pma_if_dft_val</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_pma_if_dft_val</ipxact:displayName> + <ipxact:value>dft_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_prbs_clken" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_prbs_clken</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_prbs_clken</ipxact:displayName> + <ipxact:value>prbs_clk_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_prbs_ver" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_prbs_ver</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_prbs_ver</ipxact:displayName> + <ipxact:value>prbs_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_prbs9_dwidth" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_prbs9_dwidth</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_prbs9_dwidth</ipxact:displayName> + <ipxact:value>prbs9_64b</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_prot_mode_rx" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_prot_mode_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_prot_mode_rx</ipxact:displayName> + <ipxact:value>teng_krfec_mode_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_rx_dyn_polarity_inversion" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_rx_dyn_polarity_inversion</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_rx_dyn_polarity_inversion</ipxact:displayName> + <ipxact:value>rx_dyn_polinv_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_rx_lpbk_en" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_rx_lpbk_en</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_rx_lpbk_en</ipxact:displayName> + <ipxact:value>lpbk_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_rx_prbs_force_signal_ok" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_rx_prbs_force_signal_ok</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_rx_prbs_force_signal_ok</ipxact:displayName> + <ipxact:value>force_sig_ok</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_rx_prbs_mask" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_rx_prbs_mask</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_rx_prbs_mask</ipxact:displayName> + <ipxact:value>prbsmask128</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_rx_prbs_mode" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_rx_prbs_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_rx_prbs_mode</ipxact:displayName> + <ipxact:value>teng_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_rx_signalok_signaldet_sel" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_rx_signalok_signaldet_sel</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_rx_signalok_signaldet_sel</ipxact:displayName> + <ipxact:value>sel_sig_det</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_rx_static_polarity_inversion" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_rx_static_polarity_inversion</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_rx_static_polarity_inversion</ipxact:displayName> + <ipxact:value>rx_stat_polinv_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_rx_uhsif_lpbk_en" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_rx_uhsif_lpbk_en</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_rx_uhsif_lpbk_en</ipxact:displayName> + <ipxact:value>uhsif_lpbk_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_sup_mode" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_sup_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_silicon_rev" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_reconfig_settings" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_reconfig_settings</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_reconfig_settings</ipxact:displayName> + <ipxact:value>{}</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_bypass_pma_txelecidle" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_bypass_pma_txelecidle</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_bypass_pma_txelecidle</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_channel_operation_mode" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_channel_operation_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_channel_operation_mode</ipxact:displayName> + <ipxact:value>tx_rx_pair_enabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_lpbk_en" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_lpbk_en</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_lpbk_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_master_clk_sel" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_master_clk_sel</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_master_clk_sel</ipxact:displayName> + <ipxact:value>master_tx_pma_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_pcie_sub_prot_mode_tx" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_pcie_sub_prot_mode_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_pcie_sub_prot_mode_tx</ipxact:displayName> + <ipxact:value>other_prot_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_pldif_datawidth_mode" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_pldif_datawidth_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_pldif_datawidth_mode</ipxact:displayName> + <ipxact:value>pldif_data_10bit</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_pma_dw_tx" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_pma_dw_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_pma_dw_tx</ipxact:displayName> + <ipxact:value>pma_32b_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_pma_if_dft_en" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_pma_if_dft_en</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_pma_if_dft_en</ipxact:displayName> + <ipxact:value>dft_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_pmagate_en" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_pmagate_en</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_pmagate_en</ipxact:displayName> + <ipxact:value>pmagate_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_prbs_clken" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_prbs_clken</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_prbs_clken</ipxact:displayName> + <ipxact:value>prbs_clk_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_prbs_gen_pat" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_prbs_gen_pat</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_prbs_gen_pat</ipxact:displayName> + <ipxact:value>prbs_gen_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_prbs9_dwidth" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_prbs9_dwidth</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_prbs9_dwidth</ipxact:displayName> + <ipxact:value>prbs9_64b</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_prot_mode_tx" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_prot_mode_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_prot_mode_tx</ipxact:displayName> + <ipxact:value>teng_krfec_mode_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_sq_wave_num" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_sq_wave_num</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_sq_wave_num</ipxact:displayName> + <ipxact:value>sq_wave_default</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_sqwgen_clken" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_sqwgen_clken</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_sqwgen_clken</ipxact:displayName> + <ipxact:value>sqwgen_clk_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_sup_mode" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_sup_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_tx_dyn_polarity_inversion" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_tx_dyn_polarity_inversion</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_tx_dyn_polarity_inversion</ipxact:displayName> + <ipxact:value>tx_dyn_polinv_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_tx_pma_data_sel" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_tx_pma_data_sel</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_tx_pma_data_sel</ipxact:displayName> + <ipxact:value>ten_g_pcs</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_tx_static_polarity_inversion" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_tx_static_polarity_inversion</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_tx_static_polarity_inversion</ipxact:displayName> + <ipxact:value>tx_stat_polinv_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_cnt_step_filt_before_lock" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_cnt_step_filt_before_lock</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_cnt_step_filt_before_lock</ipxact:displayName> + <ipxact:value>uhsif_filt_stepsz_b4lock_2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_cnt_thresh_filt_after_lock_value" type="int"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_cnt_thresh_filt_after_lock_value</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_cnt_thresh_filt_after_lock_value</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_cnt_thresh_filt_before_lock" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_cnt_thresh_filt_before_lock</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_cnt_thresh_filt_before_lock</ipxact:displayName> + <ipxact:value>uhsif_filt_cntthr_b4lock_8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_dcn_test_update_period" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_dcn_test_update_period</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_dcn_test_update_period</ipxact:displayName> + <ipxact:value>uhsif_dcn_test_period_4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_dcn_testmode_enable" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_dcn_testmode_enable</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_dcn_testmode_enable</ipxact:displayName> + <ipxact:value>uhsif_dcn_test_mode_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_dead_zone_count_thresh" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_dead_zone_count_thresh</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_dead_zone_count_thresh</ipxact:displayName> + <ipxact:value>uhsif_dzt_cnt_thr_2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_dead_zone_detection_enable" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_dead_zone_detection_enable</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_dead_zone_detection_enable</ipxact:displayName> + <ipxact:value>uhsif_dzt_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_dead_zone_obser_window" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_dead_zone_obser_window</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_dead_zone_obser_window</ipxact:displayName> + <ipxact:value>uhsif_dzt_obr_win_16</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_dead_zone_skip_size" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_dead_zone_skip_size</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_dead_zone_skip_size</ipxact:displayName> + <ipxact:value>uhsif_dzt_skipsz_4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_delay_cell_index_sel" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_delay_cell_index_sel</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_delay_cell_index_sel</ipxact:displayName> + <ipxact:value>uhsif_index_cram</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_delay_cell_margin" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_delay_cell_margin</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_delay_cell_margin</ipxact:displayName> + <ipxact:value>uhsif_dcn_margin_2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_delay_cell_static_index_value" type="int"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_delay_cell_static_index_value</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_delay_cell_static_index_value</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_dft_dead_zone_control" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_dft_dead_zone_control</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_dft_dead_zone_control</ipxact:displayName> + <ipxact:value>uhsif_dft_dz_det_val_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_dft_up_filt_control" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_dft_up_filt_control</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_dft_up_filt_control</ipxact:displayName> + <ipxact:value>uhsif_dft_up_val_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_enable" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_enable</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_enable</ipxact:displayName> + <ipxact:value>uhsif_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_lock_det_segsz_after_lock" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_lock_det_segsz_after_lock</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_lock_det_segsz_after_lock</ipxact:displayName> + <ipxact:value>uhsif_lkd_segsz_aflock_512</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_lock_det_segsz_before_lock" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_lock_det_segsz_before_lock</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_lock_det_segsz_before_lock</ipxact:displayName> + <ipxact:value>uhsif_lkd_segsz_b4lock_16</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_lock_det_thresh_cnt_after_lock_value" type="int"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_lock_det_thresh_cnt_after_lock_value</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_lock_det_thresh_cnt_after_lock_value</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_lock_det_thresh_cnt_before_lock_value" type="int"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_lock_det_thresh_cnt_before_lock_value</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_lock_det_thresh_cnt_before_lock_value</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_lock_det_thresh_diff_after_lock_value" type="int"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_lock_det_thresh_diff_after_lock_value</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_lock_det_thresh_diff_after_lock_value</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_lock_det_thresh_diff_before_lock_value" type="int"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_lock_det_thresh_diff_before_lock_value</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_lock_det_thresh_diff_before_lock_value</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_silicon_rev" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_reconfig_settings" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_reconfig_settings</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_reconfig_settings</ipxact:displayName> + <ipxact:value>{}</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_asn_clk_enable" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_asn_clk_enable</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_asn_clk_enable</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_asn_enable" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_asn_enable</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_asn_enable</ipxact:displayName> + <ipxact:value>dis_asn</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_block_sel" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_block_sel</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_block_sel</ipxact:displayName> + <ipxact:value>eight_g_pcs</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_bypass_early_eios" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_bypass_early_eios</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_bypass_early_eios</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_bypass_pcie_switch" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_bypass_pcie_switch</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_bypass_pcie_switch</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_bypass_pma_ltr" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_bypass_pma_ltr</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_bypass_pma_ltr</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_bypass_pma_sw_done" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_bypass_pma_sw_done</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_bypass_pma_sw_done</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_bypass_ppm_lock" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_bypass_ppm_lock</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_bypass_ppm_lock</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_bypass_send_syncp_fbkp" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_bypass_send_syncp_fbkp</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_bypass_send_syncp_fbkp</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_bypass_txdetectrx" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_bypass_txdetectrx</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_bypass_txdetectrx</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_cdr_control" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_cdr_control</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_cdr_control</ipxact:displayName> + <ipxact:value>dis_cdr_ctrl</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_cid_enable" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_cid_enable</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_cid_enable</ipxact:displayName> + <ipxact:value>dis_cid_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_cp_cons_sel" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_cp_cons_sel</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_cp_cons_sel</ipxact:displayName> + <ipxact:value>cp_cons_master</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_cp_dwn_mstr" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_cp_dwn_mstr</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_cp_dwn_mstr</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_cp_up_mstr" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_cp_up_mstr</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_cp_up_mstr</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_ctrl_plane_bonding" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_ctrl_plane_bonding</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_ctrl_plane_bonding</ipxact:displayName> + <ipxact:value>individual</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_data_mask_count" type="int"> + <ipxact:name>hssi_common_pcs_pma_interface_data_mask_count</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_data_mask_count</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_data_mask_count_multi" type="int"> + <ipxact:name>hssi_common_pcs_pma_interface_data_mask_count_multi</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_data_mask_count_multi</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_dft_observation_clock_selection" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_dft_observation_clock_selection</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_dft_observation_clock_selection</ipxact:displayName> + <ipxact:value>dft_clk_obsrv_tx0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_early_eios_counter" type="int"> + <ipxact:name>hssi_common_pcs_pma_interface_early_eios_counter</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_early_eios_counter</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_force_freqdet" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_force_freqdet</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_force_freqdet</ipxact:displayName> + <ipxact:value>force_freqdet_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_free_run_clk_enable" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_free_run_clk_enable</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_free_run_clk_enable</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_ignore_sigdet_g23" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_ignore_sigdet_g23</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_ignore_sigdet_g23</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_pc_en_counter" type="int"> + <ipxact:name>hssi_common_pcs_pma_interface_pc_en_counter</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_pc_en_counter</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_pc_rst_counter" type="int"> + <ipxact:name>hssi_common_pcs_pma_interface_pc_rst_counter</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_pc_rst_counter</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_pcie_hip_mode" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_pcie_hip_mode</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_pcie_hip_mode</ipxact:displayName> + <ipxact:value>hip_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_ph_fifo_reg_mode" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_ph_fifo_reg_mode</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_ph_fifo_reg_mode</ipxact:displayName> + <ipxact:value>phfifo_reg_mode_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_phfifo_flush_wait" type="int"> + <ipxact:name>hssi_common_pcs_pma_interface_phfifo_flush_wait</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_phfifo_flush_wait</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_pipe_if_g3pcs" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_pipe_if_g3pcs</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_pipe_if_g3pcs</ipxact:displayName> + <ipxact:value>pipe_if_8gpcs</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_pma_done_counter" type="int"> + <ipxact:name>hssi_common_pcs_pma_interface_pma_done_counter</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_pma_done_counter</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_pma_if_dft_en" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_pma_if_dft_en</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_pma_if_dft_en</ipxact:displayName> + <ipxact:value>dft_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_pma_if_dft_val" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_pma_if_dft_val</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_pma_if_dft_val</ipxact:displayName> + <ipxact:value>dft_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_ppm_cnt_rst" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_ppm_cnt_rst</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_ppm_cnt_rst</ipxact:displayName> + <ipxact:value>ppm_cnt_rst_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_ppm_deassert_early" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_ppm_deassert_early</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_ppm_deassert_early</ipxact:displayName> + <ipxact:value>deassert_early_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_ppm_gen1_2_cnt" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_ppm_gen1_2_cnt</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_ppm_gen1_2_cnt</ipxact:displayName> + <ipxact:value>cnt_32k</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_ppm_post_eidle_delay" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_ppm_post_eidle_delay</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_ppm_post_eidle_delay</ipxact:displayName> + <ipxact:value>cnt_200_cycles</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_ppmsel" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_ppmsel</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_ppmsel</ipxact:displayName> + <ipxact:value>ppmsel_1000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_prot_mode" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_prot_mode</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_prot_mode</ipxact:displayName> + <ipxact:value>other_protocols</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_rxvalid_mask" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_rxvalid_mask</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_rxvalid_mask</ipxact:displayName> + <ipxact:value>rxvalid_mask_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_sigdet_wait_counter" type="int"> + <ipxact:name>hssi_common_pcs_pma_interface_sigdet_wait_counter</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_sigdet_wait_counter</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_sigdet_wait_counter_multi" type="int"> + <ipxact:name>hssi_common_pcs_pma_interface_sigdet_wait_counter_multi</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_sigdet_wait_counter_multi</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_sim_mode" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_sim_mode</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_sim_mode</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_spd_chg_rst_wait_cnt_en" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_spd_chg_rst_wait_cnt_en</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_spd_chg_rst_wait_cnt_en</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_sup_mode" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_sup_mode</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_testout_sel" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_testout_sel</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_testout_sel</ipxact:displayName> + <ipxact:value>asn_test</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_wait_clk_on_off_timer" type="int"> + <ipxact:name>hssi_common_pcs_pma_interface_wait_clk_on_off_timer</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_wait_clk_on_off_timer</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_wait_pipe_synchronizing" type="int"> + <ipxact:name>hssi_common_pcs_pma_interface_wait_pipe_synchronizing</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_wait_pipe_synchronizing</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_wait_send_syncp_fbkp" type="int"> + <ipxact:name>hssi_common_pcs_pma_interface_wait_send_syncp_fbkp</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_wait_send_syncp_fbkp</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_silicon_rev" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_ppm_det_buckets" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_ppm_det_buckets</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_ppm_det_buckets</ipxact:displayName> + <ipxact:value>ppm_100_bucket</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_reconfig_settings" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_reconfig_settings</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_reconfig_settings</ipxact:displayName> + <ipxact:value>{}</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_fifo_rx_pcs_double_read_mode" type="string"> + <ipxact:name>hssi_fifo_rx_pcs_double_read_mode</ipxact:name> + <ipxact:displayName>hssi_fifo_rx_pcs_double_read_mode</ipxact:displayName> + <ipxact:value>double_read_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_fifo_rx_pcs_prot_mode" type="string"> + <ipxact:name>hssi_fifo_rx_pcs_prot_mode</ipxact:name> + <ipxact:displayName>hssi_fifo_rx_pcs_prot_mode</ipxact:displayName> + <ipxact:value>teng_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_fifo_rx_pcs_silicon_rev" type="string"> + <ipxact:name>hssi_fifo_rx_pcs_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_fifo_rx_pcs_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_fifo_tx_pcs_double_write_mode" type="string"> + <ipxact:name>hssi_fifo_tx_pcs_double_write_mode</ipxact:name> + <ipxact:displayName>hssi_fifo_tx_pcs_double_write_mode</ipxact:displayName> + <ipxact:value>double_write_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_fifo_tx_pcs_prot_mode" type="string"> + <ipxact:name>hssi_fifo_tx_pcs_prot_mode</ipxact:name> + <ipxact:displayName>hssi_fifo_tx_pcs_prot_mode</ipxact:displayName> + <ipxact:value>teng_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_fifo_tx_pcs_silicon_rev" type="string"> + <ipxact:name>hssi_fifo_tx_pcs_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_fifo_tx_pcs_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen3_bypass_rx_detection_enable" type="string"> + <ipxact:name>hssi_pipe_gen3_bypass_rx_detection_enable</ipxact:name> + <ipxact:displayName>hssi_pipe_gen3_bypass_rx_detection_enable</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen3_bypass_rx_preset" type="int"> + <ipxact:name>hssi_pipe_gen3_bypass_rx_preset</ipxact:name> + <ipxact:displayName>hssi_pipe_gen3_bypass_rx_preset</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen3_bypass_rx_preset_enable" type="string"> + <ipxact:name>hssi_pipe_gen3_bypass_rx_preset_enable</ipxact:name> + <ipxact:displayName>hssi_pipe_gen3_bypass_rx_preset_enable</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen3_bypass_tx_coefficent" type="int"> + <ipxact:name>hssi_pipe_gen3_bypass_tx_coefficent</ipxact:name> + <ipxact:displayName>hssi_pipe_gen3_bypass_tx_coefficent</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen3_bypass_tx_coefficent_enable" type="string"> + <ipxact:name>hssi_pipe_gen3_bypass_tx_coefficent_enable</ipxact:name> + <ipxact:displayName>hssi_pipe_gen3_bypass_tx_coefficent_enable</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen3_elecidle_delay_g3" type="int"> + <ipxact:name>hssi_pipe_gen3_elecidle_delay_g3</ipxact:name> + <ipxact:displayName>hssi_pipe_gen3_elecidle_delay_g3</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen3_ind_error_reporting" type="string"> + <ipxact:name>hssi_pipe_gen3_ind_error_reporting</ipxact:name> + <ipxact:displayName>hssi_pipe_gen3_ind_error_reporting</ipxact:displayName> + <ipxact:value>dis_ind_error_reporting</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen3_mode" type="string"> + <ipxact:name>hssi_pipe_gen3_mode</ipxact:name> + <ipxact:displayName>hssi_pipe_gen3_mode</ipxact:displayName> + <ipxact:value>disable_pcs</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen3_phy_status_delay_g12" type="int"> + <ipxact:name>hssi_pipe_gen3_phy_status_delay_g12</ipxact:name> + <ipxact:displayName>hssi_pipe_gen3_phy_status_delay_g12</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen3_phy_status_delay_g3" type="int"> + <ipxact:name>hssi_pipe_gen3_phy_status_delay_g3</ipxact:name> + <ipxact:displayName>hssi_pipe_gen3_phy_status_delay_g3</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen3_phystatus_rst_toggle_g12" type="string"> + <ipxact:name>hssi_pipe_gen3_phystatus_rst_toggle_g12</ipxact:name> + <ipxact:displayName>hssi_pipe_gen3_phystatus_rst_toggle_g12</ipxact:displayName> + <ipxact:value>dis_phystatus_rst_toggle</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen3_phystatus_rst_toggle_g3" type="string"> + <ipxact:name>hssi_pipe_gen3_phystatus_rst_toggle_g3</ipxact:name> + <ipxact:displayName>hssi_pipe_gen3_phystatus_rst_toggle_g3</ipxact:displayName> + <ipxact:value>dis_phystatus_rst_toggle_g3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen3_rate_match_pad_insertion" type="string"> + <ipxact:name>hssi_pipe_gen3_rate_match_pad_insertion</ipxact:name> + <ipxact:displayName>hssi_pipe_gen3_rate_match_pad_insertion</ipxact:displayName> + <ipxact:value>dis_rm_fifo_pad_ins</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen3_sup_mode" type="string"> + <ipxact:name>hssi_pipe_gen3_sup_mode</ipxact:name> + <ipxact:displayName>hssi_pipe_gen3_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen3_test_out_sel" type="string"> + <ipxact:name>hssi_pipe_gen3_test_out_sel</ipxact:name> + <ipxact:displayName>hssi_pipe_gen3_test_out_sel</ipxact:displayName> + <ipxact:value>disable_test_out</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen3_silicon_rev" type="string"> + <ipxact:name>hssi_pipe_gen3_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_pipe_gen3_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_elec_idle_delay_val" type="int"> + <ipxact:name>hssi_pipe_gen1_2_elec_idle_delay_val</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_elec_idle_delay_val</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_error_replace_pad" type="string"> + <ipxact:name>hssi_pipe_gen1_2_error_replace_pad</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_error_replace_pad</ipxact:displayName> + <ipxact:value>replace_edb</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_hip_mode" type="string"> + <ipxact:name>hssi_pipe_gen1_2_hip_mode</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_hip_mode</ipxact:displayName> + <ipxact:value>dis_hip</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_ind_error_reporting" type="string"> + <ipxact:name>hssi_pipe_gen1_2_ind_error_reporting</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_ind_error_reporting</ipxact:displayName> + <ipxact:value>dis_ind_error_reporting</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_phystatus_delay_val" type="int"> + <ipxact:name>hssi_pipe_gen1_2_phystatus_delay_val</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_phystatus_delay_val</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_phystatus_rst_toggle" type="string"> + <ipxact:name>hssi_pipe_gen1_2_phystatus_rst_toggle</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_phystatus_rst_toggle</ipxact:displayName> + <ipxact:value>dis_phystatus_rst_toggle</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_pipe_byte_de_serializer_en" type="string"> + <ipxact:name>hssi_pipe_gen1_2_pipe_byte_de_serializer_en</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_pipe_byte_de_serializer_en</ipxact:displayName> + <ipxact:value>dont_care_bds</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_prot_mode" type="string"> + <ipxact:name>hssi_pipe_gen1_2_prot_mode</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_prot_mode</ipxact:displayName> + <ipxact:value>disabled_prot_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_rpre_emph_a_val" type="int"> + <ipxact:name>hssi_pipe_gen1_2_rpre_emph_a_val</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_rpre_emph_a_val</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_rpre_emph_b_val" type="int"> + <ipxact:name>hssi_pipe_gen1_2_rpre_emph_b_val</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_rpre_emph_b_val</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_rpre_emph_c_val" type="int"> + <ipxact:name>hssi_pipe_gen1_2_rpre_emph_c_val</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_rpre_emph_c_val</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_rpre_emph_d_val" type="int"> + <ipxact:name>hssi_pipe_gen1_2_rpre_emph_d_val</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_rpre_emph_d_val</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_rpre_emph_e_val" type="int"> + <ipxact:name>hssi_pipe_gen1_2_rpre_emph_e_val</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_rpre_emph_e_val</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_rvod_sel_a_val" type="int"> + <ipxact:name>hssi_pipe_gen1_2_rvod_sel_a_val</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_rvod_sel_a_val</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_rvod_sel_b_val" type="int"> + <ipxact:name>hssi_pipe_gen1_2_rvod_sel_b_val</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_rvod_sel_b_val</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_rvod_sel_c_val" type="int"> + <ipxact:name>hssi_pipe_gen1_2_rvod_sel_c_val</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_rvod_sel_c_val</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_rvod_sel_d_val" type="int"> + <ipxact:name>hssi_pipe_gen1_2_rvod_sel_d_val</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_rvod_sel_d_val</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_rvod_sel_e_val" type="int"> + <ipxact:name>hssi_pipe_gen1_2_rvod_sel_e_val</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_rvod_sel_e_val</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_rx_pipe_enable" type="string"> + <ipxact:name>hssi_pipe_gen1_2_rx_pipe_enable</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_rx_pipe_enable</ipxact:displayName> + <ipxact:value>dis_pipe_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_rxdetect_bypass" type="string"> + <ipxact:name>hssi_pipe_gen1_2_rxdetect_bypass</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_rxdetect_bypass</ipxact:displayName> + <ipxact:value>dis_rxdetect_bypass</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_sup_mode" type="string"> + <ipxact:name>hssi_pipe_gen1_2_sup_mode</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_tx_pipe_enable" type="string"> + <ipxact:name>hssi_pipe_gen1_2_tx_pipe_enable</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_tx_pipe_enable</ipxact:displayName> + <ipxact:value>dis_pipe_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_txswing" type="string"> + <ipxact:name>hssi_pipe_gen1_2_txswing</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_txswing</ipxact:displayName> + <ipxact:value>dis_txswing</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_silicon_rev" type="string"> + <ipxact:name>hssi_pipe_gen1_2_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_reconfig_settings" type="string"> + <ipxact:name>hssi_pipe_gen1_2_reconfig_settings</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_reconfig_settings</ipxact:displayName> + <ipxact:value>{}</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_silicon_rev" type="string"> + <ipxact:name>pma_adapt_silicon_rev</ipxact:name> + <ipxact:displayName>pma_adapt_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_1s_ctle_bypass" type="string"> + <ipxact:name>pma_adapt_adp_1s_ctle_bypass</ipxact:name> + <ipxact:displayName>pma_adapt_adp_1s_ctle_bypass</ipxact:displayName> + <ipxact:value>radp_1s_ctle_bypass_1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_4s_ctle_bypass" type="string"> + <ipxact:name>pma_adapt_adp_4s_ctle_bypass</ipxact:name> + <ipxact:displayName>pma_adapt_adp_4s_ctle_bypass</ipxact:displayName> + <ipxact:value>radp_4s_ctle_bypass_1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_ctle_acgain_4s" type="string"> + <ipxact:name>pma_adapt_adp_ctle_acgain_4s</ipxact:name> + <ipxact:displayName>pma_adapt_adp_ctle_acgain_4s</ipxact:displayName> + <ipxact:value>radp_ctle_acgain_4s_1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_ctle_en" type="string"> + <ipxact:name>pma_adapt_adp_ctle_en</ipxact:name> + <ipxact:displayName>pma_adapt_adp_ctle_en</ipxact:displayName> + <ipxact:value>radp_ctle_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_ctle_hold_en" type="string"> + <ipxact:name>pma_adapt_adp_ctle_hold_en</ipxact:name> + <ipxact:displayName>pma_adapt_adp_ctle_hold_en</ipxact:displayName> + <ipxact:value>radp_ctle_not_held</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_ctle_scale" type="string"> + <ipxact:name>pma_adapt_adp_ctle_scale</ipxact:name> + <ipxact:displayName>pma_adapt_adp_ctle_scale</ipxact:displayName> + <ipxact:value>radp_ctle_scale_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_bw" type="string"> + <ipxact:name>pma_adapt_adp_dfe_bw</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_bw</ipxact:displayName> + <ipxact:value>radp_dfe_bw_3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_cycle" type="string"> + <ipxact:name>pma_adapt_adp_dfe_cycle</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_cycle</ipxact:displayName> + <ipxact:value>radp_dfe_cycle_6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fltap_bypass" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fltap_bypass</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fltap_bypass</ipxact:displayName> + <ipxact:value>radp_dfe_fltap_bypass_1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fltap_en" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fltap_en</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fltap_en</ipxact:displayName> + <ipxact:value>radp_dfe_fltap_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fltap_hold_en" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fltap_hold_en</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fltap_hold_en</ipxact:displayName> + <ipxact:value>radp_dfe_fltap_not_held</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fltap_load" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fltap_load</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fltap_load</ipxact:displayName> + <ipxact:value>radp_dfe_fltap_load_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fltap_position" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fltap_position</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fltap_position</ipxact:displayName> + <ipxact:value>radp_dfe_fltap_position_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap8" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap8</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap8</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap8_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap8_sgn" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap8_sgn</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap8_sgn</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap8_sgn_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap9" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap9</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap9</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap9_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap9_sgn" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap9_sgn</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap9_sgn</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap9_sgn_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap10" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap10</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap10</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap10_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap10_sgn" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap10_sgn</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap10_sgn</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap10_sgn_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap11" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap11</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap11</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap11_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap11_sgn" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap11_sgn</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap11_sgn</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap11_sgn_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap_bypass" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap_bypass</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap_bypass</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap_bypass_1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap_en" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap_en</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap_en</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap_hold_en" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap_hold_en</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap_hold_en</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap_not_held</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap_load" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap_load</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap_load</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap_load_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap1" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap1</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap1</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap1_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap2" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap2</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap2</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap2_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap2_sgn" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap2_sgn</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap2_sgn</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap2_sgn_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap3" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap3</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap3</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap3_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap3_sgn" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap3_sgn</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap3_sgn</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap3_sgn_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap4" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap4</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap4</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap4_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap4_sgn" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap4_sgn</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap4_sgn</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap4_sgn_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap5" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap5</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap5</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap5_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap5_sgn" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap5_sgn</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap5_sgn</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap5_sgn_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap6" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap6</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap6</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap6_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap6_sgn" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap6_sgn</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap6_sgn</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap6_sgn_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap7" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap7</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap7</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap7_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap7_sgn" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap7_sgn</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap7_sgn</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap7_sgn_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_mode" type="string"> + <ipxact:name>pma_adapt_adp_dfe_mode</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_mode</ipxact:displayName> + <ipxact:value>radp_dfe_mode_4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_vref_polarity" type="string"> + <ipxact:name>pma_adapt_adp_dfe_vref_polarity</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_vref_polarity</ipxact:displayName> + <ipxact:value>radp_dfe_vref_polarity_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_force_freqlock" type="string"> + <ipxact:name>pma_adapt_adp_force_freqlock</ipxact:name> + <ipxact:displayName>pma_adapt_adp_force_freqlock</ipxact:displayName> + <ipxact:value>radp_force_freqlock_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_lfeq_fb_sel" type="string"> + <ipxact:name>pma_adapt_adp_lfeq_fb_sel</ipxact:name> + <ipxact:displayName>pma_adapt_adp_lfeq_fb_sel</ipxact:displayName> + <ipxact:value>radp_lfeq_fb_sel_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_status_sel" type="string"> + <ipxact:name>pma_adapt_adp_status_sel</ipxact:name> + <ipxact:displayName>pma_adapt_adp_status_sel</ipxact:displayName> + <ipxact:value>radp_status_sel_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_vga_bypass" type="string"> + <ipxact:name>pma_adapt_adp_vga_bypass</ipxact:name> + <ipxact:displayName>pma_adapt_adp_vga_bypass</ipxact:displayName> + <ipxact:value>radp_vga_bypass_1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_vga_en" type="string"> + <ipxact:name>pma_adapt_adp_vga_en</ipxact:name> + <ipxact:displayName>pma_adapt_adp_vga_en</ipxact:displayName> + <ipxact:value>radp_vga_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_vga_polarity" type="string"> + <ipxact:name>pma_adapt_adp_vga_polarity</ipxact:name> + <ipxact:displayName>pma_adapt_adp_vga_polarity</ipxact:displayName> + <ipxact:value>radp_vga_polarity_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_vga_sel" type="string"> + <ipxact:name>pma_adapt_adp_vga_sel</ipxact:name> + <ipxact:displayName>pma_adapt_adp_vga_sel</ipxact:displayName> + <ipxact:value>radp_vga_sel_2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_vga_sweep_direction" type="string"> + <ipxact:name>pma_adapt_adp_vga_sweep_direction</ipxact:name> + <ipxact:displayName>pma_adapt_adp_vga_sweep_direction</ipxact:displayName> + <ipxact:value>radp_vga_sweep_direction_1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_vga_threshold" type="string"> + <ipxact:name>pma_adapt_adp_vga_threshold</ipxact:name> + <ipxact:displayName>pma_adapt_adp_vga_threshold</ipxact:displayName> + <ipxact:value>radp_vga_threshold_4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_vref_bw" type="string"> + <ipxact:name>pma_adapt_adp_vref_bw</ipxact:name> + <ipxact:displayName>pma_adapt_adp_vref_bw</ipxact:displayName> + <ipxact:value>radp_vref_bw_1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_vref_bypass" type="string"> + <ipxact:name>pma_adapt_adp_vref_bypass</ipxact:name> + <ipxact:displayName>pma_adapt_adp_vref_bypass</ipxact:displayName> + <ipxact:value>radp_vref_bypass_1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_vref_cycle" type="string"> + <ipxact:name>pma_adapt_adp_vref_cycle</ipxact:name> + <ipxact:displayName>pma_adapt_adp_vref_cycle</ipxact:displayName> + <ipxact:value>radp_vref_cycle_6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_vref_en" type="string"> + <ipxact:name>pma_adapt_adp_vref_en</ipxact:name> + <ipxact:displayName>pma_adapt_adp_vref_en</ipxact:displayName> + <ipxact:value>radp_vref_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_vref_hold_en" type="string"> + <ipxact:name>pma_adapt_adp_vref_hold_en</ipxact:name> + <ipxact:displayName>pma_adapt_adp_vref_hold_en</ipxact:displayName> + <ipxact:value>radp_vref_not_held</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_vref_polarity" type="string"> + <ipxact:name>pma_adapt_adp_vref_polarity</ipxact:name> + <ipxact:displayName>pma_adapt_adp_vref_polarity</ipxact:displayName> + <ipxact:value>radp_vref_polarity_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_vref_sel" type="string"> + <ipxact:name>pma_adapt_adp_vref_sel</ipxact:name> + <ipxact:displayName>pma_adapt_adp_vref_sel</ipxact:displayName> + <ipxact:value>radp_vref_sel_21</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_vref_vga_level" type="string"> + <ipxact:name>pma_adapt_adp_vref_vga_level</ipxact:name> + <ipxact:displayName>pma_adapt_adp_vref_vga_level</ipxact:displayName> + <ipxact:value>radp_vref_vga_level_13</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_datarate" type="string"> + <ipxact:name>pma_adapt_datarate</ipxact:name> + <ipxact:displayName>pma_adapt_datarate</ipxact:displayName> + <ipxact:value>10312500000 bps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_odi_en" type="string"> + <ipxact:name>pma_adapt_odi_en</ipxact:name> + <ipxact:displayName>pma_adapt_odi_en</ipxact:displayName> + <ipxact:value>rodi_en_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_odi_rstn" type="string"> + <ipxact:name>pma_adapt_odi_rstn</ipxact:name> + <ipxact:displayName>pma_adapt_odi_rstn</ipxact:displayName> + <ipxact:value>rodi_rstn_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_odi_spec_sel" type="string"> + <ipxact:name>pma_adapt_odi_spec_sel</ipxact:name> + <ipxact:displayName>pma_adapt_odi_spec_sel</ipxact:displayName> + <ipxact:value>rodi_spec_sel_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_odi_vref_sel" type="string"> + <ipxact:name>pma_adapt_odi_vref_sel</ipxact:name> + <ipxact:displayName>pma_adapt_odi_vref_sel</ipxact:displayName> + <ipxact:value>rodi_vref_sel_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_optimal" type="string"> + <ipxact:name>pma_adapt_optimal</ipxact:name> + <ipxact:displayName>pma_adapt_optimal</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_initial_settings" type="string"> + <ipxact:name>pma_adapt_initial_settings</ipxact:name> + <ipxact:displayName>pma_adapt_initial_settings</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_prot_mode" type="string"> + <ipxact:name>pma_adapt_prot_mode</ipxact:name> + <ipxact:displayName>pma_adapt_prot_mode</ipxact:displayName> + <ipxact:value>basic_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_sup_mode" type="string"> + <ipxact:name>pma_adapt_sup_mode</ipxact:name> + <ipxact:displayName>pma_adapt_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adapt_dfe_control_sel" type="string"> + <ipxact:name>pma_adapt_adapt_dfe_control_sel</ipxact:name> + <ipxact:displayName>pma_adapt_adapt_dfe_control_sel</ipxact:displayName> + <ipxact:value>r_adapt_dfe_control_sel_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_ctle_adapt_bw" type="string"> + <ipxact:name>pma_adapt_adp_ctle_adapt_bw</ipxact:name> + <ipxact:displayName>pma_adapt_adp_ctle_adapt_bw</ipxact:displayName> + <ipxact:value>radp_ctle_adapt_bw_3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_spec_sign" type="string"> + <ipxact:name>pma_adapt_adp_dfe_spec_sign</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_spec_sign</ipxact:displayName> + <ipxact:value>radp_dfe_spec_sign_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_ctle_force_spec_sign" type="string"> + <ipxact:name>pma_adapt_adp_ctle_force_spec_sign</ipxact:name> + <ipxact:displayName>pma_adapt_adp_ctle_force_spec_sign</ipxact:displayName> + <ipxact:value>radp_ctle_force_spec_sign_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_odi_mode" type="string"> + <ipxact:name>pma_adapt_odi_mode</ipxact:name> + <ipxact:displayName>pma_adapt_odi_mode</ipxact:displayName> + <ipxact:value>rodi_mode_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_ctle_threshold" type="string"> + <ipxact:name>pma_adapt_adp_ctle_threshold</ipxact:name> + <ipxact:displayName>pma_adapt_adp_ctle_threshold</ipxact:displayName> + <ipxact:value>radp_ctle_threshold_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_ctle_window" type="string"> + <ipxact:name>pma_adapt_adp_ctle_window</ipxact:name> + <ipxact:displayName>pma_adapt_adp_ctle_window</ipxact:displayName> + <ipxact:value>radp_ctle_window_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_ctle_threshold_en" type="string"> + <ipxact:name>pma_adapt_adp_ctle_threshold_en</ipxact:name> + <ipxact:displayName>pma_adapt_adp_ctle_threshold_en</ipxact:displayName> + <ipxact:value>radp_ctle_threshold_en_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_ctle_spec_sign" type="string"> + <ipxact:name>pma_adapt_adp_ctle_spec_sign</ipxact:name> + <ipxact:displayName>pma_adapt_adp_ctle_spec_sign</ipxact:displayName> + <ipxact:value>radp_ctle_spec_sign_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_odi_control_sel" type="string"> + <ipxact:name>pma_adapt_adp_odi_control_sel</ipxact:name> + <ipxact:displayName>pma_adapt_adp_odi_control_sel</ipxact:displayName> + <ipxact:value>radp_odi_control_sel_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_spec_avg_window" type="string"> + <ipxact:name>pma_adapt_adp_spec_avg_window</ipxact:name> + <ipxact:displayName>pma_adapt_adp_spec_avg_window</ipxact:displayName> + <ipxact:value>radp_spec_avg_window_4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_ctle_adapt_cycle_window" type="string"> + <ipxact:name>pma_adapt_adp_ctle_adapt_cycle_window</ipxact:name> + <ipxact:displayName>pma_adapt_adp_ctle_adapt_cycle_window</ipxact:displayName> + <ipxact:value>radp_ctle_adapt_cycle_window_7</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_odi_dfe_spec_en" type="string"> + <ipxact:name>pma_adapt_odi_dfe_spec_en</ipxact:name> + <ipxact:displayName>pma_adapt_odi_dfe_spec_en</ipxact:displayName> + <ipxact:value>rodi_dfe_spec_en_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_clkout_div_sel" type="string"> + <ipxact:name>pma_adapt_adp_dfe_clkout_div_sel</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_clkout_div_sel</ipxact:displayName> + <ipxact:value>radp_dfe_clkout_div_sel_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_ctle_load_value" type="string"> + <ipxact:name>pma_adapt_adp_ctle_load_value</ipxact:name> + <ipxact:displayName>pma_adapt_adp_ctle_load_value</ipxact:displayName> + <ipxact:value>radp_ctle_load_value_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_rrx_pcie_eqz" type="string"> + <ipxact:name>pma_adapt_rrx_pcie_eqz</ipxact:name> + <ipxact:displayName>pma_adapt_rrx_pcie_eqz</ipxact:displayName> + <ipxact:value>rrx_pcie_eqz_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_bist_mode" type="string"> + <ipxact:name>pma_adapt_adp_bist_mode</ipxact:name> + <ipxact:displayName>pma_adapt_adp_bist_mode</ipxact:displayName> + <ipxact:value>radp_bist_mode_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adapt_dfe_sel" type="string"> + <ipxact:name>pma_adapt_adapt_dfe_sel</ipxact:name> + <ipxact:displayName>pma_adapt_adapt_dfe_sel</ipxact:displayName> + <ipxact:value>r_adapt_dfe_sel_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_spec_trans_filter" type="string"> + <ipxact:name>pma_adapt_adp_spec_trans_filter</ipxact:name> + <ipxact:displayName>pma_adapt_adp_spec_trans_filter</ipxact:displayName> + <ipxact:value>radp_spec_trans_filter_2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_frame_en" type="string"> + <ipxact:name>pma_adapt_adp_frame_en</ipxact:name> + <ipxact:displayName>pma_adapt_adp_frame_en</ipxact:displayName> + <ipxact:value>radp_frame_en_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_odi_count_threshold" type="string"> + <ipxact:name>pma_adapt_odi_count_threshold</ipxact:name> + <ipxact:displayName>pma_adapt_odi_count_threshold</ipxact:displayName> + <ipxact:value>rodi_count_threshold_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_bist_spec_en" type="string"> + <ipxact:name>pma_adapt_adp_bist_spec_en</ipxact:name> + <ipxact:displayName>pma_adapt_adp_bist_spec_en</ipxact:displayName> + <ipxact:value>radp_bist_spec_en_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adapt_mode" type="string"> + <ipxact:name>pma_adapt_adapt_mode</ipxact:name> + <ipxact:displayName>pma_adapt_adapt_mode</ipxact:displayName> + <ipxact:value>manual</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_ctle_adapt_oneshot" type="string"> + <ipxact:name>pma_adapt_adp_ctle_adapt_oneshot</ipxact:name> + <ipxact:displayName>pma_adapt_adp_ctle_adapt_oneshot</ipxact:displayName> + <ipxact:value>radp_ctle_adapt_oneshot_1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_bist_auxpath_en" type="string"> + <ipxact:name>pma_adapt_adp_bist_auxpath_en</ipxact:name> + <ipxact:displayName>pma_adapt_adp_bist_auxpath_en</ipxact:displayName> + <ipxact:value>radp_bist_auxpath_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_frame_out_sel" type="string"> + <ipxact:name>pma_adapt_adp_frame_out_sel</ipxact:name> + <ipxact:displayName>pma_adapt_adp_frame_out_sel</ipxact:displayName> + <ipxact:value>radp_frame_out_sel_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adapt_vga_sel" type="string"> + <ipxact:name>pma_adapt_adapt_vga_sel</ipxact:name> + <ipxact:displayName>pma_adapt_adapt_vga_sel</ipxact:displayName> + <ipxact:value>r_adapt_vga_sel_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_vref_load" type="string"> + <ipxact:name>pma_adapt_adp_vref_load</ipxact:name> + <ipxact:displayName>pma_adapt_adp_vref_load</ipxact:displayName> + <ipxact:value>radp_vref_load_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_ctle_scale_en" type="string"> + <ipxact:name>pma_adapt_adp_ctle_scale_en</ipxact:name> + <ipxact:displayName>pma_adapt_adp_ctle_scale_en</ipxact:displayName> + <ipxact:value>radp_ctle_scale_en_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_onetime_dfe" type="string"> + <ipxact:name>pma_adapt_adp_onetime_dfe</ipxact:name> + <ipxact:displayName>pma_adapt_adp_onetime_dfe</ipxact:displayName> + <ipxact:value>radp_onetime_dfe_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_force_spec_sign" type="string"> + <ipxact:name>pma_adapt_adp_dfe_force_spec_sign</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_force_spec_sign</ipxact:displayName> + <ipxact:value>radp_dfe_force_spec_sign_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_frame_odi_sel" type="string"> + <ipxact:name>pma_adapt_adp_frame_odi_sel</ipxact:name> + <ipxact:displayName>pma_adapt_adp_frame_odi_sel</ipxact:displayName> + <ipxact:value>radp_frame_odi_sel_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_bist_datapath_en" type="string"> + <ipxact:name>pma_adapt_adp_bist_datapath_en</ipxact:name> + <ipxact:displayName>pma_adapt_adp_bist_datapath_en</ipxact:displayName> + <ipxact:value>radp_bist_datapath_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_control_mux_bypass" type="string"> + <ipxact:name>pma_adapt_adp_control_mux_bypass</ipxact:name> + <ipxact:displayName>pma_adapt_adp_control_mux_bypass</ipxact:displayName> + <ipxact:value>radp_control_mux_bypass_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_ctle_vref_polarity" type="string"> + <ipxact:name>pma_adapt_adp_ctle_vref_polarity</ipxact:name> + <ipxact:displayName>pma_adapt_adp_ctle_vref_polarity</ipxact:displayName> + <ipxact:value>radp_ctle_vref_polarity_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_bist_count_rstn" type="string"> + <ipxact:name>pma_adapt_adp_bist_count_rstn</ipxact:name> + <ipxact:displayName>pma_adapt_adp_bist_count_rstn</ipxact:displayName> + <ipxact:value>radp_bist_count_rstn_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_ctle_eqz_1s_sel" type="string"> + <ipxact:name>pma_adapt_adp_ctle_eqz_1s_sel</ipxact:name> + <ipxact:displayName>pma_adapt_adp_ctle_eqz_1s_sel</ipxact:displayName> + <ipxact:value>radp_ctle_eqz_1s_sel_3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_vref_dfe_spec_en" type="string"> + <ipxact:name>pma_adapt_adp_vref_dfe_spec_en</ipxact:name> + <ipxact:displayName>pma_adapt_adp_vref_dfe_spec_en</ipxact:displayName> + <ipxact:value>radp_vref_dfe_spec_en_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_adapt_rstn" type="string"> + <ipxact:name>pma_adapt_adp_adapt_rstn</ipxact:name> + <ipxact:displayName>pma_adapt_adp_adapt_rstn</ipxact:displayName> + <ipxact:value>radp_adapt_rstn_1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_adapt_start" type="string"> + <ipxact:name>pma_adapt_adp_adapt_start</ipxact:name> + <ipxact:displayName>pma_adapt_adp_adapt_start</ipxact:displayName> + <ipxact:value>radp_adapt_start_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_odi_start" type="string"> + <ipxact:name>pma_adapt_odi_start</ipxact:name> + <ipxact:displayName>pma_adapt_odi_start</ipxact:displayName> + <ipxact:value>rodi_start_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_ctle_sweep_direction" type="string"> + <ipxact:name>pma_adapt_adp_ctle_sweep_direction</ipxact:name> + <ipxact:displayName>pma_adapt_adp_ctle_sweep_direction</ipxact:displayName> + <ipxact:value>radp_ctle_sweep_direction_1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_vga_load" type="string"> + <ipxact:name>pma_adapt_adp_vga_load</ipxact:name> + <ipxact:displayName>pma_adapt_adp_vga_load</ipxact:displayName> + <ipxact:value>radp_vga_load_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_frame_capture" type="string"> + <ipxact:name>pma_adapt_adp_frame_capture</ipxact:name> + <ipxact:displayName>pma_adapt_adp_frame_capture</ipxact:displayName> + <ipxact:value>radp_frame_capture_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_adapt_control_sel" type="string"> + <ipxact:name>pma_adapt_adp_adapt_control_sel</ipxact:name> + <ipxact:displayName>pma_adapt_adp_adapt_control_sel</ipxact:displayName> + <ipxact:value>radp_adapt_control_sel_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_bist_odi_dfe_sel" type="string"> + <ipxact:name>pma_adapt_adp_bist_odi_dfe_sel</ipxact:name> + <ipxact:displayName>pma_adapt_adp_bist_odi_dfe_sel</ipxact:displayName> + <ipxact:value>radp_bist_odi_dfe_sel_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adapt_vref_sel" type="string"> + <ipxact:name>pma_adapt_adapt_vref_sel</ipxact:name> + <ipxact:displayName>pma_adapt_adapt_vref_sel</ipxact:displayName> + <ipxact:value>r_adapt_vref_sel_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_mode" type="string"> + <ipxact:name>pma_adapt_adp_mode</ipxact:name> + <ipxact:displayName>pma_adapt_adp_mode</ipxact:displayName> + <ipxact:value>radp_mode_8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_ctle_load" type="string"> + <ipxact:name>pma_adapt_adp_ctle_load</ipxact:name> + <ipxact:displayName>pma_adapt_adp_ctle_load</ipxact:displayName> + <ipxact:value>radp_ctle_load_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_cdr_clkin_scratch0_src" type="string"> + <ipxact:name>pma_cdr_refclk_cdr_clkin_scratch0_src</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_cdr_clkin_scratch0_src</ipxact:displayName> + <ipxact:value>cdr_clkin_scratch0_src_refclk_iqclk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_cdr_clkin_scratch1_src" type="string"> + <ipxact:name>pma_cdr_refclk_cdr_clkin_scratch1_src</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_cdr_clkin_scratch1_src</ipxact:displayName> + <ipxact:value>cdr_clkin_scratch1_src_refclk_iqclk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_cdr_clkin_scratch2_src" type="string"> + <ipxact:name>pma_cdr_refclk_cdr_clkin_scratch2_src</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_cdr_clkin_scratch2_src</ipxact:displayName> + <ipxact:value>cdr_clkin_scratch2_src_refclk_iqclk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_cdr_clkin_scratch3_src" type="string"> + <ipxact:name>pma_cdr_refclk_cdr_clkin_scratch3_src</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_cdr_clkin_scratch3_src</ipxact:displayName> + <ipxact:value>cdr_clkin_scratch3_src_refclk_iqclk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_cdr_clkin_scratch4_src" type="string"> + <ipxact:name>pma_cdr_refclk_cdr_clkin_scratch4_src</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_cdr_clkin_scratch4_src</ipxact:displayName> + <ipxact:value>cdr_clkin_scratch4_src_refclk_iqclk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_powerdown_mode" type="string"> + <ipxact:name>pma_cdr_refclk_powerdown_mode</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_powerdown_mode</ipxact:displayName> + <ipxact:value>powerup</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_receiver_detect_src" type="string"> + <ipxact:name>pma_cdr_refclk_receiver_detect_src</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_receiver_detect_src</ipxact:displayName> + <ipxact:value>iqclk_src</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_xmux_refclk_src" type="string"> + <ipxact:name>pma_cdr_refclk_xmux_refclk_src</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_xmux_refclk_src</ipxact:displayName> + <ipxact:value>refclk_iqclk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_xpm_iqref_mux_iqclk_sel" type="string"> + <ipxact:name>pma_cdr_refclk_xpm_iqref_mux_iqclk_sel</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_xpm_iqref_mux_iqclk_sel</ipxact:displayName> + <ipxact:value>power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_xpm_iqref_mux_scratch0_src" type="string"> + <ipxact:name>pma_cdr_refclk_xpm_iqref_mux_scratch0_src</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_xpm_iqref_mux_scratch0_src</ipxact:displayName> + <ipxact:value>scratch0_power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_xpm_iqref_mux_scratch1_src" type="string"> + <ipxact:name>pma_cdr_refclk_xpm_iqref_mux_scratch1_src</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_xpm_iqref_mux_scratch1_src</ipxact:displayName> + <ipxact:value>scratch1_power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_xpm_iqref_mux_scratch2_src" type="string"> + <ipxact:name>pma_cdr_refclk_xpm_iqref_mux_scratch2_src</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_xpm_iqref_mux_scratch2_src</ipxact:displayName> + <ipxact:value>scratch2_power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_xpm_iqref_mux_scratch3_src" type="string"> + <ipxact:name>pma_cdr_refclk_xpm_iqref_mux_scratch3_src</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_xpm_iqref_mux_scratch3_src</ipxact:displayName> + <ipxact:value>scratch3_power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_xpm_iqref_mux_scratch4_src" type="string"> + <ipxact:name>pma_cdr_refclk_xpm_iqref_mux_scratch4_src</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_xpm_iqref_mux_scratch4_src</ipxact:displayName> + <ipxact:value>scratch4_power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_refclk_select" type="string"> + <ipxact:name>pma_cdr_refclk_refclk_select</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_refclk_select</ipxact:displayName> + <ipxact:value>ref_iqclk0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_silicon_rev" type="string"> + <ipxact:name>pma_cdr_refclk_silicon_rev</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_inclk0_logical_to_physical_mapping" type="string"> + <ipxact:name>pma_cdr_refclk_inclk0_logical_to_physical_mapping</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_inclk0_logical_to_physical_mapping</ipxact:displayName> + <ipxact:value>ref_iqclk0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_inclk1_logical_to_physical_mapping" type="string"> + <ipxact:name>pma_cdr_refclk_inclk1_logical_to_physical_mapping</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_inclk1_logical_to_physical_mapping</ipxact:displayName> + <ipxact:value>ref_iqclk0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_inclk2_logical_to_physical_mapping" type="string"> + <ipxact:name>pma_cdr_refclk_inclk2_logical_to_physical_mapping</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_inclk2_logical_to_physical_mapping</ipxact:displayName> + <ipxact:value>ref_iqclk0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_inclk3_logical_to_physical_mapping" type="string"> + <ipxact:name>pma_cdr_refclk_inclk3_logical_to_physical_mapping</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_inclk3_logical_to_physical_mapping</ipxact:displayName> + <ipxact:value>ref_iqclk0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_inclk4_logical_to_physical_mapping" type="string"> + <ipxact:name>pma_cdr_refclk_inclk4_logical_to_physical_mapping</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_inclk4_logical_to_physical_mapping</ipxact:displayName> + <ipxact:value>ref_iqclk0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_silicon_rev" type="string"> + <ipxact:name>pma_cgb_silicon_rev</ipxact:name> + <ipxact:displayName>pma_cgb_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_observe_cgb_clocks" type="string"> + <ipxact:name>pma_cgb_observe_cgb_clocks</ipxact:name> + <ipxact:displayName>pma_cgb_observe_cgb_clocks</ipxact:displayName> + <ipxact:value>observe_nothing</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_bitslip_enable" type="string"> + <ipxact:name>pma_cgb_bitslip_enable</ipxact:name> + <ipxact:displayName>pma_cgb_bitslip_enable</ipxact:displayName> + <ipxact:value>disable_bitslip</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_bonding_mode" type="string"> + <ipxact:name>pma_cgb_bonding_mode</ipxact:name> + <ipxact:displayName>pma_cgb_bonding_mode</ipxact:displayName> + <ipxact:value>x1_non_bonded</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_bonding_reset_enable" type="string"> + <ipxact:name>pma_cgb_bonding_reset_enable</ipxact:name> + <ipxact:displayName>pma_cgb_bonding_reset_enable</ipxact:displayName> + <ipxact:value>disallow_bonding_reset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_cgb_power_down" type="string"> + <ipxact:name>pma_cgb_cgb_power_down</ipxact:name> + <ipxact:displayName>pma_cgb_cgb_power_down</ipxact:displayName> + <ipxact:value>normal_cgb</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_datarate" type="string"> + <ipxact:name>pma_cgb_datarate</ipxact:name> + <ipxact:displayName>pma_cgb_datarate</ipxact:displayName> + <ipxact:value>10312500000 bps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_pcie_gen3_bitwidth" type="string"> + <ipxact:name>pma_cgb_pcie_gen3_bitwidth</ipxact:name> + <ipxact:displayName>pma_cgb_pcie_gen3_bitwidth</ipxact:displayName> + <ipxact:value>pciegen3_wide</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_prot_mode" type="string"> + <ipxact:name>pma_cgb_prot_mode</ipxact:name> + <ipxact:displayName>pma_cgb_prot_mode</ipxact:displayName> + <ipxact:value>basic_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_scratch0_x1_clock_src" type="string"> + <ipxact:name>pma_cgb_scratch0_x1_clock_src</ipxact:name> + <ipxact:displayName>pma_cgb_scratch0_x1_clock_src</ipxact:displayName> + <ipxact:value>unused</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_scratch1_x1_clock_src" type="string"> + <ipxact:name>pma_cgb_scratch1_x1_clock_src</ipxact:name> + <ipxact:displayName>pma_cgb_scratch1_x1_clock_src</ipxact:displayName> + <ipxact:value>unused</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_scratch2_x1_clock_src" type="string"> + <ipxact:name>pma_cgb_scratch2_x1_clock_src</ipxact:name> + <ipxact:displayName>pma_cgb_scratch2_x1_clock_src</ipxact:displayName> + <ipxact:value>unused</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_scratch3_x1_clock_src" type="string"> + <ipxact:name>pma_cgb_scratch3_x1_clock_src</ipxact:name> + <ipxact:displayName>pma_cgb_scratch3_x1_clock_src</ipxact:displayName> + <ipxact:value>unused</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_select_done_master_or_slave" type="string"> + <ipxact:name>pma_cgb_select_done_master_or_slave</ipxact:name> + <ipxact:displayName>pma_cgb_select_done_master_or_slave</ipxact:displayName> + <ipxact:value>choose_master_pcie_sw_done</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_ser_mode" type="string"> + <ipxact:name>pma_cgb_ser_mode</ipxact:name> + <ipxact:displayName>pma_cgb_ser_mode</ipxact:displayName> + <ipxact:value>thirty_two_bit</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_ser_powerdown" type="string"> + <ipxact:name>pma_cgb_ser_powerdown</ipxact:name> + <ipxact:displayName>pma_cgb_ser_powerdown</ipxact:displayName> + <ipxact:value>normal_poweron_ser</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_sup_mode" type="string"> + <ipxact:name>pma_cgb_sup_mode</ipxact:name> + <ipxact:displayName>pma_cgb_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_vccdreg_output" type="string"> + <ipxact:name>pma_cgb_vccdreg_output</ipxact:name> + <ipxact:displayName>pma_cgb_vccdreg_output</ipxact:displayName> + <ipxact:value>vccdreg_nominal</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_x1_clock_source_sel" type="string"> + <ipxact:name>pma_cgb_x1_clock_source_sel</ipxact:name> + <ipxact:displayName>pma_cgb_x1_clock_source_sel</ipxact:displayName> + <ipxact:value>cdr_txpll_t</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_x1_div_m_sel" type="string"> + <ipxact:name>pma_cgb_x1_div_m_sel</ipxact:name> + <ipxact:displayName>pma_cgb_x1_div_m_sel</ipxact:displayName> + <ipxact:value>divbypass</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_xn_clock_source_sel" type="string"> + <ipxact:name>pma_cgb_xn_clock_source_sel</ipxact:name> + <ipxact:displayName>pma_cgb_xn_clock_source_sel</ipxact:displayName> + <ipxact:value>sel_xn_up</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_input_select_x1" type="string"> + <ipxact:name>pma_cgb_input_select_x1</ipxact:name> + <ipxact:displayName>pma_cgb_input_select_x1</ipxact:displayName> + <ipxact:value>fpll_bot</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_input_select_gen3" type="string"> + <ipxact:name>pma_cgb_input_select_gen3</ipxact:name> + <ipxact:displayName>pma_cgb_input_select_gen3</ipxact:displayName> + <ipxact:value>unused</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_input_select_xn" type="string"> + <ipxact:name>pma_cgb_input_select_xn</ipxact:name> + <ipxact:displayName>pma_cgb_input_select_xn</ipxact:displayName> + <ipxact:value>unused</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_tx_ucontrol_reset" type="string"> + <ipxact:name>pma_cgb_tx_ucontrol_reset</ipxact:name> + <ipxact:displayName>pma_cgb_tx_ucontrol_reset</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_tx_ucontrol_en" type="string"> + <ipxact:name>pma_cgb_tx_ucontrol_en</ipxact:name> + <ipxact:displayName>pma_cgb_tx_ucontrol_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_initial_settings" type="string"> + <ipxact:name>pma_cgb_initial_settings</ipxact:name> + <ipxact:displayName>pma_cgb_initial_settings</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_tx_ucontrol_pcie" type="string"> + <ipxact:name>pma_cgb_tx_ucontrol_pcie</ipxact:name> + <ipxact:displayName>pma_cgb_tx_ucontrol_pcie</ipxact:displayName> + <ipxact:value>gen1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_dprio_cgb_vreg_boost" type="string"> + <ipxact:name>pma_cgb_dprio_cgb_vreg_boost</ipxact:name> + <ipxact:displayName>pma_cgb_dprio_cgb_vreg_boost</ipxact:displayName> + <ipxact:value>no_voltage_boost</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_silicon_rev" type="string"> + <ipxact:name>pma_rx_dfe_silicon_rev</ipxact:name> + <ipxact:displayName>pma_rx_dfe_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_atb_select" type="string"> + <ipxact:name>pma_rx_dfe_atb_select</ipxact:name> + <ipxact:displayName>pma_rx_dfe_atb_select</ipxact:displayName> + <ipxact:value>atb_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_datarate" type="string"> + <ipxact:name>pma_rx_dfe_datarate</ipxact:name> + <ipxact:displayName>pma_rx_dfe_datarate</ipxact:displayName> + <ipxact:value>10312500000 bps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_dft_en" type="string"> + <ipxact:name>pma_rx_dfe_dft_en</ipxact:name> + <ipxact:displayName>pma_rx_dfe_dft_en</ipxact:displayName> + <ipxact:value>dft_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_oc_sa_c270" type="int"> + <ipxact:name>pma_rx_dfe_oc_sa_c270</ipxact:name> + <ipxact:displayName>pma_rx_dfe_oc_sa_c270</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_oc_sa_c90" type="int"> + <ipxact:name>pma_rx_dfe_oc_sa_c90</ipxact:name> + <ipxact:displayName>pma_rx_dfe_oc_sa_c90</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_oc_sa_d0c0" type="int"> + <ipxact:name>pma_rx_dfe_oc_sa_d0c0</ipxact:name> + <ipxact:displayName>pma_rx_dfe_oc_sa_d0c0</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_oc_sa_d0c180" type="int"> + <ipxact:name>pma_rx_dfe_oc_sa_d0c180</ipxact:name> + <ipxact:displayName>pma_rx_dfe_oc_sa_d0c180</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_oc_sa_d1c0" type="int"> + <ipxact:name>pma_rx_dfe_oc_sa_d1c0</ipxact:name> + <ipxact:displayName>pma_rx_dfe_oc_sa_d1c0</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_oc_sa_d1c180" type="int"> + <ipxact:name>pma_rx_dfe_oc_sa_d1c180</ipxact:name> + <ipxact:displayName>pma_rx_dfe_oc_sa_d1c180</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_optimal" type="string"> + <ipxact:name>pma_rx_dfe_optimal</ipxact:name> + <ipxact:displayName>pma_rx_dfe_optimal</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_pdb" type="string"> + <ipxact:name>pma_rx_dfe_pdb</ipxact:name> + <ipxact:displayName>pma_rx_dfe_pdb</ipxact:displayName> + <ipxact:value>dfe_enable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_pdb_fixedtap" type="string"> + <ipxact:name>pma_rx_dfe_pdb_fixedtap</ipxact:name> + <ipxact:displayName>pma_rx_dfe_pdb_fixedtap</ipxact:displayName> + <ipxact:value>fixtap_dfe_powerdown</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_pdb_floattap" type="string"> + <ipxact:name>pma_rx_dfe_pdb_floattap</ipxact:name> + <ipxact:displayName>pma_rx_dfe_pdb_floattap</ipxact:displayName> + <ipxact:value>floattap_dfe_powerdown</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_pdb_fxtap4t7" type="string"> + <ipxact:name>pma_rx_dfe_pdb_fxtap4t7</ipxact:name> + <ipxact:displayName>pma_rx_dfe_pdb_fxtap4t7</ipxact:displayName> + <ipxact:value>fxtap4t7_powerdown</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_power_mode" type="string"> + <ipxact:name>pma_rx_dfe_power_mode</ipxact:name> + <ipxact:displayName>pma_rx_dfe_power_mode</ipxact:displayName> + <ipxact:value>mid_power</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_sel_fltapstep_dec" type="string"> + <ipxact:name>pma_rx_dfe_sel_fltapstep_dec</ipxact:name> + <ipxact:displayName>pma_rx_dfe_sel_fltapstep_dec</ipxact:displayName> + <ipxact:value>fltap_step_no_dec</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_sel_fltapstep_inc" type="string"> + <ipxact:name>pma_rx_dfe_sel_fltapstep_inc</ipxact:name> + <ipxact:displayName>pma_rx_dfe_sel_fltapstep_inc</ipxact:displayName> + <ipxact:value>fltap_step_no_inc</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_sel_fxtapstep_dec" type="string"> + <ipxact:name>pma_rx_dfe_sel_fxtapstep_dec</ipxact:name> + <ipxact:displayName>pma_rx_dfe_sel_fxtapstep_dec</ipxact:displayName> + <ipxact:value>fxtap_step_no_dec</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_sel_fxtapstep_inc" type="string"> + <ipxact:name>pma_rx_dfe_sel_fxtapstep_inc</ipxact:name> + <ipxact:displayName>pma_rx_dfe_sel_fxtapstep_inc</ipxact:displayName> + <ipxact:value>fxtap_step_no_inc</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_sel_oc_en" type="string"> + <ipxact:name>pma_rx_dfe_sel_oc_en</ipxact:name> + <ipxact:displayName>pma_rx_dfe_sel_oc_en</ipxact:displayName> + <ipxact:value>off_canc_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_sel_probe_tstmx" type="string"> + <ipxact:name>pma_rx_dfe_sel_probe_tstmx</ipxact:name> + <ipxact:displayName>pma_rx_dfe_sel_probe_tstmx</ipxact:displayName> + <ipxact:value>probe_tstmx_none</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_sup_mode" type="string"> + <ipxact:name>pma_rx_dfe_sup_mode</ipxact:name> + <ipxact:displayName>pma_rx_dfe_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_uc_rx_dfe_cal" type="string"> + <ipxact:name>pma_rx_dfe_uc_rx_dfe_cal</ipxact:name> + <ipxact:displayName>pma_rx_dfe_uc_rx_dfe_cal</ipxact:displayName> + <ipxact:value>uc_rx_dfe_cal_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_uc_rx_dfe_cal_status" type="string"> + <ipxact:name>pma_rx_dfe_uc_rx_dfe_cal_status</ipxact:name> + <ipxact:displayName>pma_rx_dfe_uc_rx_dfe_cal_status</ipxact:displayName> + <ipxact:value>uc_rx_dfe_cal_notdone</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_oc_sa_adp1" type="int"> + <ipxact:name>pma_rx_dfe_oc_sa_adp1</ipxact:name> + <ipxact:displayName>pma_rx_dfe_oc_sa_adp1</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_oc_sa_adp2" type="int"> + <ipxact:name>pma_rx_dfe_oc_sa_adp2</ipxact:name> + <ipxact:displayName>pma_rx_dfe_oc_sa_adp2</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_initial_settings" type="string"> + <ipxact:name>pma_rx_dfe_initial_settings</ipxact:name> + <ipxact:displayName>pma_rx_dfe_initial_settings</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_prot_mode" type="string"> + <ipxact:name>pma_rx_dfe_prot_mode</ipxact:name> + <ipxact:displayName>pma_rx_dfe_prot_mode</ipxact:displayName> + <ipxact:value>basic_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_silicon_rev" type="string"> + <ipxact:name>pma_rx_odi_silicon_rev</ipxact:name> + <ipxact:displayName>pma_rx_odi_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_datarate" type="string"> + <ipxact:name>pma_rx_odi_datarate</ipxact:name> + <ipxact:displayName>pma_rx_odi_datarate</ipxact:displayName> + <ipxact:value>10312500000 bps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_enable_odi" type="string"> + <ipxact:name>pma_rx_odi_enable_odi</ipxact:name> + <ipxact:displayName>pma_rx_odi_enable_odi</ipxact:displayName> + <ipxact:value>power_down_eye</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_monitor_bw_sel" type="string"> + <ipxact:name>pma_rx_odi_monitor_bw_sel</ipxact:name> + <ipxact:displayName>pma_rx_odi_monitor_bw_sel</ipxact:displayName> + <ipxact:value>bw_1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_optimal" type="string"> + <ipxact:name>pma_rx_odi_optimal</ipxact:name> + <ipxact:displayName>pma_rx_odi_optimal</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_phase_steps_64_vs_128" type="string"> + <ipxact:name>pma_rx_odi_phase_steps_64_vs_128</ipxact:name> + <ipxact:displayName>pma_rx_odi_phase_steps_64_vs_128</ipxact:displayName> + <ipxact:value>phase_steps_64</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_phase_steps_sel" type="string"> + <ipxact:name>pma_rx_odi_phase_steps_sel</ipxact:name> + <ipxact:displayName>pma_rx_odi_phase_steps_sel</ipxact:displayName> + <ipxact:value>step40</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_power_mode" type="string"> + <ipxact:name>pma_rx_odi_power_mode</ipxact:name> + <ipxact:displayName>pma_rx_odi_power_mode</ipxact:displayName> + <ipxact:value>mid_power</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_sup_mode" type="string"> + <ipxact:name>pma_rx_odi_sup_mode</ipxact:name> + <ipxact:displayName>pma_rx_odi_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_v_vert_threshold_scaling" type="string"> + <ipxact:name>pma_rx_odi_v_vert_threshold_scaling</ipxact:name> + <ipxact:displayName>pma_rx_odi_v_vert_threshold_scaling</ipxact:displayName> + <ipxact:value>scale_3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_vert_threshold" type="string"> + <ipxact:name>pma_rx_odi_vert_threshold</ipxact:name> + <ipxact:displayName>pma_rx_odi_vert_threshold</ipxact:displayName> + <ipxact:value>vert_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_oc_sa_c0" type="int"> + <ipxact:name>pma_rx_odi_oc_sa_c0</ipxact:name> + <ipxact:displayName>pma_rx_odi_oc_sa_c0</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_initial_settings" type="string"> + <ipxact:name>pma_rx_odi_initial_settings</ipxact:name> + <ipxact:displayName>pma_rx_odi_initial_settings</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_v_vert_sel" type="string"> + <ipxact:name>pma_rx_odi_v_vert_sel</ipxact:name> + <ipxact:displayName>pma_rx_odi_v_vert_sel</ipxact:displayName> + <ipxact:value>plus</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_sel_oc_en" type="string"> + <ipxact:name>pma_rx_odi_sel_oc_en</ipxact:name> + <ipxact:displayName>pma_rx_odi_sel_oc_en</ipxact:displayName> + <ipxact:value>off_canc_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_clk_dcd_bypass" type="string"> + <ipxact:name>pma_rx_odi_clk_dcd_bypass</ipxact:name> + <ipxact:displayName>pma_rx_odi_clk_dcd_bypass</ipxact:displayName> + <ipxact:value>no_bypass</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_invert_dfe_vref" type="string"> + <ipxact:name>pma_rx_odi_invert_dfe_vref</ipxact:name> + <ipxact:displayName>pma_rx_odi_invert_dfe_vref</ipxact:displayName> + <ipxact:value>no_inversion</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_step_ctrl_sel" type="string"> + <ipxact:name>pma_rx_odi_step_ctrl_sel</ipxact:name> + <ipxact:displayName>pma_rx_odi_step_ctrl_sel</ipxact:displayName> + <ipxact:value>dprio_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_prot_mode" type="string"> + <ipxact:name>pma_rx_odi_prot_mode</ipxact:name> + <ipxact:displayName>pma_rx_odi_prot_mode</ipxact:displayName> + <ipxact:value>basic_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_oc_sa_c180" type="int"> + <ipxact:name>pma_rx_odi_oc_sa_c180</ipxact:name> + <ipxact:displayName>pma_rx_odi_oc_sa_c180</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_silicon_rev" type="string"> + <ipxact:name>pma_rx_buf_silicon_rev</ipxact:name> + <ipxact:displayName>pma_rx_buf_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_bypass_eqz_stages_234" type="string"> + <ipxact:name>pma_rx_buf_bypass_eqz_stages_234</ipxact:name> + <ipxact:displayName>pma_rx_buf_bypass_eqz_stages_234</ipxact:displayName> + <ipxact:value>bypass_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_cdrclk_to_cgb" type="string"> + <ipxact:name>pma_rx_buf_cdrclk_to_cgb</ipxact:name> + <ipxact:displayName>pma_rx_buf_cdrclk_to_cgb</ipxact:displayName> + <ipxact:value>cdrclk_2cgb_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_datarate" type="string"> + <ipxact:name>pma_rx_buf_datarate</ipxact:name> + <ipxact:displayName>pma_rx_buf_datarate</ipxact:displayName> + <ipxact:value>10312500000 bps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_diag_lp_en" type="string"> + <ipxact:name>pma_rx_buf_diag_lp_en</ipxact:name> + <ipxact:displayName>pma_rx_buf_diag_lp_en</ipxact:displayName> + <ipxact:value>dlp_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_eq_bw_sel" type="string"> + <ipxact:name>pma_rx_buf_eq_bw_sel</ipxact:name> + <ipxact:displayName>pma_rx_buf_eq_bw_sel</ipxact:displayName> + <ipxact:value>eq_bw_3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_input_vcm_sel" type="string"> + <ipxact:name>pma_rx_buf_input_vcm_sel</ipxact:name> + <ipxact:displayName>pma_rx_buf_input_vcm_sel</ipxact:displayName> + <ipxact:value>high_vcm</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_link_rx" type="string"> + <ipxact:name>pma_rx_buf_link_rx</ipxact:name> + <ipxact:displayName>pma_rx_buf_link_rx</ipxact:displayName> + <ipxact:value>sr</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_offset_cal_pd" type="string"> + <ipxact:name>pma_rx_buf_offset_cal_pd</ipxact:name> + <ipxact:displayName>pma_rx_buf_offset_cal_pd</ipxact:displayName> + <ipxact:value>eqz1_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_offset_cancellation_ctrl" type="string"> + <ipxact:name>pma_rx_buf_offset_cancellation_ctrl</ipxact:name> + <ipxact:displayName>pma_rx_buf_offset_cancellation_ctrl</ipxact:displayName> + <ipxact:value>volt_0mv</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_offset_pd" type="string"> + <ipxact:name>pma_rx_buf_offset_pd</ipxact:name> + <ipxact:displayName>pma_rx_buf_offset_pd</ipxact:displayName> + <ipxact:value>oc_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_optimal" type="string"> + <ipxact:name>pma_rx_buf_optimal</ipxact:name> + <ipxact:displayName>pma_rx_buf_optimal</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_pdb_rx" type="string"> + <ipxact:name>pma_rx_buf_pdb_rx</ipxact:name> + <ipxact:displayName>pma_rx_buf_pdb_rx</ipxact:displayName> + <ipxact:value>normal_rx_on</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_power_mode_rx" type="string"> + <ipxact:name>pma_rx_buf_power_mode_rx</ipxact:name> + <ipxact:displayName>pma_rx_buf_power_mode_rx</ipxact:displayName> + <ipxact:value>mid_power</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_prot_mode" type="string"> + <ipxact:name>pma_rx_buf_prot_mode</ipxact:name> + <ipxact:displayName>pma_rx_buf_prot_mode</ipxact:displayName> + <ipxact:value>basic_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_qpi_enable" type="string"> + <ipxact:name>pma_rx_buf_qpi_enable</ipxact:name> + <ipxact:displayName>pma_rx_buf_qpi_enable</ipxact:displayName> + <ipxact:value>non_qpi_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_rx_atb_select" type="string"> + <ipxact:name>pma_rx_buf_rx_atb_select</ipxact:name> + <ipxact:displayName>pma_rx_buf_rx_atb_select</ipxact:displayName> + <ipxact:value>atb_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_rx_refclk_divider" type="string"> + <ipxact:name>pma_rx_buf_rx_refclk_divider</ipxact:name> + <ipxact:displayName>pma_rx_buf_rx_refclk_divider</ipxact:displayName> + <ipxact:value>bypass_divider</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_rx_sel_bias_source" type="string"> + <ipxact:name>pma_rx_buf_rx_sel_bias_source</ipxact:name> + <ipxact:displayName>pma_rx_buf_rx_sel_bias_source</ipxact:displayName> + <ipxact:value>bias_vcmdrv</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_sup_mode" type="string"> + <ipxact:name>pma_rx_buf_sup_mode</ipxact:name> + <ipxact:displayName>pma_rx_buf_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_term_sel" type="string"> + <ipxact:name>pma_rx_buf_term_sel</ipxact:name> + <ipxact:displayName>pma_rx_buf_term_sel</ipxact:displayName> + <ipxact:value>r_r1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_vccela_supply_voltage" type="string"> + <ipxact:name>pma_rx_buf_vccela_supply_voltage</ipxact:name> + <ipxact:displayName>pma_rx_buf_vccela_supply_voltage</ipxact:displayName> + <ipxact:value>vccela_1p1v</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_vcm_current_add" type="string"> + <ipxact:name>pma_rx_buf_vcm_current_add</ipxact:name> + <ipxact:displayName>pma_rx_buf_vcm_current_add</ipxact:displayName> + <ipxact:value>vcm_current_default</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_vcm_sel" type="string"> + <ipxact:name>pma_rx_buf_vcm_sel</ipxact:name> + <ipxact:displayName>pma_rx_buf_vcm_sel</ipxact:displayName> + <ipxact:value>vcm_setting_03</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_eq_dc_gain_trim" type="string"> + <ipxact:name>pma_rx_buf_eq_dc_gain_trim</ipxact:name> + <ipxact:displayName>pma_rx_buf_eq_dc_gain_trim</ipxact:displayName> + <ipxact:value>stg2_gain7</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_offset_cancellation_coarse" type="string"> + <ipxact:name>pma_rx_buf_offset_cancellation_coarse</ipxact:name> + <ipxact:displayName>pma_rx_buf_offset_cancellation_coarse</ipxact:displayName> + <ipxact:value>coarse_setting_00</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_bodybias_select" type="string"> + <ipxact:name>pma_rx_buf_bodybias_select</ipxact:name> + <ipxact:displayName>pma_rx_buf_bodybias_select</ipxact:displayName> + <ipxact:value>bodybias_sel1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_bodybias_enable" type="string"> + <ipxact:name>pma_rx_buf_bodybias_enable</ipxact:name> + <ipxact:displayName>pma_rx_buf_bodybias_enable</ipxact:displayName> + <ipxact:value>bodybias_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_offset_cancellation_fine" type="string"> + <ipxact:name>pma_rx_buf_offset_cancellation_fine</ipxact:name> + <ipxact:displayName>pma_rx_buf_offset_cancellation_fine</ipxact:displayName> + <ipxact:value>fine_setting_00</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_act_isource_disable" type="string"> + <ipxact:name>pma_rx_buf_act_isource_disable</ipxact:name> + <ipxact:displayName>pma_rx_buf_act_isource_disable</ipxact:displayName> + <ipxact:value>isrc_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_one_stage_enable" type="string"> + <ipxact:name>pma_rx_buf_one_stage_enable</ipxact:name> + <ipxact:displayName>pma_rx_buf_one_stage_enable</ipxact:displayName> + <ipxact:value>s1_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_loopback_modes" type="string"> + <ipxact:name>pma_rx_buf_loopback_modes</ipxact:name> + <ipxact:displayName>pma_rx_buf_loopback_modes</ipxact:displayName> + <ipxact:value>lpbk_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_lfeq_zero_control" type="string"> + <ipxact:name>pma_rx_buf_lfeq_zero_control</ipxact:name> + <ipxact:displayName>pma_rx_buf_lfeq_zero_control</ipxact:displayName> + <ipxact:value>lfeq_setting_2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_initial_settings" type="string"> + <ipxact:name>pma_rx_buf_initial_settings</ipxact:name> + <ipxact:displayName>pma_rx_buf_initial_settings</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_lfeq_enable" type="string"> + <ipxact:name>pma_rx_buf_lfeq_enable</ipxact:name> + <ipxact:displayName>pma_rx_buf_lfeq_enable</ipxact:displayName> + <ipxact:value>non_lfeq_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_term_tri_enable" type="string"> + <ipxact:name>pma_rx_buf_term_tri_enable</ipxact:name> + <ipxact:displayName>pma_rx_buf_term_tri_enable</ipxact:displayName> + <ipxact:value>disable_tri</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_vga_bandwidth_select" type="string"> + <ipxact:name>pma_rx_buf_vga_bandwidth_select</ipxact:name> + <ipxact:displayName>pma_rx_buf_vga_bandwidth_select</ipxact:displayName> + <ipxact:value>vga_bw_1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_refclk_en" type="string"> + <ipxact:name>pma_rx_buf_refclk_en</ipxact:name> + <ipxact:displayName>pma_rx_buf_refclk_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_cgm_bias_disable" type="string"> + <ipxact:name>pma_rx_buf_cgm_bias_disable</ipxact:name> + <ipxact:displayName>pma_rx_buf_cgm_bias_disable</ipxact:displayName> + <ipxact:value>cgmbias_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_pm_tx_rx_pcie_gen" type="string"> + <ipxact:name>pma_rx_buf_pm_tx_rx_pcie_gen</ipxact:name> + <ipxact:displayName>pma_rx_buf_pm_tx_rx_pcie_gen</ipxact:displayName> + <ipxact:value>non_pcie</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_pm_tx_rx_pcie_gen_bitwidth" type="string"> + <ipxact:name>pma_rx_buf_pm_tx_rx_pcie_gen_bitwidth</ipxact:name> + <ipxact:displayName>pma_rx_buf_pm_tx_rx_pcie_gen_bitwidth</ipxact:displayName> + <ipxact:value>pcie_gen3_32b</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_pm_tx_rx_cvp_mode" type="string"> + <ipxact:name>pma_rx_buf_pm_tx_rx_cvp_mode</ipxact:name> + <ipxact:displayName>pma_rx_buf_pm_tx_rx_cvp_mode</ipxact:displayName> + <ipxact:value>cvp_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_pm_tx_rx_testmux_select" type="string"> + <ipxact:name>pma_rx_buf_pm_tx_rx_testmux_select</ipxact:name> + <ipxact:displayName>pma_rx_buf_pm_tx_rx_testmux_select</ipxact:displayName> + <ipxact:value>setting0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_xrx_path_jtag_hys" type="string"> + <ipxact:name>pma_rx_buf_xrx_path_jtag_hys</ipxact:name> + <ipxact:displayName>pma_rx_buf_xrx_path_jtag_hys</ipxact:displayName> + <ipxact:value>hys_increase_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_xrx_path_jtag_lp" type="string"> + <ipxact:name>pma_rx_buf_xrx_path_jtag_lp</ipxact:name> + <ipxact:displayName>pma_rx_buf_xrx_path_jtag_lp</ipxact:displayName> + <ipxact:value>lp_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_xrx_path_uc_rx_rstb" type="string"> + <ipxact:name>pma_rx_buf_xrx_path_uc_rx_rstb</ipxact:name> + <ipxact:displayName>pma_rx_buf_xrx_path_uc_rx_rstb</ipxact:displayName> + <ipxact:value>rx_reset_on</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_xrx_path_uc_pcie_sw" type="string"> + <ipxact:name>pma_rx_buf_xrx_path_uc_pcie_sw</ipxact:name> + <ipxact:displayName>pma_rx_buf_xrx_path_uc_pcie_sw</ipxact:displayName> + <ipxact:value>uc_pcie_gen1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_xrx_path_uc_cal_enable" type="string"> + <ipxact:name>pma_rx_buf_xrx_path_uc_cal_enable</ipxact:name> + <ipxact:displayName>pma_rx_buf_xrx_path_uc_cal_enable</ipxact:displayName> + <ipxact:value>rx_cal_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_xrx_path_uc_cru_rstb" type="string"> + <ipxact:name>pma_rx_buf_xrx_path_uc_cru_rstb</ipxact:name> + <ipxact:displayName>pma_rx_buf_xrx_path_uc_cru_rstb</ipxact:displayName> + <ipxact:value>cdr_lf_reset_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_xrx_path_sup_mode" type="string"> + <ipxact:name>pma_rx_buf_xrx_path_sup_mode</ipxact:name> + <ipxact:displayName>pma_rx_buf_xrx_path_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_power_rail_er" type="int"> + <ipxact:name>pma_rx_buf_power_rail_er</ipxact:name> + <ipxact:displayName>pma_rx_buf_power_rail_er</ipxact:displayName> + <ipxact:value>1030</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_power_rail_eht" type="int"> + <ipxact:name>pma_rx_buf_power_rail_eht</ipxact:name> + <ipxact:displayName>pma_rx_buf_power_rail_eht</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_xrx_path_gt_enabled" type="string"> + <ipxact:name>pma_rx_buf_xrx_path_gt_enabled</ipxact:name> + <ipxact:displayName>pma_rx_buf_xrx_path_gt_enabled</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_xrx_path_analog_mode" type="string"> + <ipxact:name>pma_rx_buf_xrx_path_analog_mode</ipxact:name> + <ipxact:displayName>pma_rx_buf_xrx_path_analog_mode</ipxact:displayName> + <ipxact:value>user_custom</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_xrx_path_prot_mode" type="string"> + <ipxact:name>pma_rx_buf_xrx_path_prot_mode</ipxact:name> + <ipxact:displayName>pma_rx_buf_xrx_path_prot_mode</ipxact:displayName> + <ipxact:value>basic_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_pm_speed_grade" type="string"> + <ipxact:name>pma_rx_buf_pm_speed_grade</ipxact:name> + <ipxact:displayName>pma_rx_buf_pm_speed_grade</ipxact:displayName> + <ipxact:value>e3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_power_mode" type="string"> + <ipxact:name>pma_rx_buf_power_mode</ipxact:name> + <ipxact:displayName>pma_rx_buf_power_mode</ipxact:displayName> + <ipxact:value>mid_power</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_iostandard" type="string"> + <ipxact:name>pma_rx_buf_iostandard</ipxact:name> + <ipxact:displayName>pma_rx_buf_iostandard</ipxact:displayName> + <ipxact:value>hssi_diffio</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_xrx_path_datarate" type="string"> + <ipxact:name>pma_rx_buf_xrx_path_datarate</ipxact:name> + <ipxact:displayName>pma_rx_buf_xrx_path_datarate</ipxact:displayName> + <ipxact:value>10312500000 bps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_xrx_path_datawidth" type="int"> + <ipxact:name>pma_rx_buf_xrx_path_datawidth</ipxact:name> + <ipxact:displayName>pma_rx_buf_xrx_path_datawidth</ipxact:displayName> + <ipxact:value>32</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_xrx_path_pma_rx_divclk_hz" type="string"> + <ipxact:name>pma_rx_buf_xrx_path_pma_rx_divclk_hz</ipxact:name> + <ipxact:displayName>pma_rx_buf_xrx_path_pma_rx_divclk_hz</ipxact:displayName> + <ipxact:value>322265625</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_xrx_path_optimal" type="string"> + <ipxact:name>pma_rx_buf_xrx_path_optimal</ipxact:name> + <ipxact:displayName>pma_rx_buf_xrx_path_optimal</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_link" type="string"> + <ipxact:name>pma_rx_buf_link</ipxact:name> + <ipxact:displayName>pma_rx_buf_link</ipxact:displayName> + <ipxact:value>sr</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_xrx_path_initial_settings" type="string"> + <ipxact:name>pma_rx_buf_xrx_path_initial_settings</ipxact:name> + <ipxact:displayName>pma_rx_buf_xrx_path_initial_settings</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_rx_vga_oc_en" type="string"> + <ipxact:name>pma_rx_buf_rx_vga_oc_en</ipxact:name> + <ipxact:displayName>pma_rx_buf_rx_vga_oc_en</ipxact:displayName> + <ipxact:value>vga_cal_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_sd_silicon_rev" type="string"> + <ipxact:name>pma_rx_sd_silicon_rev</ipxact:name> + <ipxact:displayName>pma_rx_sd_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_sd_link" type="string"> + <ipxact:name>pma_rx_sd_link</ipxact:name> + <ipxact:displayName>pma_rx_sd_link</ipxact:displayName> + <ipxact:value>sr</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_sd_optimal" type="string"> + <ipxact:name>pma_rx_sd_optimal</ipxact:name> + <ipxact:displayName>pma_rx_sd_optimal</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_sd_power_mode" type="string"> + <ipxact:name>pma_rx_sd_power_mode</ipxact:name> + <ipxact:displayName>pma_rx_sd_power_mode</ipxact:displayName> + <ipxact:value>mid_power</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_sd_prot_mode" type="string"> + <ipxact:name>pma_rx_sd_prot_mode</ipxact:name> + <ipxact:displayName>pma_rx_sd_prot_mode</ipxact:displayName> + <ipxact:value>basic_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_sd_sd_output_off" type="int"> + <ipxact:name>pma_rx_sd_sd_output_off</ipxact:name> + <ipxact:displayName>pma_rx_sd_sd_output_off</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_sd_sd_output_on" type="int"> + <ipxact:name>pma_rx_sd_sd_output_on</ipxact:name> + <ipxact:displayName>pma_rx_sd_sd_output_on</ipxact:displayName> + <ipxact:value>15</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_sd_sd_pdb" type="string"> + <ipxact:name>pma_rx_sd_sd_pdb</ipxact:name> + <ipxact:displayName>pma_rx_sd_sd_pdb</ipxact:displayName> + <ipxact:value>sd_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_sd_sd_threshold" type="string"> + <ipxact:name>pma_rx_sd_sd_threshold</ipxact:name> + <ipxact:displayName>pma_rx_sd_sd_threshold</ipxact:displayName> + <ipxact:value>sdlv_3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_sd_sup_mode" type="string"> + <ipxact:name>pma_rx_sd_sup_mode</ipxact:name> + <ipxact:displayName>pma_rx_sd_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_ser_silicon_rev" type="string"> + <ipxact:name>pma_tx_ser_silicon_rev</ipxact:name> + <ipxact:displayName>pma_tx_ser_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_ser_clk_divtx_deskew" type="string"> + <ipxact:name>pma_tx_ser_clk_divtx_deskew</ipxact:name> + <ipxact:displayName>pma_tx_ser_clk_divtx_deskew</ipxact:displayName> + <ipxact:value>deskew_delay8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_ser_control_clk_divtx" type="string"> + <ipxact:name>pma_tx_ser_control_clk_divtx</ipxact:name> + <ipxact:displayName>pma_tx_ser_control_clk_divtx</ipxact:displayName> + <ipxact:value>no_dft_control_clkdivtx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_ser_duty_cycle_correction_mode_ctrl" type="string"> + <ipxact:name>pma_tx_ser_duty_cycle_correction_mode_ctrl</ipxact:name> + <ipxact:displayName>pma_tx_ser_duty_cycle_correction_mode_ctrl</ipxact:displayName> + <ipxact:value>dcc_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_ser_ser_clk_divtx_user_sel" type="string"> + <ipxact:name>pma_tx_ser_ser_clk_divtx_user_sel</ipxact:name> + <ipxact:displayName>pma_tx_ser_ser_clk_divtx_user_sel</ipxact:displayName> + <ipxact:value>divtx_user_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_ser_ser_clk_mon" type="string"> + <ipxact:name>pma_tx_ser_ser_clk_mon</ipxact:name> + <ipxact:displayName>pma_tx_ser_ser_clk_mon</ipxact:displayName> + <ipxact:value>disable_clk_mon</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_ser_ser_powerdown" type="string"> + <ipxact:name>pma_tx_ser_ser_powerdown</ipxact:name> + <ipxact:displayName>pma_tx_ser_ser_powerdown</ipxact:displayName> + <ipxact:value>normal_poweron_ser</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_ser_sup_mode" type="string"> + <ipxact:name>pma_tx_ser_sup_mode</ipxact:name> + <ipxact:displayName>pma_tx_ser_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_ser_initial_settings" type="string"> + <ipxact:name>pma_tx_ser_initial_settings</ipxact:name> + <ipxact:displayName>pma_tx_ser_initial_settings</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_ser_prot_mode" type="string"> + <ipxact:name>pma_tx_ser_prot_mode</ipxact:name> + <ipxact:displayName>pma_tx_ser_prot_mode</ipxact:displayName> + <ipxact:value>basic_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_ser_bonding_mode" type="string"> + <ipxact:name>pma_tx_ser_bonding_mode</ipxact:name> + <ipxact:displayName>pma_tx_ser_bonding_mode</ipxact:displayName> + <ipxact:value>x1_non_bonded</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_silicon_rev" type="string"> + <ipxact:name>pma_tx_buf_silicon_rev</ipxact:name> + <ipxact:displayName>pma_tx_buf_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_datarate" type="string"> + <ipxact:name>pma_tx_buf_datarate</ipxact:name> + <ipxact:displayName>pma_tx_buf_datarate</ipxact:displayName> + <ipxact:value>10312500000 bps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_dft_sel" type="string"> + <ipxact:name>pma_tx_buf_dft_sel</ipxact:name> + <ipxact:displayName>pma_tx_buf_dft_sel</ipxact:displayName> + <ipxact:value>dft_disabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_duty_cycle_correction_bandwidth" type="string"> + <ipxact:name>pma_tx_buf_duty_cycle_correction_bandwidth</ipxact:name> + <ipxact:displayName>pma_tx_buf_duty_cycle_correction_bandwidth</ipxact:displayName> + <ipxact:value>dcc_bw_12</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_duty_cycle_correction_mode_ctrl" type="string"> + <ipxact:name>pma_tx_buf_duty_cycle_correction_mode_ctrl</ipxact:name> + <ipxact:displayName>pma_tx_buf_duty_cycle_correction_mode_ctrl</ipxact:displayName> + <ipxact:value>dcc_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_duty_cycle_input_polarity" type="string"> + <ipxact:name>pma_tx_buf_duty_cycle_input_polarity</ipxact:name> + <ipxact:displayName>pma_tx_buf_duty_cycle_input_polarity</ipxact:displayName> + <ipxact:value>dcc_input_pos</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_duty_cycle_setting" type="string"> + <ipxact:name>pma_tx_buf_duty_cycle_setting</ipxact:name> + <ipxact:displayName>pma_tx_buf_duty_cycle_setting</ipxact:displayName> + <ipxact:value>dcc_t32</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_duty_cycle_setting_aux" type="string"> + <ipxact:name>pma_tx_buf_duty_cycle_setting_aux</ipxact:name> + <ipxact:displayName>pma_tx_buf_duty_cycle_setting_aux</ipxact:displayName> + <ipxact:value>dcc2_t32</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_jtag_drv_sel" type="string"> + <ipxact:name>pma_tx_buf_jtag_drv_sel</ipxact:name> + <ipxact:displayName>pma_tx_buf_jtag_drv_sel</ipxact:displayName> + <ipxact:value>drv1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_jtag_lp" type="string"> + <ipxact:name>pma_tx_buf_jtag_lp</ipxact:name> + <ipxact:displayName>pma_tx_buf_jtag_lp</ipxact:displayName> + <ipxact:value>lp_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_link_tx" type="string"> + <ipxact:name>pma_tx_buf_link_tx</ipxact:name> + <ipxact:displayName>pma_tx_buf_link_tx</ipxact:displayName> + <ipxact:value>sr</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_lst" type="string"> + <ipxact:name>pma_tx_buf_lst</ipxact:name> + <ipxact:displayName>pma_tx_buf_lst</ipxact:displayName> + <ipxact:value>atb_disabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_optimal" type="string"> + <ipxact:name>pma_tx_buf_optimal</ipxact:name> + <ipxact:displayName>pma_tx_buf_optimal</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_pre_emp_sign_1st_post_tap" type="string"> + <ipxact:name>pma_tx_buf_pre_emp_sign_1st_post_tap</ipxact:name> + <ipxact:displayName>pma_tx_buf_pre_emp_sign_1st_post_tap</ipxact:displayName> + <ipxact:value>fir_post_1t_neg</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_pre_emp_sign_2nd_post_tap" type="string"> + <ipxact:name>pma_tx_buf_pre_emp_sign_2nd_post_tap</ipxact:name> + <ipxact:displayName>pma_tx_buf_pre_emp_sign_2nd_post_tap</ipxact:displayName> + <ipxact:value>fir_post_2t_neg</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_pre_emp_sign_pre_tap_1t" type="string"> + <ipxact:name>pma_tx_buf_pre_emp_sign_pre_tap_1t</ipxact:name> + <ipxact:displayName>pma_tx_buf_pre_emp_sign_pre_tap_1t</ipxact:displayName> + <ipxact:value>fir_pre_1t_neg</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_pre_emp_sign_pre_tap_2t" type="string"> + <ipxact:name>pma_tx_buf_pre_emp_sign_pre_tap_2t</ipxact:name> + <ipxact:displayName>pma_tx_buf_pre_emp_sign_pre_tap_2t</ipxact:displayName> + <ipxact:value>fir_pre_2t_neg</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_pre_emp_switching_ctrl_1st_post_tap" type="int"> + <ipxact:name>pma_tx_buf_pre_emp_switching_ctrl_1st_post_tap</ipxact:name> + <ipxact:displayName>pma_tx_buf_pre_emp_switching_ctrl_1st_post_tap</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_pre_emp_switching_ctrl_2nd_post_tap" type="int"> + <ipxact:name>pma_tx_buf_pre_emp_switching_ctrl_2nd_post_tap</ipxact:name> + <ipxact:displayName>pma_tx_buf_pre_emp_switching_ctrl_2nd_post_tap</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_pre_emp_switching_ctrl_pre_tap_1t" type="int"> + <ipxact:name>pma_tx_buf_pre_emp_switching_ctrl_pre_tap_1t</ipxact:name> + <ipxact:displayName>pma_tx_buf_pre_emp_switching_ctrl_pre_tap_1t</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_pre_emp_switching_ctrl_pre_tap_2t" type="int"> + <ipxact:name>pma_tx_buf_pre_emp_switching_ctrl_pre_tap_2t</ipxact:name> + <ipxact:displayName>pma_tx_buf_pre_emp_switching_ctrl_pre_tap_2t</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_prot_mode" type="string"> + <ipxact:name>pma_tx_buf_prot_mode</ipxact:name> + <ipxact:displayName>pma_tx_buf_prot_mode</ipxact:displayName> + <ipxact:value>basic_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_rx_det" type="string"> + <ipxact:name>pma_tx_buf_rx_det</ipxact:name> + <ipxact:displayName>pma_tx_buf_rx_det</ipxact:displayName> + <ipxact:value>mode_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_rx_det_output_sel" type="string"> + <ipxact:name>pma_tx_buf_rx_det_output_sel</ipxact:name> + <ipxact:displayName>pma_tx_buf_rx_det_output_sel</ipxact:displayName> + <ipxact:value>rx_det_pcie_out</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_rx_det_pdb" type="string"> + <ipxact:name>pma_tx_buf_rx_det_pdb</ipxact:name> + <ipxact:displayName>pma_tx_buf_rx_det_pdb</ipxact:displayName> + <ipxact:value>rx_det_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_slew_rate_ctrl" type="string"> + <ipxact:name>pma_tx_buf_slew_rate_ctrl</ipxact:name> + <ipxact:displayName>pma_tx_buf_slew_rate_ctrl</ipxact:displayName> + <ipxact:value>slew_r5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_sup_mode" type="string"> + <ipxact:name>pma_tx_buf_sup_mode</ipxact:name> + <ipxact:displayName>pma_tx_buf_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_term_code" type="string"> + <ipxact:name>pma_tx_buf_term_code</ipxact:name> + <ipxact:displayName>pma_tx_buf_term_code</ipxact:displayName> + <ipxact:value>rterm_code7</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_term_sel" type="string"> + <ipxact:name>pma_tx_buf_term_sel</ipxact:name> + <ipxact:displayName>pma_tx_buf_term_sel</ipxact:displayName> + <ipxact:value>r_r1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_tx_powerdown" type="string"> + <ipxact:name>pma_tx_buf_tx_powerdown</ipxact:name> + <ipxact:displayName>pma_tx_buf_tx_powerdown</ipxact:displayName> + <ipxact:value>normal_tx_on</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_user_fir_coeff_ctrl_sel" type="string"> + <ipxact:name>pma_tx_buf_user_fir_coeff_ctrl_sel</ipxact:name> + <ipxact:displayName>pma_tx_buf_user_fir_coeff_ctrl_sel</ipxact:displayName> + <ipxact:value>ram_ctl</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_vod_output_swing_ctrl" type="int"> + <ipxact:name>pma_tx_buf_vod_output_swing_ctrl</ipxact:name> + <ipxact:displayName>pma_tx_buf_vod_output_swing_ctrl</ipxact:displayName> + <ipxact:value>31</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_initial_settings" type="string"> + <ipxact:name>pma_tx_buf_initial_settings</ipxact:name> + <ipxact:displayName>pma_tx_buf_initial_settings</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_duty_cycle_correction_reference2" type="string"> + <ipxact:name>pma_tx_buf_duty_cycle_correction_reference2</ipxact:name> + <ipxact:displayName>pma_tx_buf_duty_cycle_correction_reference2</ipxact:displayName> + <ipxact:value>dcc_ref2_3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_ser_powerdown" type="string"> + <ipxact:name>pma_tx_buf_ser_powerdown</ipxact:name> + <ipxact:displayName>pma_tx_buf_ser_powerdown</ipxact:displayName> + <ipxact:value>normal_ser_on</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_swing_level" type="string"> + <ipxact:name>pma_tx_buf_swing_level</ipxact:name> + <ipxact:displayName>pma_tx_buf_swing_level</ipxact:displayName> + <ipxact:value>lv</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_vreg_output" type="string"> + <ipxact:name>pma_tx_buf_vreg_output</ipxact:name> + <ipxact:displayName>pma_tx_buf_vreg_output</ipxact:displayName> + <ipxact:value>vccdreg_nominal</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_duty_cycle_correction_reference1" type="string"> + <ipxact:name>pma_tx_buf_duty_cycle_correction_reference1</ipxact:name> + <ipxact:displayName>pma_tx_buf_duty_cycle_correction_reference1</ipxact:displayName> + <ipxact:value>dcc_ref1_3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_duty_cycle_correction_reset_n" type="string"> + <ipxact:name>pma_tx_buf_duty_cycle_correction_reset_n</ipxact:name> + <ipxact:displayName>pma_tx_buf_duty_cycle_correction_reset_n</ipxact:displayName> + <ipxact:value>reset_n</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_res_cal_local" type="string"> + <ipxact:name>pma_tx_buf_res_cal_local</ipxact:name> + <ipxact:displayName>pma_tx_buf_res_cal_local</ipxact:displayName> + <ipxact:value>non_local</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_term_n_tune" type="string"> + <ipxact:name>pma_tx_buf_term_n_tune</ipxact:name> + <ipxact:displayName>pma_tx_buf_term_n_tune</ipxact:displayName> + <ipxact:value>rterm_n0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_cpen_ctrl" type="string"> + <ipxact:name>pma_tx_buf_cpen_ctrl</ipxact:name> + <ipxact:displayName>pma_tx_buf_cpen_ctrl</ipxact:displayName> + <ipxact:value>cp_l0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_term_p_tune" type="string"> + <ipxact:name>pma_tx_buf_term_p_tune</ipxact:name> + <ipxact:displayName>pma_tx_buf_term_p_tune</ipxact:displayName> + <ipxact:value>rterm_p0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_calibration_en" type="string"> + <ipxact:name>pma_tx_buf_calibration_en</ipxact:name> + <ipxact:displayName>pma_tx_buf_calibration_en</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_low_power_en" type="string"> + <ipxact:name>pma_tx_buf_low_power_en</ipxact:name> + <ipxact:displayName>pma_tx_buf_low_power_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_compensation_en" type="string"> + <ipxact:name>pma_tx_buf_compensation_en</ipxact:name> + <ipxact:displayName>pma_tx_buf_compensation_en</ipxact:displayName> + <ipxact:value>enable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_dcd_detection_en" type="string"> + <ipxact:name>pma_tx_buf_dcd_detection_en</ipxact:name> + <ipxact:displayName>pma_tx_buf_dcd_detection_en</ipxact:displayName> + <ipxact:value>enable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_uc_txvod_cal" type="string"> + <ipxact:name>pma_tx_buf_uc_txvod_cal</ipxact:name> + <ipxact:displayName>pma_tx_buf_uc_txvod_cal</ipxact:displayName> + <ipxact:value>uc_tx_vod_cal_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_uc_txvod_cal_cont" type="string"> + <ipxact:name>pma_tx_buf_uc_txvod_cal_cont</ipxact:name> + <ipxact:displayName>pma_tx_buf_uc_txvod_cal_cont</ipxact:displayName> + <ipxact:value>uc_tx_vod_cal_cont_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_uc_skew_cal" type="string"> + <ipxact:name>pma_tx_buf_uc_skew_cal</ipxact:name> + <ipxact:displayName>pma_tx_buf_uc_skew_cal</ipxact:displayName> + <ipxact:value>uc_skew_cal_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_uc_dcd_cal" type="string"> + <ipxact:name>pma_tx_buf_uc_dcd_cal</ipxact:name> + <ipxact:displayName>pma_tx_buf_uc_dcd_cal</ipxact:displayName> + <ipxact:value>uc_dcd_cal_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_uc_txvod_cal_status" type="string"> + <ipxact:name>pma_tx_buf_uc_txvod_cal_status</ipxact:name> + <ipxact:displayName>pma_tx_buf_uc_txvod_cal_status</ipxact:displayName> + <ipxact:value>uc_tx_vod_cal_notdone</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_uc_skew_cal_status" type="string"> + <ipxact:name>pma_tx_buf_uc_skew_cal_status</ipxact:name> + <ipxact:displayName>pma_tx_buf_uc_skew_cal_status</ipxact:displayName> + <ipxact:value>uc_skew_cal_notdone</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_uc_dcd_cal_status" type="string"> + <ipxact:name>pma_tx_buf_uc_dcd_cal_status</ipxact:name> + <ipxact:displayName>pma_tx_buf_uc_dcd_cal_status</ipxact:displayName> + <ipxact:value>uc_dcd_cal_notdone</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_uc_gen3" type="string"> + <ipxact:name>pma_tx_buf_uc_gen3</ipxact:name> + <ipxact:displayName>pma_tx_buf_uc_gen3</ipxact:displayName> + <ipxact:value>gen3_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_uc_gen4" type="string"> + <ipxact:name>pma_tx_buf_uc_gen4</ipxact:name> + <ipxact:displayName>pma_tx_buf_uc_gen4</ipxact:displayName> + <ipxact:value>gen4_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_uc_vcc_setting" type="string"> + <ipxact:name>pma_tx_buf_uc_vcc_setting</ipxact:name> + <ipxact:displayName>pma_tx_buf_uc_vcc_setting</ipxact:displayName> + <ipxact:value>vcc_setting1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_mcgb_location_for_pcie" type="int"> + <ipxact:name>pma_tx_buf_mcgb_location_for_pcie</ipxact:name> + <ipxact:displayName>pma_tx_buf_mcgb_location_for_pcie</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_xtx_path_prot_mode" type="string"> + <ipxact:name>pma_tx_buf_xtx_path_prot_mode</ipxact:name> + <ipxact:displayName>pma_tx_buf_xtx_path_prot_mode</ipxact:displayName> + <ipxact:value>basic_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_xtx_path_optimal" type="string"> + <ipxact:name>pma_tx_buf_xtx_path_optimal</ipxact:name> + <ipxact:displayName>pma_tx_buf_xtx_path_optimal</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_xtx_path_datarate" type="string"> + <ipxact:name>pma_tx_buf_xtx_path_datarate</ipxact:name> + <ipxact:displayName>pma_tx_buf_xtx_path_datarate</ipxact:displayName> + <ipxact:value>10312500000 bps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_xtx_path_datawidth" type="int"> + <ipxact:name>pma_tx_buf_xtx_path_datawidth</ipxact:name> + <ipxact:displayName>pma_tx_buf_xtx_path_datawidth</ipxact:displayName> + <ipxact:value>32</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_xtx_path_clock_divider_ratio" type="int"> + <ipxact:name>pma_tx_buf_xtx_path_clock_divider_ratio</ipxact:name> + <ipxact:displayName>pma_tx_buf_xtx_path_clock_divider_ratio</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_xtx_path_pma_tx_divclk_hz" type="string"> + <ipxact:name>pma_tx_buf_xtx_path_pma_tx_divclk_hz</ipxact:name> + <ipxact:displayName>pma_tx_buf_xtx_path_pma_tx_divclk_hz</ipxact:displayName> + <ipxact:value>322265625</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_xtx_path_tx_pll_clk_hz" type="string"> + <ipxact:name>pma_tx_buf_xtx_path_tx_pll_clk_hz</ipxact:name> + <ipxact:displayName>pma_tx_buf_xtx_path_tx_pll_clk_hz</ipxact:displayName> + <ipxact:value>5156250000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_link" type="string"> + <ipxact:name>pma_tx_buf_link</ipxact:name> + <ipxact:displayName>pma_tx_buf_link</ipxact:displayName> + <ipxact:value>sr</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_xtx_path_swing_level" type="string"> + <ipxact:name>pma_tx_buf_xtx_path_swing_level</ipxact:name> + <ipxact:displayName>pma_tx_buf_xtx_path_swing_level</ipxact:displayName> + <ipxact:value>lv</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_xtx_path_sup_mode" type="string"> + <ipxact:name>pma_tx_buf_xtx_path_sup_mode</ipxact:name> + <ipxact:displayName>pma_tx_buf_xtx_path_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_xtx_path_initial_settings" type="string"> + <ipxact:name>pma_tx_buf_xtx_path_initial_settings</ipxact:name> + <ipxact:displayName>pma_tx_buf_xtx_path_initial_settings</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_xtx_path_calibration_en" type="string"> + <ipxact:name>pma_tx_buf_xtx_path_calibration_en</ipxact:name> + <ipxact:displayName>pma_tx_buf_xtx_path_calibration_en</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_xtx_path_bonding_mode" type="string"> + <ipxact:name>pma_tx_buf_xtx_path_bonding_mode</ipxact:name> + <ipxact:displayName>pma_tx_buf_xtx_path_bonding_mode</ipxact:displayName> + <ipxact:value>x1_non_bonded</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_pm_speed_grade" type="string"> + <ipxact:name>pma_tx_buf_pm_speed_grade</ipxact:name> + <ipxact:displayName>pma_tx_buf_pm_speed_grade</ipxact:displayName> + <ipxact:value>e3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_power_mode" type="string"> + <ipxact:name>pma_tx_buf_power_mode</ipxact:name> + <ipxact:displayName>pma_tx_buf_power_mode</ipxact:displayName> + <ipxact:value>mid_power</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_power_rail_et" type="int"> + <ipxact:name>pma_tx_buf_power_rail_et</ipxact:name> + <ipxact:displayName>pma_tx_buf_power_rail_et</ipxact:displayName> + <ipxact:value>1030</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_power_rail_eht" type="int"> + <ipxact:name>pma_tx_buf_power_rail_eht</ipxact:name> + <ipxact:displayName>pma_tx_buf_power_rail_eht</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_xtx_path_gt_enabled" type="string"> + <ipxact:name>pma_tx_buf_xtx_path_gt_enabled</ipxact:name> + <ipxact:displayName>pma_tx_buf_xtx_path_gt_enabled</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_xtx_path_analog_mode" type="string"> + <ipxact:name>pma_tx_buf_xtx_path_analog_mode</ipxact:name> + <ipxact:displayName>pma_tx_buf_xtx_path_analog_mode</ipxact:displayName> + <ipxact:value>user_custom</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_compensation_driver_en" type="string"> + <ipxact:name>pma_tx_buf_compensation_driver_en</ipxact:name> + <ipxact:displayName>pma_tx_buf_compensation_driver_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_sense_amp_offset_cal_curr_p" type="int"> + <ipxact:name>pma_tx_buf_sense_amp_offset_cal_curr_p</ipxact:name> + <ipxact:displayName>pma_tx_buf_sense_amp_offset_cal_curr_p</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_chgpmp_current_dn_trim" type="string"> + <ipxact:name>pma_tx_buf_chgpmp_current_dn_trim</ipxact:name> + <ipxact:displayName>pma_tx_buf_chgpmp_current_dn_trim</ipxact:displayName> + <ipxact:value>cp_current_trimming_dn_setting0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_duty_cycle_correction_bandwidth_dn" type="string"> + <ipxact:name>pma_tx_buf_duty_cycle_correction_bandwidth_dn</ipxact:name> + <ipxact:displayName>pma_tx_buf_duty_cycle_correction_bandwidth_dn</ipxact:displayName> + <ipxact:value>dcd_bw_dn_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_sense_amp_offset_cal_curr_n" type="string"> + <ipxact:name>pma_tx_buf_sense_amp_offset_cal_curr_n</ipxact:name> + <ipxact:displayName>pma_tx_buf_sense_amp_offset_cal_curr_n</ipxact:displayName> + <ipxact:value>sa_os_cal_in_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_chgpmp_current_up_trim" type="string"> + <ipxact:name>pma_tx_buf_chgpmp_current_up_trim</ipxact:name> + <ipxact:displayName>pma_tx_buf_chgpmp_current_up_trim</ipxact:displayName> + <ipxact:value>cp_current_trimming_up_setting0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_chgpmp_up_trim_double" type="string"> + <ipxact:name>pma_tx_buf_chgpmp_up_trim_double</ipxact:name> + <ipxact:displayName>pma_tx_buf_chgpmp_up_trim_double</ipxact:displayName> + <ipxact:value>normal_up_trim_current</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_duty_cycle_cp_comp_en" type="string"> + <ipxact:name>pma_tx_buf_duty_cycle_cp_comp_en</ipxact:name> + <ipxact:displayName>pma_tx_buf_duty_cycle_cp_comp_en</ipxact:displayName> + <ipxact:value>cp_comp_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_dcd_clk_div_ctrl" type="string"> + <ipxact:name>pma_tx_buf_dcd_clk_div_ctrl</ipxact:name> + <ipxact:displayName>pma_tx_buf_dcd_clk_div_ctrl</ipxact:displayName> + <ipxact:value>dcd_ck_div128</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_duty_cycle_detector_sa_cal" type="string"> + <ipxact:name>pma_tx_buf_duty_cycle_detector_sa_cal</ipxact:name> + <ipxact:displayName>pma_tx_buf_duty_cycle_detector_sa_cal</ipxact:displayName> + <ipxact:value>dcd_sa_cal_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_duty_cycle_detector_cp_cal" type="string"> + <ipxact:name>pma_tx_buf_duty_cycle_detector_cp_cal</ipxact:name> + <ipxact:displayName>pma_tx_buf_duty_cycle_detector_cp_cal</ipxact:displayName> + <ipxact:value>dcd_cp_cal_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_tri_driver" type="string"> + <ipxact:name>pma_tx_buf_tri_driver</ipxact:name> + <ipxact:displayName>pma_tx_buf_tri_driver</ipxact:displayName> + <ipxact:value>tri_driver_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_cdr_cp_calibration_en" type="string"> + <ipxact:name>pma_tx_buf_cdr_cp_calibration_en</ipxact:name> + <ipxact:displayName>pma_tx_buf_cdr_cp_calibration_en</ipxact:displayName> + <ipxact:value>cdr_cp_cal_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_chgpmp_dn_trim_double" type="string"> + <ipxact:name>pma_tx_buf_chgpmp_dn_trim_double</ipxact:name> + <ipxact:displayName>pma_tx_buf_chgpmp_dn_trim_double</ipxact:displayName> + <ipxact:value>normal_dn_trim_current</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_calibration_resistor_value" type="string"> + <ipxact:name>pma_tx_buf_calibration_resistor_value</ipxact:name> + <ipxact:displayName>pma_tx_buf_calibration_resistor_value</ipxact:displayName> + <ipxact:value>res_setting0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_enable_idle_tx_channel_support" type="string"> + <ipxact:name>pma_tx_buf_enable_idle_tx_channel_support</ipxact:name> + <ipxact:displayName>pma_tx_buf_enable_idle_tx_channel_support</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_silicon_rev" type="string"> + <ipxact:name>cdr_pll_silicon_rev</ipxact:name> + <ipxact:displayName>cdr_pll_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_pma_width" type="int"> + <ipxact:name>cdr_pll_pma_width</ipxact:name> + <ipxact:displayName>cdr_pll_pma_width</ipxact:displayName> + <ipxact:value>32</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_cgb_div" type="int"> + <ipxact:name>cdr_pll_cgb_div</ipxact:name> + <ipxact:displayName>cdr_pll_cgb_div</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_is_cascaded_pll" type="string"> + <ipxact:name>cdr_pll_is_cascaded_pll</ipxact:name> + <ipxact:displayName>cdr_pll_is_cascaded_pll</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_bandwidth_range_high" type="string"> + <ipxact:name>cdr_pll_bandwidth_range_high</ipxact:name> + <ipxact:displayName>cdr_pll_bandwidth_range_high</ipxact:displayName> + <ipxact:value>0 hz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_bandwidth_range_low" type="string"> + <ipxact:name>cdr_pll_bandwidth_range_low</ipxact:name> + <ipxact:displayName>cdr_pll_bandwidth_range_low</ipxact:displayName> + <ipxact:value>0 hz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_datarate" type="string"> + <ipxact:name>cdr_pll_datarate</ipxact:name> + <ipxact:displayName>cdr_pll_datarate</ipxact:displayName> + <ipxact:value>10312500000 bps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_f_max_pfd" type="string"> + <ipxact:name>cdr_pll_f_max_pfd</ipxact:name> + <ipxact:displayName>cdr_pll_f_max_pfd</ipxact:displayName> + <ipxact:value>350000000 Hz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_f_max_ref" type="string"> + <ipxact:name>cdr_pll_f_max_ref</ipxact:name> + <ipxact:displayName>cdr_pll_f_max_ref</ipxact:displayName> + <ipxact:value>800000000 Hz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_f_max_vco" type="string"> + <ipxact:name>cdr_pll_f_max_vco</ipxact:name> + <ipxact:displayName>cdr_pll_f_max_vco</ipxact:displayName> + <ipxact:value>9800000000 Hz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_f_min_gt_channel" type="string"> + <ipxact:name>cdr_pll_f_min_gt_channel</ipxact:name> + <ipxact:displayName>cdr_pll_f_min_gt_channel</ipxact:displayName> + <ipxact:value>8700000000 Hz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_f_min_pfd" type="string"> + <ipxact:name>cdr_pll_f_min_pfd</ipxact:name> + <ipxact:displayName>cdr_pll_f_min_pfd</ipxact:displayName> + <ipxact:value>50000000 Hz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_f_min_ref" type="string"> + <ipxact:name>cdr_pll_f_min_ref</ipxact:name> + <ipxact:displayName>cdr_pll_f_min_ref</ipxact:displayName> + <ipxact:value>50000000 Hz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_f_min_vco" type="string"> + <ipxact:name>cdr_pll_f_min_vco</ipxact:name> + <ipxact:displayName>cdr_pll_f_min_vco</ipxact:displayName> + <ipxact:value>4900000000 Hz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_lpd_counter" type="int"> + <ipxact:name>cdr_pll_lpd_counter</ipxact:name> + <ipxact:displayName>cdr_pll_lpd_counter</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_lpfd_counter" type="int"> + <ipxact:name>cdr_pll_lpfd_counter</ipxact:name> + <ipxact:displayName>cdr_pll_lpfd_counter</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_n_counter_scratch" type="int"> + <ipxact:name>cdr_pll_n_counter_scratch</ipxact:name> + <ipxact:displayName>cdr_pll_n_counter_scratch</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_output_clock_frequency" type="string"> + <ipxact:name>cdr_pll_output_clock_frequency</ipxact:name> + <ipxact:displayName>cdr_pll_output_clock_frequency</ipxact:displayName> + <ipxact:value>5156250000 Hz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_reference_clock_frequency" type="string"> + <ipxact:name>cdr_pll_reference_clock_frequency</ipxact:name> + <ipxact:displayName>cdr_pll_reference_clock_frequency</ipxact:displayName> + <ipxact:value>644531250 hz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_set_cdr_vco_speed" type="int"> + <ipxact:name>cdr_pll_set_cdr_vco_speed</ipxact:name> + <ipxact:displayName>cdr_pll_set_cdr_vco_speed</ipxact:displayName> + <ipxact:value>3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_set_cdr_vco_speed_fix" type="int"> + <ipxact:name>cdr_pll_set_cdr_vco_speed_fix</ipxact:name> + <ipxact:displayName>cdr_pll_set_cdr_vco_speed_fix</ipxact:displayName> + <ipxact:value>60</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_vco_freq" type="string"> + <ipxact:name>cdr_pll_vco_freq</ipxact:name> + <ipxact:displayName>cdr_pll_vco_freq</ipxact:displayName> + <ipxact:value>5156250000 Hz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_atb_select_control" type="string"> + <ipxact:name>cdr_pll_atb_select_control</ipxact:name> + <ipxact:displayName>cdr_pll_atb_select_control</ipxact:displayName> + <ipxact:value>atb_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_auto_reset_on" type="string"> + <ipxact:name>cdr_pll_auto_reset_on</ipxact:name> + <ipxact:displayName>cdr_pll_auto_reset_on</ipxact:displayName> + <ipxact:value>auto_reset_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_bbpd_data_pattern_filter_select" type="string"> + <ipxact:name>cdr_pll_bbpd_data_pattern_filter_select</ipxact:name> + <ipxact:displayName>cdr_pll_bbpd_data_pattern_filter_select</ipxact:displayName> + <ipxact:value>bbpd_data_pat_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_bw_sel" type="string"> + <ipxact:name>cdr_pll_bw_sel</ipxact:name> + <ipxact:displayName>cdr_pll_bw_sel</ipxact:displayName> + <ipxact:value>medium</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_cdr_odi_select" type="string"> + <ipxact:name>cdr_pll_cdr_odi_select</ipxact:name> + <ipxact:displayName>cdr_pll_cdr_odi_select</ipxact:displayName> + <ipxact:value>sel_cdr</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_cdr_phaselock_mode" type="string"> + <ipxact:name>cdr_pll_cdr_phaselock_mode</ipxact:name> + <ipxact:displayName>cdr_pll_cdr_phaselock_mode</ipxact:displayName> + <ipxact:value>no_ignore_lock</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_cdr_powerdown_mode" type="string"> + <ipxact:name>cdr_pll_cdr_powerdown_mode</ipxact:name> + <ipxact:displayName>cdr_pll_cdr_powerdown_mode</ipxact:displayName> + <ipxact:value>power_up</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_chgpmp_current_pd" type="string"> + <ipxact:name>cdr_pll_chgpmp_current_pd</ipxact:name> + <ipxact:displayName>cdr_pll_chgpmp_current_pd</ipxact:displayName> + <ipxact:value>cp_current_pd_setting0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_chgpmp_current_pfd" type="string"> + <ipxact:name>cdr_pll_chgpmp_current_pfd</ipxact:name> + <ipxact:displayName>cdr_pll_chgpmp_current_pfd</ipxact:displayName> + <ipxact:value>cp_current_pfd_setting2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_chgpmp_replicate" type="string"> + <ipxact:name>cdr_pll_chgpmp_replicate</ipxact:name> + <ipxact:displayName>cdr_pll_chgpmp_replicate</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_chgpmp_testmode" type="string"> + <ipxact:name>cdr_pll_chgpmp_testmode</ipxact:name> + <ipxact:displayName>cdr_pll_chgpmp_testmode</ipxact:displayName> + <ipxact:value>cp_test_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_clklow_mux_select" type="string"> + <ipxact:name>cdr_pll_clklow_mux_select</ipxact:name> + <ipxact:displayName>cdr_pll_clklow_mux_select</ipxact:displayName> + <ipxact:value>clklow_mux_cdr_fbclk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_diag_loopback_enable" type="string"> + <ipxact:name>cdr_pll_diag_loopback_enable</ipxact:name> + <ipxact:displayName>cdr_pll_diag_loopback_enable</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_disable_up_dn" type="string"> + <ipxact:name>cdr_pll_disable_up_dn</ipxact:name> + <ipxact:displayName>cdr_pll_disable_up_dn</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_fref_clklow_div" type="int"> + <ipxact:name>cdr_pll_fref_clklow_div</ipxact:name> + <ipxact:displayName>cdr_pll_fref_clklow_div</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_fref_mux_select" type="string"> + <ipxact:name>cdr_pll_fref_mux_select</ipxact:name> + <ipxact:displayName>cdr_pll_fref_mux_select</ipxact:displayName> + <ipxact:value>fref_mux_cdr_refclk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_gpon_lck2ref_control" type="string"> + <ipxact:name>cdr_pll_gpon_lck2ref_control</ipxact:name> + <ipxact:displayName>cdr_pll_gpon_lck2ref_control</ipxact:displayName> + <ipxact:value>gpon_lck2ref_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_initial_settings" type="string"> + <ipxact:name>cdr_pll_initial_settings</ipxact:name> + <ipxact:displayName>cdr_pll_initial_settings</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_lck2ref_delay_control" type="string"> + <ipxact:name>cdr_pll_lck2ref_delay_control</ipxact:name> + <ipxact:displayName>cdr_pll_lck2ref_delay_control</ipxact:displayName> + <ipxact:value>lck2ref_delay_2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_lf_resistor_pd" type="string"> + <ipxact:name>cdr_pll_lf_resistor_pd</ipxact:name> + <ipxact:displayName>cdr_pll_lf_resistor_pd</ipxact:displayName> + <ipxact:value>lf_pd_setting2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_lf_resistor_pfd" type="string"> + <ipxact:name>cdr_pll_lf_resistor_pfd</ipxact:name> + <ipxact:displayName>cdr_pll_lf_resistor_pfd</ipxact:displayName> + <ipxact:value>lf_pfd_setting2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_lf_ripple_cap" type="string"> + <ipxact:name>cdr_pll_lf_ripple_cap</ipxact:name> + <ipxact:displayName>cdr_pll_lf_ripple_cap</ipxact:displayName> + <ipxact:value>lf_no_ripple</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_loop_filter_bias_select" type="string"> + <ipxact:name>cdr_pll_loop_filter_bias_select</ipxact:name> + <ipxact:displayName>cdr_pll_loop_filter_bias_select</ipxact:displayName> + <ipxact:value>lpflt_bias_7</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_loopback_mode" type="string"> + <ipxact:name>cdr_pll_loopback_mode</ipxact:name> + <ipxact:displayName>cdr_pll_loopback_mode</ipxact:displayName> + <ipxact:value>loopback_disabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_ltd_ltr_micro_controller_select" type="string"> + <ipxact:name>cdr_pll_ltd_ltr_micro_controller_select</ipxact:name> + <ipxact:displayName>cdr_pll_ltd_ltr_micro_controller_select</ipxact:displayName> + <ipxact:value>ltd_ltr_pcs</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_m_counter" type="int"> + <ipxact:name>cdr_pll_m_counter</ipxact:name> + <ipxact:displayName>cdr_pll_m_counter</ipxact:displayName> + <ipxact:value>16</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_n_counter" type="int"> + <ipxact:name>cdr_pll_n_counter</ipxact:name> + <ipxact:displayName>cdr_pll_n_counter</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_optimal" type="string"> + <ipxact:name>cdr_pll_optimal</ipxact:name> + <ipxact:displayName>cdr_pll_optimal</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_pd_fastlock_mode" type="string"> + <ipxact:name>cdr_pll_pd_fastlock_mode</ipxact:name> + <ipxact:displayName>cdr_pll_pd_fastlock_mode</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_pd_l_counter" type="int"> + <ipxact:name>cdr_pll_pd_l_counter</ipxact:name> + <ipxact:displayName>cdr_pll_pd_l_counter</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_pfd_l_counter" type="int"> + <ipxact:name>cdr_pll_pfd_l_counter</ipxact:name> + <ipxact:displayName>cdr_pll_pfd_l_counter</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_position" type="string"> + <ipxact:name>cdr_pll_position</ipxact:name> + <ipxact:displayName>cdr_pll_position</ipxact:displayName> + <ipxact:value>position_unknown</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_power_mode" type="string"> + <ipxact:name>cdr_pll_power_mode</ipxact:name> + <ipxact:displayName>cdr_pll_power_mode</ipxact:displayName> + <ipxact:value>mid_power</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_primary_use" type="string"> + <ipxact:name>cdr_pll_primary_use</ipxact:name> + <ipxact:displayName>cdr_pll_primary_use</ipxact:displayName> + <ipxact:value>cdr</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_prot_mode" type="string"> + <ipxact:name>cdr_pll_prot_mode</ipxact:name> + <ipxact:displayName>cdr_pll_prot_mode</ipxact:displayName> + <ipxact:value>basic_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_requires_gt_capable_channel" type="string"> + <ipxact:name>cdr_pll_requires_gt_capable_channel</ipxact:name> + <ipxact:displayName>cdr_pll_requires_gt_capable_channel</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_reverse_serial_loopback" type="string"> + <ipxact:name>cdr_pll_reverse_serial_loopback</ipxact:name> + <ipxact:displayName>cdr_pll_reverse_serial_loopback</ipxact:displayName> + <ipxact:value>no_loopback</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_set_cdr_v2i_enable" type="string"> + <ipxact:name>cdr_pll_set_cdr_v2i_enable</ipxact:name> + <ipxact:displayName>cdr_pll_set_cdr_v2i_enable</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_set_cdr_vco_reset" type="string"> + <ipxact:name>cdr_pll_set_cdr_vco_reset</ipxact:name> + <ipxact:displayName>cdr_pll_set_cdr_vco_reset</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_set_cdr_vco_speed_pciegen3" type="string"> + <ipxact:name>cdr_pll_set_cdr_vco_speed_pciegen3</ipxact:name> + <ipxact:displayName>cdr_pll_set_cdr_vco_speed_pciegen3</ipxact:displayName> + <ipxact:value>cdr_vco_max_speedbin_pciegen3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_side" type="string"> + <ipxact:name>cdr_pll_side</ipxact:name> + <ipxact:displayName>cdr_pll_side</ipxact:displayName> + <ipxact:value>side_unknown</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_pm_speed_grade" type="string"> + <ipxact:name>cdr_pll_pm_speed_grade</ipxact:name> + <ipxact:displayName>cdr_pll_pm_speed_grade</ipxact:displayName> + <ipxact:value>e3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_sup_mode" type="string"> + <ipxact:name>cdr_pll_sup_mode</ipxact:name> + <ipxact:displayName>cdr_pll_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_top_or_bottom" type="string"> + <ipxact:name>cdr_pll_top_or_bottom</ipxact:name> + <ipxact:displayName>cdr_pll_top_or_bottom</ipxact:displayName> + <ipxact:value>tb_unknown</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_tx_pll_prot_mode" type="string"> + <ipxact:name>cdr_pll_tx_pll_prot_mode</ipxact:name> + <ipxact:displayName>cdr_pll_tx_pll_prot_mode</ipxact:displayName> + <ipxact:value>txpll_unused</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_txpll_hclk_driver_enable" type="string"> + <ipxact:name>cdr_pll_txpll_hclk_driver_enable</ipxact:name> + <ipxact:displayName>cdr_pll_txpll_hclk_driver_enable</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_vco_overrange_voltage" type="string"> + <ipxact:name>cdr_pll_vco_overrange_voltage</ipxact:name> + <ipxact:displayName>cdr_pll_vco_overrange_voltage</ipxact:displayName> + <ipxact:value>vco_overrange_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_vco_underrange_voltage" type="string"> + <ipxact:name>cdr_pll_vco_underrange_voltage</ipxact:name> + <ipxact:displayName>cdr_pll_vco_underrange_voltage</ipxact:displayName> + <ipxact:value>vco_underange_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_fb_select" type="string"> + <ipxact:name>cdr_pll_fb_select</ipxact:name> + <ipxact:displayName>cdr_pll_fb_select</ipxact:displayName> + <ipxact:value>direct_fb</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_uc_ro_cal" type="string"> + <ipxact:name>cdr_pll_uc_ro_cal</ipxact:name> + <ipxact:displayName>cdr_pll_uc_ro_cal</ipxact:displayName> + <ipxact:value>uc_ro_cal_on</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_uc_ro_cal_status" type="string"> + <ipxact:name>cdr_pll_uc_ro_cal_status</ipxact:name> + <ipxact:displayName>cdr_pll_uc_ro_cal_status</ipxact:displayName> + <ipxact:value>uc_ro_cal_notdone</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_iqclk_mux_sel" type="string"> + <ipxact:name>cdr_pll_iqclk_mux_sel</ipxact:name> + <ipxact:displayName>cdr_pll_iqclk_mux_sel</ipxact:displayName> + <ipxact:value>power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_uc_cru_rstb" type="string"> + <ipxact:name>cdr_pll_uc_cru_rstb</ipxact:name> + <ipxact:displayName>cdr_pll_uc_cru_rstb</ipxact:displayName> + <ipxact:value>cdr_lf_reset_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_pcie_gen" type="string"> + <ipxact:name>cdr_pll_pcie_gen</ipxact:name> + <ipxact:displayName>cdr_pll_pcie_gen</ipxact:displayName> + <ipxact:value>non_pcie</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_analog_mode" type="string"> + <ipxact:name>cdr_pll_analog_mode</ipxact:name> + <ipxact:displayName>cdr_pll_analog_mode</ipxact:displayName> + <ipxact:value>user_custom</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_f_max_m_counter" type="string"> + <ipxact:name>cdr_pll_f_max_m_counter</ipxact:name> + <ipxact:displayName>cdr_pll_f_max_m_counter</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_chgpmp_vccreg" type="string"> + <ipxact:name>cdr_pll_chgpmp_vccreg</ipxact:name> + <ipxact:displayName>cdr_pll_chgpmp_vccreg</ipxact:displayName> + <ipxact:value>vreg_fw0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_set_cdr_input_freq_range" type="int"> + <ipxact:name>cdr_pll_set_cdr_input_freq_range</ipxact:name> + <ipxact:displayName>cdr_pll_set_cdr_input_freq_range</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_chgpmp_current_dn_trim" type="string"> + <ipxact:name>cdr_pll_chgpmp_current_dn_trim</ipxact:name> + <ipxact:displayName>cdr_pll_chgpmp_current_dn_trim</ipxact:displayName> + <ipxact:value>cp_current_trimming_dn_setting0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_chgpmp_up_pd_trim_double" type="string"> + <ipxact:name>cdr_pll_chgpmp_up_pd_trim_double</ipxact:name> + <ipxact:displayName>cdr_pll_chgpmp_up_pd_trim_double</ipxact:displayName> + <ipxact:value>normal_up_trim_current</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_chgpmp_current_up_pd" type="string"> + <ipxact:name>cdr_pll_chgpmp_current_up_pd</ipxact:name> + <ipxact:displayName>cdr_pll_chgpmp_current_up_pd</ipxact:displayName> + <ipxact:value>cp_current_pd_up_setting3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_f_max_cmu_out_freq" type="string"> + <ipxact:name>cdr_pll_f_max_cmu_out_freq</ipxact:name> + <ipxact:displayName>cdr_pll_f_max_cmu_out_freq</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_chgpmp_current_up_trim" type="string"> + <ipxact:name>cdr_pll_chgpmp_current_up_trim</ipxact:name> + <ipxact:displayName>cdr_pll_chgpmp_current_up_trim</ipxact:displayName> + <ipxact:value>cp_current_trimming_up_setting0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_chgpmp_dn_pd_trim_double" type="string"> + <ipxact:name>cdr_pll_chgpmp_dn_pd_trim_double</ipxact:name> + <ipxact:displayName>cdr_pll_chgpmp_dn_pd_trim_double</ipxact:displayName> + <ipxact:value>normal_dn_trim_current</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_cal_vco_count_length" type="string"> + <ipxact:name>cdr_pll_cal_vco_count_length</ipxact:name> + <ipxact:displayName>cdr_pll_cal_vco_count_length</ipxact:displayName> + <ipxact:value>sel_8b_count</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_chgpmp_current_dn_pd" type="string"> + <ipxact:name>cdr_pll_chgpmp_current_dn_pd</ipxact:name> + <ipxact:displayName>cdr_pll_chgpmp_current_dn_pd</ipxact:displayName> + <ipxact:value>cp_current_pd_dn_setting3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_enable_idle_rx_channel_support" type="string"> + <ipxact:name>cdr_pll_enable_idle_rx_channel_support</ipxact:name> + <ipxact:displayName>cdr_pll_enable_idle_rx_channel_support</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_deser_silicon_rev" type="string"> + <ipxact:name>pma_rx_deser_silicon_rev</ipxact:name> + <ipxact:displayName>pma_rx_deser_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_deser_clkdiv_source" type="string"> + <ipxact:name>pma_rx_deser_clkdiv_source</ipxact:name> + <ipxact:displayName>pma_rx_deser_clkdiv_source</ipxact:displayName> + <ipxact:value>vco_bypass_normal</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_deser_clkdivrx_user_mode" type="string"> + <ipxact:name>pma_rx_deser_clkdivrx_user_mode</ipxact:name> + <ipxact:displayName>pma_rx_deser_clkdivrx_user_mode</ipxact:displayName> + <ipxact:value>clkdivrx_user_disabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_deser_datarate" type="string"> + <ipxact:name>pma_rx_deser_datarate</ipxact:name> + <ipxact:displayName>pma_rx_deser_datarate</ipxact:displayName> + <ipxact:value>10312500000 bps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_deser_deser_factor" type="int"> + <ipxact:name>pma_rx_deser_deser_factor</ipxact:name> + <ipxact:displayName>pma_rx_deser_deser_factor</ipxact:displayName> + <ipxact:value>32</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_deser_deser_powerdown" type="string"> + <ipxact:name>pma_rx_deser_deser_powerdown</ipxact:name> + <ipxact:displayName>pma_rx_deser_deser_powerdown</ipxact:displayName> + <ipxact:value>deser_power_up</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_deser_force_adaptation_outputs" type="string"> + <ipxact:name>pma_rx_deser_force_adaptation_outputs</ipxact:name> + <ipxact:displayName>pma_rx_deser_force_adaptation_outputs</ipxact:displayName> + <ipxact:value>normal_outputs</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_deser_force_clkdiv_for_testing" type="string"> + <ipxact:name>pma_rx_deser_force_clkdiv_for_testing</ipxact:name> + <ipxact:displayName>pma_rx_deser_force_clkdiv_for_testing</ipxact:displayName> + <ipxact:value>normal_clkdiv</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_deser_optimal" type="string"> + <ipxact:name>pma_rx_deser_optimal</ipxact:name> + <ipxact:displayName>pma_rx_deser_optimal</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_deser_sdclk_enable" type="string"> + <ipxact:name>pma_rx_deser_sdclk_enable</ipxact:name> + <ipxact:displayName>pma_rx_deser_sdclk_enable</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_deser_sup_mode" type="string"> + <ipxact:name>pma_rx_deser_sup_mode</ipxact:name> + <ipxact:displayName>pma_rx_deser_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_deser_rst_n_adapt_odi" type="string"> + <ipxact:name>pma_rx_deser_rst_n_adapt_odi</ipxact:name> + <ipxact:displayName>pma_rx_deser_rst_n_adapt_odi</ipxact:displayName> + <ipxact:value>no_rst_adapt_odi</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_deser_bitslip_bypass" type="string"> + <ipxact:name>pma_rx_deser_bitslip_bypass</ipxact:name> + <ipxact:displayName>pma_rx_deser_bitslip_bypass</ipxact:displayName> + <ipxact:value>bs_bypass_yes</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_deser_prot_mode" type="string"> + <ipxact:name>pma_rx_deser_prot_mode</ipxact:name> + <ipxact:displayName>pma_rx_deser_prot_mode</ipxact:displayName> + <ipxact:value>basic_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_deser_pcie_gen" type="string"> + <ipxact:name>pma_rx_deser_pcie_gen</ipxact:name> + <ipxact:displayName>pma_rx_deser_pcie_gen</ipxact:displayName> + <ipxact:value>non_pcie</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_deser_pcie_gen_bitwidth" type="string"> + <ipxact:name>pma_rx_deser_pcie_gen_bitwidth</ipxact:name> + <ipxact:displayName>pma_rx_deser_pcie_gen_bitwidth</ipxact:displayName> + <ipxact:value>pcie_gen3_32b</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_deser_tdr_mode" type="string"> + <ipxact:name>pma_rx_deser_tdr_mode</ipxact:name> + <ipxact:displayName>pma_rx_deser_tdr_mode</ipxact:displayName> + <ipxact:value>select_bbpd_data</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="data_rate_bps" type="string"> + <ipxact:name>data_rate_bps</ipxact:name> + <ipxact:displayName>data_rate_bps</ipxact:displayName> + <ipxact:value>10312500000 bps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_protocol_mode" type="string"> + <ipxact:name>l_protocol_mode</ipxact:name> + <ipxact:displayName>l_protocol_mode</ipxact:displayName> + <ipxact:value>teng_baser_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pcs_speedgrade" type="string"> + <ipxact:name>pcs_speedgrade</ipxact:name> + <ipxact:displayName>pcs_speedgrade</ipxact:displayName> + <ipxact:value>e3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_speedgrade" type="string"> + <ipxact:name>pma_speedgrade</ipxact:name> + <ipxact:displayName>pma_speedgrade</ipxact:displayName> + <ipxact:value>e3</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_module_parameters> + <altera:altera_system_parameters> + <ipxact:parameters> + <ipxact:parameter parameterId="device" type="string"> + <ipxact:name>device</ipxact:name> + <ipxact:displayName>Device</ipxact:displayName> + <ipxact:value>10AX115U3F45E2SG</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="deviceFamily" type="string"> + <ipxact:name>deviceFamily</ipxact:name> + <ipxact:displayName>Device family</ipxact:displayName> + <ipxact:value>Arria 10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="deviceSpeedGrade" type="string"> + <ipxact:name>deviceSpeedGrade</ipxact:name> + <ipxact:displayName>Device Speed Grade</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="generationId" type="int"> + <ipxact:name>generationId</ipxact:name> + <ipxact:displayName>Generation Id</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="bonusData" type="string"> + <ipxact:name>bonusData</ipxact:name> + <ipxact:displayName>bonusData</ipxact:displayName> + <ipxact:value>bonusData +{ + element xcvr_native_a10_0 + { + datum _sortIndex + { + value = "0"; + type = "int"; + } + } +} +</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hideFromIPCatalog" type="bit"> + <ipxact:name>hideFromIPCatalog</ipxact:name> + <ipxact:displayName>Hide from IP Catalog</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="lockedInterfaceDefinition" type="string"> + <ipxact:name>lockedInterfaceDefinition</ipxact:name> + <ipxact:displayName>lockedInterfaceDefinition</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="systemInfos" type="string"> + <ipxact:name>systemInfos</ipxact:name> + <ipxact:displayName>systemInfos</ipxact:displayName> + <ipxact:value><systemInfosDefinition> + <connPtSystemInfos/> +</systemInfosDefinition></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_system_parameters> + <altera:altera_interface_boundary> + <altera:interface_mapping altera:name="reconfig_avmm" altera:internal="xcvr_native_a10_0.reconfig_avmm" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="reconfig_address" altera:internal="reconfig_address"></altera:port_mapping> + <altera:port_mapping altera:name="reconfig_read" altera:internal="reconfig_read"></altera:port_mapping> + <altera:port_mapping altera:name="reconfig_readdata" altera:internal="reconfig_readdata"></altera:port_mapping> + <altera:port_mapping altera:name="reconfig_waitrequest" altera:internal="reconfig_waitrequest"></altera:port_mapping> + <altera:port_mapping altera:name="reconfig_write" altera:internal="reconfig_write"></altera:port_mapping> + <altera:port_mapping altera:name="reconfig_writedata" altera:internal="reconfig_writedata"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="reconfig_clk" altera:internal="xcvr_native_a10_0.reconfig_clk" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="reconfig_clk" altera:internal="reconfig_clk"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="reconfig_reset" altera:internal="xcvr_native_a10_0.reconfig_reset" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="reconfig_reset" altera:internal="reconfig_reset"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_analogreset" altera:internal="xcvr_native_a10_0.rx_analogreset" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_analogreset" altera:internal="rx_analogreset"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_cal_busy" altera:internal="xcvr_native_a10_0.rx_cal_busy" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_cal_busy" altera:internal="rx_cal_busy"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_cdr_refclk0" altera:internal="xcvr_native_a10_0.rx_cdr_refclk0" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_cdr_refclk0" altera:internal="rx_cdr_refclk0"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_clkout" altera:internal="xcvr_native_a10_0.rx_clkout" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_clkout" altera:internal="rx_clkout"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_control" altera:internal="xcvr_native_a10_0.rx_control" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_control" altera:internal="rx_control"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_coreclkin" altera:internal="xcvr_native_a10_0.rx_coreclkin" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_coreclkin" altera:internal="rx_coreclkin"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_digitalreset" altera:internal="xcvr_native_a10_0.rx_digitalreset" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_digitalreset" altera:internal="rx_digitalreset"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_enh_blk_lock" altera:internal="xcvr_native_a10_0.rx_enh_blk_lock" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_enh_blk_lock" altera:internal="rx_enh_blk_lock"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_enh_data_valid" altera:internal="xcvr_native_a10_0.rx_enh_data_valid" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_enh_data_valid" altera:internal="rx_enh_data_valid"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_enh_fifo_del" altera:internal="xcvr_native_a10_0.rx_enh_fifo_del" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_enh_fifo_del" altera:internal="rx_enh_fifo_del"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_enh_fifo_empty" altera:internal="xcvr_native_a10_0.rx_enh_fifo_empty" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_enh_fifo_empty" altera:internal="rx_enh_fifo_empty"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_enh_fifo_full" altera:internal="xcvr_native_a10_0.rx_enh_fifo_full" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_enh_fifo_full" altera:internal="rx_enh_fifo_full"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_enh_fifo_insert" altera:internal="xcvr_native_a10_0.rx_enh_fifo_insert" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_enh_fifo_insert" altera:internal="rx_enh_fifo_insert"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_enh_highber" altera:internal="xcvr_native_a10_0.rx_enh_highber" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_enh_highber" altera:internal="rx_enh_highber"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_is_lockedtodata" altera:internal="xcvr_native_a10_0.rx_is_lockedtodata" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_is_lockedtodata" altera:internal="rx_is_lockedtodata"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_is_lockedtoref" altera:internal="xcvr_native_a10_0.rx_is_lockedtoref" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_is_lockedtoref" altera:internal="rx_is_lockedtoref"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_parallel_data" altera:internal="xcvr_native_a10_0.rx_parallel_data" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_parallel_data" altera:internal="rx_parallel_data"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_prbs_done" altera:internal="xcvr_native_a10_0.rx_prbs_done" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_prbs_done" altera:internal="rx_prbs_done"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_prbs_err" altera:internal="xcvr_native_a10_0.rx_prbs_err" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_prbs_err" altera:internal="rx_prbs_err"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_prbs_err_clr" altera:internal="xcvr_native_a10_0.rx_prbs_err_clr" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_prbs_err_clr" altera:internal="rx_prbs_err_clr"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_serial_data" altera:internal="xcvr_native_a10_0.rx_serial_data" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_serial_data" altera:internal="rx_serial_data"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_seriallpbken" altera:internal="xcvr_native_a10_0.rx_seriallpbken" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_seriallpbken" altera:internal="rx_seriallpbken"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_analogreset" altera:internal="xcvr_native_a10_0.tx_analogreset" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_analogreset" altera:internal="tx_analogreset"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_cal_busy" altera:internal="xcvr_native_a10_0.tx_cal_busy" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_cal_busy" altera:internal="tx_cal_busy"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_clkout" altera:internal="xcvr_native_a10_0.tx_clkout" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_clkout" altera:internal="tx_clkout"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_control" altera:internal="xcvr_native_a10_0.tx_control" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_control" altera:internal="tx_control"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_coreclkin" altera:internal="xcvr_native_a10_0.tx_coreclkin" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_coreclkin" altera:internal="tx_coreclkin"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_digitalreset" altera:internal="xcvr_native_a10_0.tx_digitalreset" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_digitalreset" altera:internal="tx_digitalreset"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_enh_data_valid" altera:internal="xcvr_native_a10_0.tx_enh_data_valid" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_enh_data_valid" altera:internal="tx_enh_data_valid"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_enh_fifo_empty" altera:internal="xcvr_native_a10_0.tx_enh_fifo_empty" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_enh_fifo_empty" altera:internal="tx_enh_fifo_empty"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_enh_fifo_full" altera:internal="xcvr_native_a10_0.tx_enh_fifo_full" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_enh_fifo_full" altera:internal="tx_enh_fifo_full"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_enh_fifo_pempty" altera:internal="xcvr_native_a10_0.tx_enh_fifo_pempty" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_enh_fifo_pempty" altera:internal="tx_enh_fifo_pempty"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_enh_fifo_pfull" altera:internal="xcvr_native_a10_0.tx_enh_fifo_pfull" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_enh_fifo_pfull" altera:internal="tx_enh_fifo_pfull"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_err_ins" altera:internal="xcvr_native_a10_0.tx_err_ins" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_err_ins" altera:internal="tx_err_ins"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_parallel_data" altera:internal="xcvr_native_a10_0.tx_parallel_data" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_parallel_data" altera:internal="tx_parallel_data"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_serial_clk0" altera:internal="xcvr_native_a10_0.tx_serial_clk0" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_serial_clk0" altera:internal="tx_serial_clk0"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_serial_data" altera:internal="xcvr_native_a10_0.tx_serial_data" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_serial_data" altera:internal="tx_serial_data"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="unused_rx_control" altera:internal="xcvr_native_a10_0.unused_rx_control" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="unused_rx_control" altera:internal="unused_rx_control"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="unused_rx_parallel_data" altera:internal="xcvr_native_a10_0.unused_rx_parallel_data" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="unused_rx_parallel_data" altera:internal="unused_rx_parallel_data"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="unused_tx_control" altera:internal="xcvr_native_a10_0.unused_tx_control" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="unused_tx_control" altera:internal="unused_tx_control"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="unused_tx_parallel_data" altera:internal="xcvr_native_a10_0.unused_tx_parallel_data" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="unused_tx_parallel_data" altera:internal="unused_tx_parallel_data"></altera:port_mapping> + </altera:interface_mapping> + </altera:altera_interface_boundary> + <altera:altera_has_warnings>false</altera:altera_has_warnings> + <altera:altera_has_errors>false</altera:altera_has_errors> + </ipxact:vendorExtensions> +</ipxact:component> \ No newline at end of file diff --git a/libraries/technology/ip_arria10_e2sg/phy_10gbase_r_24/ip_arria10_e2sg_phy_10gbase_r_24.qsys b/libraries/technology/ip_arria10_e2sg/phy_10gbase_r_24/ip_arria10_e2sg_phy_10gbase_r_24.qsys deleted file mode 100644 index e1de6c2958..0000000000 --- a/libraries/technology/ip_arria10_e2sg/phy_10gbase_r_24/ip_arria10_e2sg_phy_10gbase_r_24.qsys +++ /dev/null @@ -1,627 +0,0 @@ -<?xml version="1.0" encoding="UTF-8"?> -<system name="ip_arria10_e2sg_phy_10gbase_r_24"> - <component - name="$${FILENAME}" - displayName="$${FILENAME}" - version="1.0" - description="" - tags="AUTHORSHIP=Intel Corporation /// INTERNAL_COMPONENT=true" - categories="System" - tool="QsysStandard" /> - <parameter name="bonusData"><![CDATA[bonusData -{ - element $system - { - } - element xcvr_native_a10_0 - { - datum _sortIndex - { - value = "0"; - type = "int"; - } - } -} -]]></parameter> - <parameter name="device" value="10AX115U3F45E2SG" /> - <parameter name="deviceFamily" value="Arria 10" /> - <parameter name="deviceSpeedGrade" value="2" /> - <parameter name="fabricMode" value="QSYS" /> - <parameter name="generateLegacySim" value="false" /> - <parameter name="generationId" value="0" /> - <parameter name="globalResetBus" value="false" /> - <parameter name="hdlLanguage" value="VERILOG" /> - <parameter name="hideFromIPCatalog" value="true" /> - <parameter name="lockedInterfaceDefinition" value="" /> - <parameter name="sopcBorderPoints" value="false" /> - <parameter name="systemHash" value="0" /> - <parameter name="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos/> -</systemInfosDefinition>]]></parameter> - <parameter name="systemScripts" value="" /> - <parameter name="testBenchDutName" value="" /> - <parameter name="timeStamp" value="0" /> - <parameter name="useTestBenchNamingPattern" value="false" /> - <instanceScript></instanceScript> - <interface - name="reconfig_avmm" - internal="xcvr_native_a10_0.reconfig_avmm" - type="conduit" - dir="end"> - <port name="reconfig_address" internal="reconfig_address" /> - <port name="reconfig_read" internal="reconfig_read" /> - <port name="reconfig_readdata" internal="reconfig_readdata" /> - <port name="reconfig_waitrequest" internal="reconfig_waitrequest" /> - <port name="reconfig_write" internal="reconfig_write" /> - <port name="reconfig_writedata" internal="reconfig_writedata" /> - </interface> - <interface - name="reconfig_clk" - internal="xcvr_native_a10_0.reconfig_clk" - type="conduit" - dir="end"> - <port name="reconfig_clk" internal="reconfig_clk" /> - </interface> - <interface - name="reconfig_reset" - internal="xcvr_native_a10_0.reconfig_reset" - type="conduit" - dir="end"> - <port name="reconfig_reset" internal="reconfig_reset" /> - </interface> - <interface - name="rx_analogreset" - internal="xcvr_native_a10_0.rx_analogreset" - type="conduit" - dir="end"> - <port name="rx_analogreset" internal="rx_analogreset" /> - </interface> - <interface - name="rx_cal_busy" - internal="xcvr_native_a10_0.rx_cal_busy" - type="conduit" - dir="end"> - <port name="rx_cal_busy" internal="rx_cal_busy" /> - </interface> - <interface - name="rx_cdr_refclk0" - internal="xcvr_native_a10_0.rx_cdr_refclk0" - type="conduit" - dir="end"> - <port name="rx_cdr_refclk0" internal="rx_cdr_refclk0" /> - </interface> - <interface - name="rx_clkout" - internal="xcvr_native_a10_0.rx_clkout" - type="conduit" - dir="end"> - <port name="rx_clkout" internal="rx_clkout" /> - </interface> - <interface - name="rx_control" - internal="xcvr_native_a10_0.rx_control" - type="conduit" - dir="end"> - <port name="rx_control" internal="rx_control" /> - </interface> - <interface - name="rx_coreclkin" - internal="xcvr_native_a10_0.rx_coreclkin" - type="conduit" - dir="end"> - <port name="rx_coreclkin" internal="rx_coreclkin" /> - </interface> - <interface - name="rx_digitalreset" - internal="xcvr_native_a10_0.rx_digitalreset" - type="conduit" - dir="end"> - <port name="rx_digitalreset" internal="rx_digitalreset" /> - </interface> - <interface - name="rx_enh_blk_lock" - internal="xcvr_native_a10_0.rx_enh_blk_lock" - type="conduit" - dir="end"> - <port name="rx_enh_blk_lock" internal="rx_enh_blk_lock" /> - </interface> - <interface - name="rx_enh_data_valid" - internal="xcvr_native_a10_0.rx_enh_data_valid" - type="conduit" - dir="end"> - <port name="rx_enh_data_valid" internal="rx_enh_data_valid" /> - </interface> - <interface - name="rx_enh_fifo_del" - internal="xcvr_native_a10_0.rx_enh_fifo_del" - type="conduit" - dir="end"> - <port name="rx_enh_fifo_del" internal="rx_enh_fifo_del" /> - </interface> - <interface - name="rx_enh_fifo_empty" - internal="xcvr_native_a10_0.rx_enh_fifo_empty" - type="conduit" - dir="end"> - <port name="rx_enh_fifo_empty" internal="rx_enh_fifo_empty" /> - </interface> - <interface - name="rx_enh_fifo_full" - internal="xcvr_native_a10_0.rx_enh_fifo_full" - type="conduit" - dir="end"> - <port name="rx_enh_fifo_full" internal="rx_enh_fifo_full" /> - </interface> - <interface - name="rx_enh_fifo_insert" - internal="xcvr_native_a10_0.rx_enh_fifo_insert" - type="conduit" - dir="end"> - <port name="rx_enh_fifo_insert" internal="rx_enh_fifo_insert" /> - </interface> - <interface - name="rx_enh_highber" - internal="xcvr_native_a10_0.rx_enh_highber" - type="conduit" - dir="end"> - <port name="rx_enh_highber" internal="rx_enh_highber" /> - </interface> - <interface - name="rx_is_lockedtodata" - internal="xcvr_native_a10_0.rx_is_lockedtodata" - type="conduit" - dir="end"> - <port name="rx_is_lockedtodata" internal="rx_is_lockedtodata" /> - </interface> - <interface - name="rx_is_lockedtoref" - internal="xcvr_native_a10_0.rx_is_lockedtoref" - type="conduit" - dir="end"> - <port name="rx_is_lockedtoref" internal="rx_is_lockedtoref" /> - </interface> - <interface - name="rx_parallel_data" - internal="xcvr_native_a10_0.rx_parallel_data" - type="conduit" - dir="end"> - <port name="rx_parallel_data" internal="rx_parallel_data" /> - </interface> - <interface - name="rx_prbs_done" - internal="xcvr_native_a10_0.rx_prbs_done" - type="conduit" - dir="end"> - <port name="rx_prbs_done" internal="rx_prbs_done" /> - </interface> - <interface - name="rx_prbs_err" - internal="xcvr_native_a10_0.rx_prbs_err" - type="conduit" - dir="end"> - <port name="rx_prbs_err" internal="rx_prbs_err" /> - </interface> - <interface - name="rx_prbs_err_clr" - internal="xcvr_native_a10_0.rx_prbs_err_clr" - type="conduit" - dir="end"> - <port name="rx_prbs_err_clr" internal="rx_prbs_err_clr" /> - </interface> - <interface - name="rx_serial_data" - internal="xcvr_native_a10_0.rx_serial_data" - type="conduit" - dir="end"> - <port name="rx_serial_data" internal="rx_serial_data" /> - </interface> - <interface - name="rx_seriallpbken" - internal="xcvr_native_a10_0.rx_seriallpbken" - type="conduit" - dir="end"> - <port name="rx_seriallpbken" internal="rx_seriallpbken" /> - </interface> - <interface - name="tx_analogreset" - internal="xcvr_native_a10_0.tx_analogreset" - type="conduit" - dir="end"> - <port name="tx_analogreset" internal="tx_analogreset" /> - </interface> - <interface - name="tx_cal_busy" - internal="xcvr_native_a10_0.tx_cal_busy" - type="conduit" - dir="end"> - <port name="tx_cal_busy" internal="tx_cal_busy" /> - </interface> - <interface - name="tx_clkout" - internal="xcvr_native_a10_0.tx_clkout" - type="conduit" - dir="end"> - <port name="tx_clkout" internal="tx_clkout" /> - </interface> - <interface - name="tx_control" - internal="xcvr_native_a10_0.tx_control" - type="conduit" - dir="end"> - <port name="tx_control" internal="tx_control" /> - </interface> - <interface - name="tx_coreclkin" - internal="xcvr_native_a10_0.tx_coreclkin" - type="conduit" - dir="end"> - <port name="tx_coreclkin" internal="tx_coreclkin" /> - </interface> - <interface - name="tx_digitalreset" - internal="xcvr_native_a10_0.tx_digitalreset" - type="conduit" - dir="end"> - <port name="tx_digitalreset" internal="tx_digitalreset" /> - </interface> - <interface - name="tx_enh_data_valid" - internal="xcvr_native_a10_0.tx_enh_data_valid" - type="conduit" - dir="end"> - <port name="tx_enh_data_valid" internal="tx_enh_data_valid" /> - </interface> - <interface - name="tx_enh_fifo_empty" - internal="xcvr_native_a10_0.tx_enh_fifo_empty" - type="conduit" - dir="end"> - <port name="tx_enh_fifo_empty" internal="tx_enh_fifo_empty" /> - </interface> - <interface - name="tx_enh_fifo_full" - internal="xcvr_native_a10_0.tx_enh_fifo_full" - type="conduit" - dir="end"> - <port name="tx_enh_fifo_full" internal="tx_enh_fifo_full" /> - </interface> - <interface - name="tx_enh_fifo_pempty" - internal="xcvr_native_a10_0.tx_enh_fifo_pempty" - type="conduit" - dir="end"> - <port name="tx_enh_fifo_pempty" internal="tx_enh_fifo_pempty" /> - </interface> - <interface - name="tx_enh_fifo_pfull" - internal="xcvr_native_a10_0.tx_enh_fifo_pfull" - type="conduit" - dir="end"> - <port name="tx_enh_fifo_pfull" internal="tx_enh_fifo_pfull" /> - </interface> - <interface - name="tx_err_ins" - internal="xcvr_native_a10_0.tx_err_ins" - type="conduit" - dir="end"> - <port name="tx_err_ins" internal="tx_err_ins" /> - </interface> - <interface - name="tx_parallel_data" - internal="xcvr_native_a10_0.tx_parallel_data" - type="conduit" - dir="end"> - <port name="tx_parallel_data" internal="tx_parallel_data" /> - </interface> - <interface name="tx_pma_clkout" internal="xcvr_native_a10_0.tx_pma_clkout" /> - <interface - name="tx_pma_div_clkout" - internal="xcvr_native_a10_0.tx_pma_div_clkout" /> - <interface - name="tx_serial_clk0" - internal="xcvr_native_a10_0.tx_serial_clk0" - type="conduit" - dir="end"> - <port name="tx_serial_clk0" internal="tx_serial_clk0" /> - </interface> - <interface - name="tx_serial_data" - internal="xcvr_native_a10_0.tx_serial_data" - type="conduit" - dir="end"> - <port name="tx_serial_data" internal="tx_serial_data" /> - </interface> - <interface - name="unused_rx_control" - internal="xcvr_native_a10_0.unused_rx_control" - type="conduit" - dir="end"> - <port name="unused_rx_control" internal="unused_rx_control" /> - </interface> - <interface - name="unused_rx_parallel_data" - internal="xcvr_native_a10_0.unused_rx_parallel_data" - type="conduit" - dir="end"> - <port name="unused_rx_parallel_data" internal="unused_rx_parallel_data" /> - </interface> - <interface - name="unused_tx_control" - internal="xcvr_native_a10_0.unused_tx_control" - type="conduit" - dir="end"> - <port name="unused_tx_control" internal="unused_tx_control" /> - </interface> - <interface - name="unused_tx_parallel_data" - internal="xcvr_native_a10_0.unused_tx_parallel_data" - type="conduit" - dir="end"> - <port name="unused_tx_parallel_data" internal="unused_tx_parallel_data" /> - </interface> - <module - name="xcvr_native_a10_0" - kind="altera_xcvr_native_a10" - version="19.1" - enabled="1" - autoexport="1"> - <parameter name="anlg_enable_rx_default_ovr" value="0" /> - <parameter name="anlg_enable_tx_default_ovr" value="0" /> - <parameter name="anlg_link" value="sr" /> - <parameter name="anlg_rx_adp_ctle_acgain_4s">radp_ctle_acgain_4s_1</parameter> - <parameter name="anlg_rx_adp_ctle_eqz_1s_sel">radp_ctle_eqz_1s_sel_3</parameter> - <parameter name="anlg_rx_adp_dfe_fxtap1" value="radp_dfe_fxtap1_0" /> - <parameter name="anlg_rx_adp_dfe_fxtap10" value="radp_dfe_fxtap10_0" /> - <parameter name="anlg_rx_adp_dfe_fxtap11" value="radp_dfe_fxtap11_0" /> - <parameter name="anlg_rx_adp_dfe_fxtap2" value="radp_dfe_fxtap2_0" /> - <parameter name="anlg_rx_adp_dfe_fxtap3" value="radp_dfe_fxtap3_0" /> - <parameter name="anlg_rx_adp_dfe_fxtap4" value="radp_dfe_fxtap4_0" /> - <parameter name="anlg_rx_adp_dfe_fxtap5" value="radp_dfe_fxtap5_0" /> - <parameter name="anlg_rx_adp_dfe_fxtap6" value="radp_dfe_fxtap6_0" /> - <parameter name="anlg_rx_adp_dfe_fxtap7" value="radp_dfe_fxtap7_0" /> - <parameter name="anlg_rx_adp_dfe_fxtap8" value="radp_dfe_fxtap8_0" /> - <parameter name="anlg_rx_adp_dfe_fxtap9" value="radp_dfe_fxtap9_0" /> - <parameter name="anlg_rx_adp_vga_sel" value="radp_vga_sel_2" /> - <parameter name="anlg_rx_eq_dc_gain_trim" value="stg2_gain7" /> - <parameter name="anlg_rx_one_stage_enable" value="s1_mode" /> - <parameter name="anlg_rx_term_sel" value="r_r1" /> - <parameter name="anlg_tx_analog_mode" value="user_custom" /> - <parameter name="anlg_tx_compensation_en" value="enable" /> - <parameter name="anlg_tx_pre_emp_sign_1st_post_tap" value="fir_post_1t_neg" /> - <parameter name="anlg_tx_pre_emp_sign_2nd_post_tap" value="fir_post_2t_neg" /> - <parameter name="anlg_tx_pre_emp_sign_pre_tap_1t" value="fir_pre_1t_neg" /> - <parameter name="anlg_tx_pre_emp_sign_pre_tap_2t" value="fir_pre_2t_neg" /> - <parameter name="anlg_tx_pre_emp_switching_ctrl_1st_post_tap" value="0" /> - <parameter name="anlg_tx_pre_emp_switching_ctrl_2nd_post_tap" value="0" /> - <parameter name="anlg_tx_pre_emp_switching_ctrl_pre_tap_1t" value="0" /> - <parameter name="anlg_tx_pre_emp_switching_ctrl_pre_tap_2t" value="0" /> - <parameter name="anlg_tx_slew_rate_ctrl" value="slew_r7" /> - <parameter name="anlg_tx_term_sel" value="r_r1" /> - <parameter name="anlg_tx_vod_output_swing_ctrl" value="0" /> - <parameter name="anlg_voltage" value="1_1V" /> - <parameter name="base_device" value="NIGHTFURY5" /> - <parameter name="bonded_mode" value="not_bonded" /> - <parameter name="cdr_refclk_cnt" value="1" /> - <parameter name="cdr_refclk_select" value="0" /> - <parameter name="channels" value="24" /> - <parameter name="design_environment" value="NATIVE" /> - <parameter name="device" value="10AX115U3F45E2SG" /> - <parameter name="device_family" value="Arria 10" /> - <parameter name="disable_continuous_dfe" value="false" /> - <parameter name="duplex_mode" value="duplex" /> - <parameter name="enable_analog_settings" value="0" /> - <parameter name="enable_hard_reset" value="0" /> - <parameter name="enable_hip" value="0" /> - <parameter name="enable_parallel_loopback" value="0" /> - <parameter name="enable_pcie_data_mask_option" value="0" /> - <parameter name="enable_pcie_dfe_ip" value="false" /> - <parameter name="enable_port_krfec_rx_enh_frame" value="0" /> - <parameter name="enable_port_krfec_rx_enh_frame_diag_status" value="0" /> - <parameter name="enable_port_krfec_tx_enh_frame" value="0" /> - <parameter name="enable_port_pipe_rx_polarity" value="0" /> - <parameter name="enable_port_rx_analog_reset_ack" value="0" /> - <parameter name="enable_port_rx_enh_bitslip" value="0" /> - <parameter name="enable_port_rx_enh_blk_lock" value="1" /> - <parameter name="enable_port_rx_enh_clr_errblk_count" value="0" /> - <parameter name="enable_port_rx_enh_clr_errblk_count_c10" value="0" /> - <parameter name="enable_port_rx_enh_crc32_err" value="0" /> - <parameter name="enable_port_rx_enh_data_valid" value="1" /> - <parameter name="enable_port_rx_enh_fifo_align_clr" value="0" /> - <parameter name="enable_port_rx_enh_fifo_align_val" value="0" /> - <parameter name="enable_port_rx_enh_fifo_cnt" value="0" /> - <parameter name="enable_port_rx_enh_fifo_del" value="1" /> - <parameter name="enable_port_rx_enh_fifo_empty" value="1" /> - <parameter name="enable_port_rx_enh_fifo_full" value="1" /> - <parameter name="enable_port_rx_enh_fifo_insert" value="1" /> - <parameter name="enable_port_rx_enh_fifo_pempty" value="0" /> - <parameter name="enable_port_rx_enh_fifo_pfull" value="0" /> - <parameter name="enable_port_rx_enh_fifo_rd_en" value="0" /> - <parameter name="enable_port_rx_enh_frame" value="0" /> - <parameter name="enable_port_rx_enh_frame_diag_status" value="0" /> - <parameter name="enable_port_rx_enh_frame_lock" value="0" /> - <parameter name="enable_port_rx_enh_highber" value="1" /> - <parameter name="enable_port_rx_enh_highber_clr_cnt" value="0" /> - <parameter name="enable_port_rx_is_lockedtodata" value="1" /> - <parameter name="enable_port_rx_is_lockedtoref" value="1" /> - <parameter name="enable_port_rx_pma_clkout" value="0" /> - <parameter name="enable_port_rx_pma_clkslip" value="0" /> - <parameter name="enable_port_rx_pma_div_clkout" value="0" /> - <parameter name="enable_port_rx_pma_iqtxrx_clkout" value="0" /> - <parameter name="enable_port_rx_pma_qpipulldn" value="0" /> - <parameter name="enable_port_rx_polinv" value="0" /> - <parameter name="enable_port_rx_seriallpbken" value="1" /> - <parameter name="enable_port_rx_seriallpbken_tx" value="1" /> - <parameter name="enable_port_rx_signaldetect" value="0" /> - <parameter name="enable_port_rx_std_bitrev_ena" value="0" /> - <parameter name="enable_port_rx_std_bitslip" value="0" /> - <parameter name="enable_port_rx_std_bitslipboundarysel" value="0" /> - <parameter name="enable_port_rx_std_byterev_ena" value="0" /> - <parameter name="enable_port_rx_std_pcfifo_empty" value="0" /> - <parameter name="enable_port_rx_std_pcfifo_full" value="0" /> - <parameter name="enable_port_rx_std_rmfifo_empty" value="0" /> - <parameter name="enable_port_rx_std_rmfifo_full" value="0" /> - <parameter name="enable_port_rx_std_signaldetect" value="0" /> - <parameter name="enable_port_rx_std_wa_a1a2size" value="0" /> - <parameter name="enable_port_rx_std_wa_patternalign" value="0" /> - <parameter name="enable_port_tx_analog_reset_ack" value="0" /> - <parameter name="enable_port_tx_enh_bitslip" value="0" /> - <parameter name="enable_port_tx_enh_fifo_cnt" value="0" /> - <parameter name="enable_port_tx_enh_fifo_empty" value="1" /> - <parameter name="enable_port_tx_enh_fifo_full" value="1" /> - <parameter name="enable_port_tx_enh_fifo_pempty" value="1" /> - <parameter name="enable_port_tx_enh_fifo_pfull" value="1" /> - <parameter name="enable_port_tx_enh_frame" value="0" /> - <parameter name="enable_port_tx_enh_frame_burst_en" value="0" /> - <parameter name="enable_port_tx_enh_frame_diag_status" value="0" /> - <parameter name="enable_port_tx_pma_clkout" value="0" /> - <parameter name="enable_port_tx_pma_div_clkout" value="0" /> - <parameter name="enable_port_tx_pma_elecidle" value="0" /> - <parameter name="enable_port_tx_pma_iqtxrx_clkout" value="0" /> - <parameter name="enable_port_tx_pma_qpipulldn" value="0" /> - <parameter name="enable_port_tx_pma_qpipullup" value="0" /> - <parameter name="enable_port_tx_pma_rxfound" value="0" /> - <parameter name="enable_port_tx_pma_txdetectrx" value="0" /> - <parameter name="enable_port_tx_polinv" value="0" /> - <parameter name="enable_port_tx_std_bitslipboundarysel" value="0" /> - <parameter name="enable_port_tx_std_pcfifo_empty" value="0" /> - <parameter name="enable_port_tx_std_pcfifo_full" value="0" /> - <parameter name="enable_ports_adaptation" value="0" /> - <parameter name="enable_ports_pipe_g3_analog" value="0" /> - <parameter name="enable_ports_pipe_hclk" value="0" /> - <parameter name="enable_ports_pipe_rx_elecidle" value="0" /> - <parameter name="enable_ports_pipe_sw" value="0" /> - <parameter name="enable_ports_rx_manual_cdr_mode" value="0" /> - <parameter name="enable_ports_rx_manual_ppm" value="0" /> - <parameter name="enable_ports_rx_prbs" value="1" /> - <parameter name="enable_simple_interface" value="1" /> - <parameter name="enable_skp_ports" value="0" /> - <parameter name="enable_split_interface" value="0" /> - <parameter name="enable_transparent_pcs" value="0" /> - <parameter name="enable_upi_pipeline_options" value="0" /> - <parameter name="enh_low_latency_enable" value="0" /> - <parameter name="enh_pcs_pma_width" value="32" /> - <parameter name="enh_pld_pcs_width" value="66" /> - <parameter name="enh_rx_64b66b_enable" value="1" /> - <parameter name="enh_rx_bitslip_enable" value="0" /> - <parameter name="enh_rx_blksync_enable" value="1" /> - <parameter name="enh_rx_crcchk_enable" value="0" /> - <parameter name="enh_rx_descram_enable" value="1" /> - <parameter name="enh_rx_dispchk_enable" value="0" /> - <parameter name="enh_rx_frmsync_enable" value="0" /> - <parameter name="enh_rx_frmsync_mfrm_length" value="2048" /> - <parameter name="enh_rx_krfec_err_mark_enable" value="0" /> - <parameter name="enh_rx_krfec_err_mark_type" value="10G" /> - <parameter name="enh_rx_polinv_enable" value="0" /> - <parameter name="enh_rxfifo_align_del" value="0" /> - <parameter name="enh_rxfifo_control_del" value="0" /> - <parameter name="enh_rxfifo_mode" value="10GBase-R" /> - <parameter name="enh_rxfifo_pempty" value="2" /> - <parameter name="enh_rxfifo_pfull" value="23" /> - <parameter name="enh_rxtxfifo_double_width" value="0" /> - <parameter name="enh_tx_64b66b_enable" value="1" /> - <parameter name="enh_tx_bitslip_enable" value="0" /> - <parameter name="enh_tx_crcerr_enable" value="0" /> - <parameter name="enh_tx_crcgen_enable" value="0" /> - <parameter name="enh_tx_dispgen_enable" value="0" /> - <parameter name="enh_tx_frmgen_burst_enable" value="0" /> - <parameter name="enh_tx_frmgen_enable" value="0" /> - <parameter name="enh_tx_frmgen_mfrm_length" value="2048" /> - <parameter name="enh_tx_krfec_burst_err_enable" value="0" /> - <parameter name="enh_tx_krfec_burst_err_len" value="1" /> - <parameter name="enh_tx_polinv_enable" value="0" /> - <parameter name="enh_tx_randomdispbit_enable" value="0" /> - <parameter name="enh_tx_scram_enable" value="1" /> - <parameter name="enh_tx_scram_seed" value="288230376151711743" /> - <parameter name="enh_tx_sh_err" value="0" /> - <parameter name="enh_txfifo_mode" value="Phase compensation" /> - <parameter name="enh_txfifo_pempty" value="2" /> - <parameter name="enh_txfifo_pfull" value="11" /> - <parameter name="generate_add_hdl_instance_example" value="0" /> - <parameter name="generate_docs" value="1" /> - <parameter name="message_level" value="error" /> - <parameter name="number_physical_bonding_clocks" value="1" /> - <parameter name="pcie_rate_match" value="Bypass" /> - <parameter name="pcs_direct_width" value="8" /> - <parameter name="pcs_tx_delay1_ctrl" value="delay1_path0" /> - <parameter name="pcs_tx_delay1_data_sel" value="one_ff_delay" /> - <parameter name="pcs_tx_delay2_ctrl" value="delay2_path0" /> - <parameter name="pll_select" value="0" /> - <parameter name="plls" value="1" /> - <parameter name="pma_mode" value="basic" /> - <parameter name="protocol_mode" value="teng_baser_mode" /> - <parameter name="rcfg_enable" value="1" /> - <parameter name="rcfg_enable_avmm_busy_port" value="0" /> - <parameter name="rcfg_file_prefix">altera_xcvr_native_a10</parameter> - <parameter name="rcfg_h_file_enable" value="1" /> - <parameter name="rcfg_iface_enable" value="0" /> - <parameter name="rcfg_jtag_enable" value="1" /> - <parameter name="rcfg_mif_file_enable" value="1" /> - <parameter name="rcfg_multi_enable" value="0" /> - <parameter name="rcfg_profile_cnt" value="2" /> - <parameter name="rcfg_profile_data0" value="" /> - <parameter name="rcfg_profile_data1" value="" /> - <parameter name="rcfg_profile_data2" value="" /> - <parameter name="rcfg_profile_data3" value="" /> - <parameter name="rcfg_profile_data4" value="" /> - <parameter name="rcfg_profile_data5" value="" /> - <parameter name="rcfg_profile_data6" value="" /> - <parameter name="rcfg_profile_data7" value="" /> - <parameter name="rcfg_profile_select" value="1" /> - <parameter name="rcfg_reduced_files_enable" value="0" /> - <parameter name="rcfg_separate_avmm_busy" value="0" /> - <parameter name="rcfg_shared" value="1" /> - <parameter name="rcfg_sv_file_enable" value="1" /> - <parameter name="rx_pma_ctle_adaptation_mode" value="manual" /> - <parameter name="rx_pma_dfe_adaptation_mode" value="disabled" /> - <parameter name="rx_pma_dfe_fixed_taps" value="3" /> - <parameter name="rx_pma_div_clkout_divider" value="0" /> - <parameter name="rx_ppm_detect_threshold" value="1000" /> - <parameter name="set_capability_reg_enable" value="1" /> - <parameter name="set_cdr_refclk_freq" value="644.531250" /> - <parameter name="set_csr_soft_logic_enable" value="1" /> - <parameter name="set_data_rate" value="10312.5" /> - <parameter name="set_disconnect_analog_resets" value="0" /> - <parameter name="set_embedded_debug_enable" value="0" /> - <parameter name="set_enable_calibration" value="0" /> - <parameter name="set_hip_cal_en" value="0" /> - <parameter name="set_odi_soft_logic_enable" value="0" /> - <parameter name="set_pcs_bonding_master" value="Auto" /> - <parameter name="set_prbs_soft_logic_enable" value="1" /> - <parameter name="set_rcfg_emb_strm_enable" value="0" /> - <parameter name="set_user_identifier" value="0" /> - <parameter name="sim_reduced_counters" value="false" /> - <parameter name="std_data_mask_count_multi" value="0" /> - <parameter name="std_low_latency_bypass_enable" value="0" /> - <parameter name="std_pcs_pma_width" value="10" /> - <parameter name="std_rx_8b10b_enable" value="0" /> - <parameter name="std_rx_bitrev_enable" value="0" /> - <parameter name="std_rx_byte_deser_mode" value="Disabled" /> - <parameter name="std_rx_byterev_enable" value="0" /> - <parameter name="std_rx_pcfifo_mode" value="low_latency" /> - <parameter name="std_rx_polinv_enable" value="0" /> - <parameter name="std_rx_rmfifo_mode" value="disabled" /> - <parameter name="std_rx_rmfifo_pattern_n" value="0" /> - <parameter name="std_rx_rmfifo_pattern_p" value="0" /> - <parameter name="std_rx_word_aligner_fast_sync_status_enable" value="0" /> - <parameter name="std_rx_word_aligner_mode" value="bitslip" /> - <parameter name="std_rx_word_aligner_pattern" value="0" /> - <parameter name="std_rx_word_aligner_pattern_len" value="7" /> - <parameter name="std_rx_word_aligner_renumber" value="3" /> - <parameter name="std_rx_word_aligner_rgnumber" value="3" /> - <parameter name="std_rx_word_aligner_rknumber" value="3" /> - <parameter name="std_rx_word_aligner_rvnumber" value="0" /> - <parameter name="std_tx_8b10b_disp_ctrl_enable" value="0" /> - <parameter name="std_tx_8b10b_enable" value="0" /> - <parameter name="std_tx_bitrev_enable" value="0" /> - <parameter name="std_tx_bitslip_enable" value="0" /> - <parameter name="std_tx_byte_ser_mode" value="Disabled" /> - <parameter name="std_tx_byterev_enable" value="0" /> - <parameter name="std_tx_pcfifo_mode" value="low_latency" /> - <parameter name="std_tx_polinv_enable" value="0" /> - <parameter name="support_mode" value="user_mode" /> - <parameter name="tx_pma_clk_div" value="1" /> - <parameter name="tx_pma_div_clkout_divider" value="33" /> - <parameter name="validation_rule_select" value="" /> - </module> -</system> diff --git a/libraries/technology/ip_arria10_e2sg/phy_10gbase_r_3/hdllib.cfg b/libraries/technology/ip_arria10_e2sg/phy_10gbase_r_3/hdllib.cfg index 9f3ddacb67..e0f43ddd0f 100644 --- a/libraries/technology/ip_arria10_e2sg/phy_10gbase_r_3/hdllib.cfg +++ b/libraries/technology/ip_arria10_e2sg/phy_10gbase_r_3/hdllib.cfg @@ -20,5 +20,5 @@ quartus_qip_files = [generate_ip_libs] qsys-generate_ip_files = - ip_arria10_e2sg_phy_10gbase_r_3.qsys + ip_arria10_e2sg_phy_10gbase_r_3.ip diff --git a/libraries/technology/ip_arria10_e2sg/phy_10gbase_r_3/ip_arria10_e2sg_phy_10gbase_r_3.ip b/libraries/technology/ip_arria10_e2sg/phy_10gbase_r_3/ip_arria10_e2sg_phy_10gbase_r_3.ip new file mode 100644 index 0000000000..62be7f70a0 --- /dev/null +++ b/libraries/technology/ip_arria10_e2sg/phy_10gbase_r_3/ip_arria10_e2sg_phy_10gbase_r_3.ip @@ -0,0 +1,10738 @@ +<?xml version="1.0" ?> +<ipxact:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact2014/extensions" xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"> + <ipxact:vendor>Intel Corporation</ipxact:vendor> + <ipxact:library>ip_arria10_e2sg_phy_10gbase_r_3</ipxact:library> + <ipxact:name>xcvr_native_a10_0</ipxact:name> + <ipxact:version>19.1</ipxact:version> + <ipxact:busInterfaces> + <ipxact:busInterface> + <ipxact:name>tx_analogreset</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>tx_analogreset</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_analogreset</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_digitalreset</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>tx_digitalreset</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_digitalreset</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_analogreset</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_analogreset</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_analogreset</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_digitalreset</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_digitalreset</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_digitalreset</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_cal_busy</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>tx_cal_busy</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_cal_busy</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_cal_busy</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_cal_busy</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_cal_busy</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_serial_clk0</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_serial_clk0</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_cdr_refclk0</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_cdr_refclk0</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_serial_data</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>tx_serial_data</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_serial_data</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_serial_data</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_serial_data</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_serial_data</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_seriallpbken</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_seriallpbken</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_seriallpbken</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_is_lockedtoref</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_is_lockedtoref</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_is_lockedtoref</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_is_lockedtodata</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_is_lockedtodata</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_is_lockedtodata</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_coreclkin</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_coreclkin</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_coreclkin</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_coreclkin</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_clkout</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_clkout</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_clkout</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_clkout</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_parallel_data</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>tx_parallel_data</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_parallel_data</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_control</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>tx_control</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_control</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_err_ins</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>tx_err_ins</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_err_ins</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>unused_tx_parallel_data</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>unused_tx_parallel_data</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>unused_tx_parallel_data</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>unused_tx_control</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>unused_tx_control</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>unused_tx_control</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_parallel_data</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_parallel_data</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_parallel_data</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_control</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_control</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_control</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>unused_rx_parallel_data</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>unused_rx_parallel_data</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>unused_rx_parallel_data</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>unused_rx_control</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>unused_rx_control</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>unused_rx_control</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_prbs_err_clr</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_prbs_err_clr</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_prbs_err_clr</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_prbs_done</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_prbs_done</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_prbs_done</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_prbs_err</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_prbs_err</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_prbs_err</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_enh_data_valid</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>tx_enh_data_valid</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_enh_data_valid</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_enh_fifo_full</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>tx_enh_fifo_full</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_enh_fifo_full</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_enh_fifo_pfull</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>tx_enh_fifo_pfull</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_enh_fifo_pfull</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_enh_fifo_empty</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>tx_enh_fifo_empty</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_enh_fifo_empty</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_enh_fifo_pempty</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>tx_enh_fifo_pempty</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_enh_fifo_pempty</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_enh_data_valid</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_enh_data_valid</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_enh_data_valid</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_enh_fifo_full</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_enh_fifo_full</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_enh_fifo_full</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_enh_fifo_empty</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_enh_fifo_empty</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_enh_fifo_empty</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_enh_fifo_del</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_enh_fifo_del</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_enh_fifo_del</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_enh_fifo_insert</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_enh_fifo_insert</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_enh_fifo_insert</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_enh_highber</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_enh_highber</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_enh_highber</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_enh_blk_lock</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_enh_blk_lock</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_enh_blk_lock</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>reconfig_clk</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>reconfig_clk</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>reconfig_reset</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>reset</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>reconfig_reset</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>reconfig_avmm</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>write</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>reconfig_write</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>read</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>reconfig_read</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>address</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>reconfig_address</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>writedata</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>reconfig_writedata</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>readdata</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>reconfig_readdata</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>waitrequest</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>reconfig_waitrequest</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + </ipxact:busInterfaces> + <ipxact:model> + <ipxact:views> + <ipxact:view> + <ipxact:name>QUARTUS_SYNTH</ipxact:name> + <ipxact:envIdentifier>:quartus.altera.com:</ipxact:envIdentifier> + <ipxact:componentInstantiationRef>QUARTUS_SYNTH</ipxact:componentInstantiationRef> + </ipxact:view> + </ipxact:views> + <ipxact:instantiations> + <ipxact:componentInstantiation> + <ipxact:name>QUARTUS_SYNTH</ipxact:name> + <ipxact:moduleName>altera_xcvr_native_a10</ipxact:moduleName> + <ipxact:fileSetRef> + <ipxact:localName>QUARTUS_SYNTH</ipxact:localName> + </ipxact:fileSetRef> + </ipxact:componentInstantiation> + </ipxact:instantiations> + <ipxact:ports> + <ipxact:port> + <ipxact:name>tx_analogreset</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>2</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_digitalreset</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>2</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_analogreset</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>2</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_digitalreset</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>2</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_cal_busy</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>2</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_cal_busy</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>2</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_serial_clk0</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>2</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_cdr_refclk0</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_serial_data</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>2</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_serial_data</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>2</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_seriallpbken</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>2</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_is_lockedtoref</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>2</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_is_lockedtodata</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>2</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_coreclkin</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>2</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_coreclkin</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>2</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_clkout</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>2</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_clkout</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>2</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_parallel_data</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>191</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_control</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>23</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_err_ins</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>2</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>unused_tx_parallel_data</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>191</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>unused_tx_control</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>26</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_parallel_data</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>191</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_control</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>23</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>unused_rx_parallel_data</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>191</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>unused_rx_control</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>35</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_prbs_err_clr</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>2</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_prbs_done</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>2</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_prbs_err</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>2</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_enh_data_valid</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>2</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_enh_fifo_full</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>2</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_enh_fifo_pfull</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>2</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_enh_fifo_empty</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>2</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_enh_fifo_pempty</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>2</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_enh_data_valid</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>2</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_enh_fifo_full</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>2</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_enh_fifo_empty</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>2</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_enh_fifo_del</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>2</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_enh_fifo_insert</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>2</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_enh_highber</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>2</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_enh_blk_lock</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>2</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>reconfig_clk</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>reconfig_reset</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>reconfig_write</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>reconfig_read</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>reconfig_address</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>11</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>reconfig_writedata</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>31</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>reconfig_readdata</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>31</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>reconfig_waitrequest</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + </ipxact:ports> + </ipxact:model> + <ipxact:vendorExtensions> + <altera:entity_info> + <ipxact:vendor>Intel Corporation</ipxact:vendor> + <ipxact:library>ip_arria10_e2sg_phy_10gbase_r_3</ipxact:library> + <ipxact:name>altera_xcvr_native_a10</ipxact:name> + <ipxact:version>19.1</ipxact:version> + </altera:entity_info> + <altera:altera_module_parameters> + <ipxact:parameters> + <ipxact:parameter parameterId="device_family" type="string"> + <ipxact:name>device_family</ipxact:name> + <ipxact:displayName>device_family</ipxact:displayName> + <ipxact:value>Arria 10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="device" type="string"> + <ipxact:name>device</ipxact:name> + <ipxact:displayName>device</ipxact:displayName> + <ipxact:value>10AX115U3F45E2SG</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="base_device" type="string"> + <ipxact:name>base_device</ipxact:name> + <ipxact:displayName>base_device</ipxact:displayName> + <ipxact:value>NIGHTFURY5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="design_environment" type="string"> + <ipxact:name>design_environment</ipxact:name> + <ipxact:displayName>design_environment</ipxact:displayName> + <ipxact:value>NATIVE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="device_revision" type="string"> + <ipxact:name>device_revision</ipxact:name> + <ipxact:displayName>device_revision</ipxact:displayName> + <ipxact:value>20nm5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="message_level" type="string"> + <ipxact:name>message_level</ipxact:name> + <ipxact:displayName>Message level for rule violations</ipxact:displayName> + <ipxact:value>error</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_voltage" type="string"> + <ipxact:name>anlg_voltage</ipxact:name> + <ipxact:displayName>VCCR_GXB and VCCT_GXB supply voltage for the Transceiver</ipxact:displayName> + <ipxact:value>1_0V</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_link" type="string"> + <ipxact:name>anlg_link</ipxact:name> + <ipxact:displayName>Tranceiver Link Type</ipxact:displayName> + <ipxact:value>sr</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="support_mode" type="string"> + <ipxact:name>support_mode</ipxact:name> + <ipxact:displayName>Protocol support mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="protocol_mode" type="string"> + <ipxact:name>protocol_mode</ipxact:name> + <ipxact:displayName>Transceiver configuration rules</ipxact:displayName> + <ipxact:value>teng_baser_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_mode" type="string"> + <ipxact:name>pma_mode</ipxact:name> + <ipxact:displayName>PMA configuration rules</ipxact:displayName> + <ipxact:value>basic</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="duplex_mode" type="string"> + <ipxact:name>duplex_mode</ipxact:name> + <ipxact:displayName>Transceiver mode</ipxact:displayName> + <ipxact:value>duplex</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="channels" type="int"> + <ipxact:name>channels</ipxact:name> + <ipxact:displayName>Number of data channels</ipxact:displayName> + <ipxact:value>3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_data_rate" type="string"> + <ipxact:name>set_data_rate</ipxact:name> + <ipxact:displayName>Data rate</ipxact:displayName> + <ipxact:value>10312.5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_iface_enable" type="int"> + <ipxact:name>rcfg_iface_enable</ipxact:name> + <ipxact:displayName>Enable datapath and interface reconfiguration</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_simple_interface" type="int"> + <ipxact:name>enable_simple_interface</ipxact:name> + <ipxact:displayName>Enable simplified data interface</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_split_interface" type="int"> + <ipxact:name>enable_split_interface</ipxact:name> + <ipxact:displayName>Provide separate interface for each channel</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_enable_calibration" type="int"> + <ipxact:name>set_enable_calibration</ipxact:name> + <ipxact:displayName>Enable calibration</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_calibration" type="int"> + <ipxact:name>enable_calibration</ipxact:name> + <ipxact:displayName>enable_calibration</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_disconnect_analog_resets" type="int"> + <ipxact:name>set_disconnect_analog_resets</ipxact:name> + <ipxact:displayName>Disconnect analog resets</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_analog_resets" type="int"> + <ipxact:name>enable_analog_resets</ipxact:name> + <ipxact:displayName>Enable analog resets</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_reset_sequence" type="int"> + <ipxact:name>enable_reset_sequence</ipxact:name> + <ipxact:displayName>Enable reset sequence</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_transparent_pcs" type="int"> + <ipxact:name>enable_transparent_pcs</ipxact:name> + <ipxact:displayName>Enable transparent PCS</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_parallel_loopback" type="int"> + <ipxact:name>enable_parallel_loopback</ipxact:name> + <ipxact:displayName>Enable parallel loopback</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_upi_pipeline_options" type="int"> + <ipxact:name>enable_upi_pipeline_options</ipxact:name> + <ipxact:displayName>Enable UPI Pipeline Options</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pcs_tx_delay1_ctrl" type="string"> + <ipxact:name>pcs_tx_delay1_ctrl</ipxact:name> + <ipxact:displayName>Delay1 setting</ipxact:displayName> + <ipxact:value>delay1_path0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pcs_tx_delay1_data_sel" type="string"> + <ipxact:name>pcs_tx_delay1_data_sel</ipxact:name> + <ipxact:displayName>Delay1 mode</ipxact:displayName> + <ipxact:value>one_ff_delay</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pcs_tx_delay2_ctrl" type="string"> + <ipxact:name>pcs_tx_delay2_ctrl</ipxact:name> + <ipxact:displayName>Delay2 setting</ipxact:displayName> + <ipxact:value>delay2_path0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="bonded_mode" type="string"> + <ipxact:name>bonded_mode</ipxact:name> + <ipxact:displayName>TX channel bonding mode</ipxact:displayName> + <ipxact:value>not_bonded</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_pcs_bonding_master" type="string"> + <ipxact:name>set_pcs_bonding_master</ipxact:name> + <ipxact:displayName>PCS TX channel bonding master</ipxact:displayName> + <ipxact:value>Auto</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pcs_bonding_master" type="int"> + <ipxact:name>pcs_bonding_master</ipxact:name> + <ipxact:displayName>Actual PCS TX channel bonding master</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="tx_pma_clk_div" type="int"> + <ipxact:name>tx_pma_clk_div</ipxact:name> + <ipxact:displayName>TX local clock division factor</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="plls" type="int"> + <ipxact:name>plls</ipxact:name> + <ipxact:displayName>Number of TX PLL clock inputs per channel</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_select" type="int"> + <ipxact:name>pll_select</ipxact:name> + <ipxact:displayName>Initial TX PLL clock input selection</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_analog_reset_ack" type="int"> + <ipxact:name>enable_port_tx_analog_reset_ack</ipxact:name> + <ipxact:displayName>Enable tx_analog_reset_ack port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_pma_clkout" type="int"> + <ipxact:name>enable_port_tx_pma_clkout</ipxact:name> + <ipxact:displayName>Enable tx_pma_clkout port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_pma_div_clkout" type="int"> + <ipxact:name>enable_port_tx_pma_div_clkout</ipxact:name> + <ipxact:displayName>Enable tx_pma_div_clkout port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="tx_pma_div_clkout_divider" type="string"> + <ipxact:name>tx_pma_div_clkout_divider</ipxact:name> + <ipxact:displayName>tx_pma_div_clkout division factor</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_pma_iqtxrx_clkout" type="int"> + <ipxact:name>enable_port_tx_pma_iqtxrx_clkout</ipxact:name> + <ipxact:displayName>Enable tx_pma_iqtxrx_clkout port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_pma_elecidle" type="int"> + <ipxact:name>enable_port_tx_pma_elecidle</ipxact:name> + <ipxact:displayName>Enable tx_pma_elecidle port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_pma_qpipullup" type="int"> + <ipxact:name>enable_port_tx_pma_qpipullup</ipxact:name> + <ipxact:displayName>Enable tx_pma_qpipullup port (QPI)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_pma_qpipulldn" type="int"> + <ipxact:name>enable_port_tx_pma_qpipulldn</ipxact:name> + <ipxact:displayName>Enable tx_pma_qpipulldn port (QPI)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_pma_txdetectrx" type="int"> + <ipxact:name>enable_port_tx_pma_txdetectrx</ipxact:name> + <ipxact:displayName>Enable tx_pma_txdetectrx port (QPI)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_pma_rxfound" type="int"> + <ipxact:name>enable_port_tx_pma_rxfound</ipxact:name> + <ipxact:displayName>Enable tx_pma_rxfound port (QPI)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_seriallpbken_tx" type="int"> + <ipxact:name>enable_port_rx_seriallpbken_tx</ipxact:name> + <ipxact:displayName>Enable rx_seriallpbken port</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="number_physical_bonding_clocks" type="int"> + <ipxact:name>number_physical_bonding_clocks</ipxact:name> + <ipxact:displayName>Number of physical bonding clock ports to use.</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_refclk_cnt" type="int"> + <ipxact:name>cdr_refclk_cnt</ipxact:name> + <ipxact:displayName>Number of CDR reference clocks</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_refclk_select" type="int"> + <ipxact:name>cdr_refclk_select</ipxact:name> + <ipxact:displayName>Selected CDR reference clock</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_cdr_refclk_freq" type="string"> + <ipxact:name>set_cdr_refclk_freq</ipxact:name> + <ipxact:displayName>Selected CDR reference clock frequency</ipxact:displayName> + <ipxact:value>644.531250</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rx_ppm_detect_threshold" type="string"> + <ipxact:name>rx_ppm_detect_threshold</ipxact:name> + <ipxact:displayName>PPM detector threshold</ipxact:displayName> + <ipxact:value>1000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rx_pma_ctle_adaptation_mode" type="string"> + <ipxact:name>rx_pma_ctle_adaptation_mode</ipxact:name> + <ipxact:displayName>CTLE mode</ipxact:displayName> + <ipxact:value>manual</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rx_pma_dfe_adaptation_mode" type="string"> + <ipxact:name>rx_pma_dfe_adaptation_mode</ipxact:name> + <ipxact:displayName>DFE mode</ipxact:displayName> + <ipxact:value>disabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rx_pma_dfe_fixed_taps" type="int"> + <ipxact:name>rx_pma_dfe_fixed_taps</ipxact:name> + <ipxact:displayName>Number of fixed dfe taps</ipxact:displayName> + <ipxact:value>3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_ports_adaptation" type="int"> + <ipxact:name>enable_ports_adaptation</ipxact:name> + <ipxact:displayName>Enable adaptation control ports</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_analog_reset_ack" type="int"> + <ipxact:name>enable_port_rx_analog_reset_ack</ipxact:name> + <ipxact:displayName>Enable rx_analog_reset_ack port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_pma_clkout" type="int"> + <ipxact:name>enable_port_rx_pma_clkout</ipxact:name> + <ipxact:displayName>Enable rx_pma_clkout port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_pma_div_clkout" type="int"> + <ipxact:name>enable_port_rx_pma_div_clkout</ipxact:name> + <ipxact:displayName>Enable rx_pma_div_clkout port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rx_pma_div_clkout_divider" type="string"> + <ipxact:name>rx_pma_div_clkout_divider</ipxact:name> + <ipxact:displayName>rx_pma_div_clkout division factor</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_pma_iqtxrx_clkout" type="int"> + <ipxact:name>enable_port_rx_pma_iqtxrx_clkout</ipxact:name> + <ipxact:displayName>Enable rx_pma_iqtxrx_clkout port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_pma_clkslip" type="int"> + <ipxact:name>enable_port_rx_pma_clkslip</ipxact:name> + <ipxact:displayName>Enable rx_pma_clkslip port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_pma_qpipulldn" type="int"> + <ipxact:name>enable_port_rx_pma_qpipulldn</ipxact:name> + <ipxact:displayName>Enable rx_pma_qpipulldn port (QPI)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_is_lockedtodata" type="int"> + <ipxact:name>enable_port_rx_is_lockedtodata</ipxact:name> + <ipxact:displayName>Enable rx_is_lockedtodata port</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_is_lockedtoref" type="int"> + <ipxact:name>enable_port_rx_is_lockedtoref</ipxact:name> + <ipxact:displayName>Enable rx_is_lockedtoref port</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_ports_rx_manual_cdr_mode" type="int"> + <ipxact:name>enable_ports_rx_manual_cdr_mode</ipxact:name> + <ipxact:displayName>Enable rx_set_locktodata and rx_set_locktoref ports</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_ports_rx_manual_ppm" type="int"> + <ipxact:name>enable_ports_rx_manual_ppm</ipxact:name> + <ipxact:displayName>Enable rx_fref and rx_clklow ports</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_signaldetect" type="int"> + <ipxact:name>enable_port_rx_signaldetect</ipxact:name> + <ipxact:displayName>Enable rx_signaldetect port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_seriallpbken" type="int"> + <ipxact:name>enable_port_rx_seriallpbken</ipxact:name> + <ipxact:displayName>Enable rx_seriallpbken port</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_ports_rx_prbs" type="int"> + <ipxact:name>enable_ports_rx_prbs</ipxact:name> + <ipxact:displayName>Enable PRBS verifier control and status ports</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_pcs_pma_width" type="int"> + <ipxact:name>std_pcs_pma_width</ipxact:name> + <ipxact:displayName>Standard PCS / PMA interface width</ipxact:displayName> + <ipxact:value>10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="display_std_tx_pld_pcs_width" type="int"> + <ipxact:name>display_std_tx_pld_pcs_width</ipxact:name> + <ipxact:displayName>FPGA fabric / Standard TX PCS interface width</ipxact:displayName> + <ipxact:value>10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="display_std_rx_pld_pcs_width" type="int"> + <ipxact:name>display_std_rx_pld_pcs_width</ipxact:name> + <ipxact:displayName>FPGA fabric / Standard RX PCS interface width</ipxact:displayName> + <ipxact:value>10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_low_latency_bypass_enable" type="int"> + <ipxact:name>std_low_latency_bypass_enable</ipxact:name> + <ipxact:displayName>Enable 'Standard PCS' low latency mode</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_hip" type="int"> + <ipxact:name>enable_hip</ipxact:name> + <ipxact:displayName>Enable PCIe hard IP support</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_skp_ports" type="int"> + <ipxact:name>enable_skp_ports</ipxact:name> + <ipxact:displayName>Enable SKP ports for Gen3</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_hard_reset" type="int"> + <ipxact:name>enable_hard_reset</ipxact:name> + <ipxact:displayName>Enable hard reset controller (HIP)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_hip_cal_en" type="int"> + <ipxact:name>set_hip_cal_en</ipxact:name> + <ipxact:displayName>Enable PCIe hard IP calibration</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hip_cal_en" type="string"> + <ipxact:name>hip_cal_en</ipxact:name> + <ipxact:displayName>hip_cal_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_pcie_data_mask_option" type="int"> + <ipxact:name>enable_pcie_data_mask_option</ipxact:name> + <ipxact:displayName>Enable PCIe data mask count multiplier control</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_data_mask_count_multi" type="int"> + <ipxact:name>std_data_mask_count_multi</ipxact:name> + <ipxact:displayName>PCIe data mask count multiplier</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_tx_pcfifo_mode" type="string"> + <ipxact:name>std_tx_pcfifo_mode</ipxact:name> + <ipxact:displayName>TX FIFO mode</ipxact:displayName> + <ipxact:value>low_latency</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_rx_pcfifo_mode" type="string"> + <ipxact:name>std_rx_pcfifo_mode</ipxact:name> + <ipxact:displayName>RX FIFO mode</ipxact:displayName> + <ipxact:value>low_latency</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_std_pcfifo_full" type="int"> + <ipxact:name>enable_port_tx_std_pcfifo_full</ipxact:name> + <ipxact:displayName>Enable tx_std_pcfifo_full port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_std_pcfifo_empty" type="int"> + <ipxact:name>enable_port_tx_std_pcfifo_empty</ipxact:name> + <ipxact:displayName>Enable tx_std_pcfifo_empty port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_std_pcfifo_full" type="int"> + <ipxact:name>enable_port_rx_std_pcfifo_full</ipxact:name> + <ipxact:displayName>Enable rx_std_pcfifo_full port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_std_pcfifo_empty" type="int"> + <ipxact:name>enable_port_rx_std_pcfifo_empty</ipxact:name> + <ipxact:displayName>Enable rx_std_pcfifo_empty port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_tx_byte_ser_mode" type="string"> + <ipxact:name>std_tx_byte_ser_mode</ipxact:name> + <ipxact:displayName>TX byte serializer mode</ipxact:displayName> + <ipxact:value>Disabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_rx_byte_deser_mode" type="string"> + <ipxact:name>std_rx_byte_deser_mode</ipxact:name> + <ipxact:displayName>RX byte deserializer mode</ipxact:displayName> + <ipxact:value>Disabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_tx_8b10b_enable" type="int"> + <ipxact:name>std_tx_8b10b_enable</ipxact:name> + <ipxact:displayName>Enable TX 8B/10B encoder</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_tx_8b10b_disp_ctrl_enable" type="int"> + <ipxact:name>std_tx_8b10b_disp_ctrl_enable</ipxact:name> + <ipxact:displayName>Enable TX 8B/10B disparity control</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_rx_8b10b_enable" type="int"> + <ipxact:name>std_rx_8b10b_enable</ipxact:name> + <ipxact:displayName>Enable RX 8B/10B decoder</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_rx_rmfifo_mode" type="string"> + <ipxact:name>std_rx_rmfifo_mode</ipxact:name> + <ipxact:displayName>RX rate match FIFO mode</ipxact:displayName> + <ipxact:value>disabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_rx_rmfifo_pattern_n" type="int"> + <ipxact:name>std_rx_rmfifo_pattern_n</ipxact:name> + <ipxact:displayName>RX rate match insert/delete -ve pattern (hex)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_rx_rmfifo_pattern_p" type="int"> + <ipxact:name>std_rx_rmfifo_pattern_p</ipxact:name> + <ipxact:displayName>RX rate match insert/delete +ve pattern (hex)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_std_rmfifo_full" type="int"> + <ipxact:name>enable_port_rx_std_rmfifo_full</ipxact:name> + <ipxact:displayName>Enable rx_std_rmfifo_full port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_std_rmfifo_empty" type="int"> + <ipxact:name>enable_port_rx_std_rmfifo_empty</ipxact:name> + <ipxact:displayName>Enable rx_std_rmfifo_empty port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pcie_rate_match" type="string"> + <ipxact:name>pcie_rate_match</ipxact:name> + <ipxact:displayName>PCI Express Gen 3 rate match FIFO mode</ipxact:displayName> + <ipxact:value>Bypass</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_tx_bitslip_enable" type="int"> + <ipxact:name>std_tx_bitslip_enable</ipxact:name> + <ipxact:displayName>Enable TX bitslip</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_std_bitslipboundarysel" type="int"> + <ipxact:name>enable_port_tx_std_bitslipboundarysel</ipxact:name> + <ipxact:displayName>Enable tx_std_bitslipboundarysel port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_rx_word_aligner_mode" type="string"> + <ipxact:name>std_rx_word_aligner_mode</ipxact:name> + <ipxact:displayName>RX word aligner mode</ipxact:displayName> + <ipxact:value>bitslip</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_rx_word_aligner_pattern_len" type="int"> + <ipxact:name>std_rx_word_aligner_pattern_len</ipxact:name> + <ipxact:displayName>RX word aligner pattern length</ipxact:displayName> + <ipxact:value>7</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_rx_word_aligner_pattern" type="longint"> + <ipxact:name>std_rx_word_aligner_pattern</ipxact:name> + <ipxact:displayName>RX word aligner pattern (hex)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_rx_word_aligner_rknumber" type="int"> + <ipxact:name>std_rx_word_aligner_rknumber</ipxact:name> + <ipxact:displayName>Number of word alignment patterns to achieve sync</ipxact:displayName> + <ipxact:value>3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_rx_word_aligner_renumber" type="int"> + <ipxact:name>std_rx_word_aligner_renumber</ipxact:name> + <ipxact:displayName>Number of invalid data words to lose sync</ipxact:displayName> + <ipxact:value>3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_rx_word_aligner_rgnumber" type="int"> + <ipxact:name>std_rx_word_aligner_rgnumber</ipxact:name> + <ipxact:displayName>Number of valid data words to decrement error count</ipxact:displayName> + <ipxact:value>3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_rx_word_aligner_rvnumber" type="int"> + <ipxact:name>std_rx_word_aligner_rvnumber</ipxact:name> + <ipxact:displayName>Number of valid data patterns required to achieve word alignment</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_rx_word_aligner_fast_sync_status_enable" type="int"> + <ipxact:name>std_rx_word_aligner_fast_sync_status_enable</ipxact:name> + <ipxact:displayName>Enable fast sync status reporting for deterministic latency SM</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_std_wa_patternalign" type="int"> + <ipxact:name>enable_port_rx_std_wa_patternalign</ipxact:name> + <ipxact:displayName>Enable rx_std_wa_patternalign port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_std_wa_a1a2size" type="int"> + <ipxact:name>enable_port_rx_std_wa_a1a2size</ipxact:name> + <ipxact:displayName>Enable rx_std_wa_a1a2size port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_std_bitslipboundarysel" type="int"> + <ipxact:name>enable_port_rx_std_bitslipboundarysel</ipxact:name> + <ipxact:displayName>Enable rx_std_bitslipboundarysel port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_std_bitslip" type="int"> + <ipxact:name>enable_port_rx_std_bitslip</ipxact:name> + <ipxact:displayName>Enable rx_bitslip port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_tx_bitrev_enable" type="int"> + <ipxact:name>std_tx_bitrev_enable</ipxact:name> + <ipxact:displayName>Enable TX bit reversal</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_tx_byterev_enable" type="int"> + <ipxact:name>std_tx_byterev_enable</ipxact:name> + <ipxact:displayName>Enable TX byte reversal</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_tx_polinv_enable" type="int"> + <ipxact:name>std_tx_polinv_enable</ipxact:name> + <ipxact:displayName>Enable TX polarity inversion</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_polinv" type="int"> + <ipxact:name>enable_port_tx_polinv</ipxact:name> + <ipxact:displayName>Enable tx_polinv port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_rx_bitrev_enable" type="int"> + <ipxact:name>std_rx_bitrev_enable</ipxact:name> + <ipxact:displayName>Enable RX bit reversal</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_std_bitrev_ena" type="int"> + <ipxact:name>enable_port_rx_std_bitrev_ena</ipxact:name> + <ipxact:displayName>Enable rx_std_bitrev_ena port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_rx_byterev_enable" type="int"> + <ipxact:name>std_rx_byterev_enable</ipxact:name> + <ipxact:displayName>Enable RX byte reversal</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_std_byterev_ena" type="int"> + <ipxact:name>enable_port_rx_std_byterev_ena</ipxact:name> + <ipxact:displayName>Enable rx_std_byterev_ena port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_rx_polinv_enable" type="int"> + <ipxact:name>std_rx_polinv_enable</ipxact:name> + <ipxact:displayName>Enable RX polarity inversion</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_polinv" type="int"> + <ipxact:name>enable_port_rx_polinv</ipxact:name> + <ipxact:displayName>Enable rx_polinv port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_std_signaldetect" type="int"> + <ipxact:name>enable_port_rx_std_signaldetect</ipxact:name> + <ipxact:displayName>Enable rx_std_signaldetect port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_ports_pipe_sw" type="int"> + <ipxact:name>enable_ports_pipe_sw</ipxact:name> + <ipxact:displayName>Enable PCIe dynamic datarate switch ports</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_ports_pipe_hclk" type="int"> + <ipxact:name>enable_ports_pipe_hclk</ipxact:name> + <ipxact:displayName>Enable PCIe pipe_hclk_in and pipe_hclk_out ports</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_ports_pipe_g3_analog" type="int"> + <ipxact:name>enable_ports_pipe_g3_analog</ipxact:name> + <ipxact:displayName>Enable PCIe Gen 3 analog control ports</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_ports_pipe_rx_elecidle" type="int"> + <ipxact:name>enable_ports_pipe_rx_elecidle</ipxact:name> + <ipxact:displayName>Enable PCIe electrical idle control and status ports</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_pipe_rx_polarity" type="int"> + <ipxact:name>enable_port_pipe_rx_polarity</ipxact:name> + <ipxact:displayName>Enable PCIe pipe_rx_polarity port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_pcs_pma_width" type="int"> + <ipxact:name>enh_pcs_pma_width</ipxact:name> + <ipxact:displayName>Enhanced PCS / PMA interface width</ipxact:displayName> + <ipxact:value>32</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_pld_pcs_width" type="int"> + <ipxact:name>enh_pld_pcs_width</ipxact:name> + <ipxact:displayName>FPGA fabric / Enhanced PCS interface width</ipxact:displayName> + <ipxact:value>66</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_low_latency_enable" type="int"> + <ipxact:name>enh_low_latency_enable</ipxact:name> + <ipxact:displayName>Enable 'Enhanced PCS' low latency mode</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_rxtxfifo_double_width" type="int"> + <ipxact:name>enh_rxtxfifo_double_width</ipxact:name> + <ipxact:displayName>Enable RX/TX FIFO double width mode</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_txfifo_mode" type="string"> + <ipxact:name>enh_txfifo_mode</ipxact:name> + <ipxact:displayName>TX FIFO mode</ipxact:displayName> + <ipxact:value>Phase compensation</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_txfifo_pfull" type="int"> + <ipxact:name>enh_txfifo_pfull</ipxact:name> + <ipxact:displayName>TX FIFO partially full threshold</ipxact:displayName> + <ipxact:value>11</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_txfifo_pempty" type="int"> + <ipxact:name>enh_txfifo_pempty</ipxact:name> + <ipxact:displayName>TX FIFO partially empty threshold</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_enh_fifo_full" type="int"> + <ipxact:name>enable_port_tx_enh_fifo_full</ipxact:name> + <ipxact:displayName>Enable tx_enh_fifo_full port</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_enh_fifo_pfull" type="int"> + <ipxact:name>enable_port_tx_enh_fifo_pfull</ipxact:name> + <ipxact:displayName>Enable tx_enh_fifo_pfull port</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_enh_fifo_empty" type="int"> + <ipxact:name>enable_port_tx_enh_fifo_empty</ipxact:name> + <ipxact:displayName>Enable tx_enh_fifo_empty port</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_enh_fifo_pempty" type="int"> + <ipxact:name>enable_port_tx_enh_fifo_pempty</ipxact:name> + <ipxact:displayName>Enable tx_enh_fifo_pempty port</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_enh_fifo_cnt" type="int"> + <ipxact:name>enable_port_tx_enh_fifo_cnt</ipxact:name> + <ipxact:displayName>Enable tx_enh_fifo_cnt port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_rxfifo_mode" type="string"> + <ipxact:name>enh_rxfifo_mode</ipxact:name> + <ipxact:displayName>RX FIFO mode</ipxact:displayName> + <ipxact:value>10GBase-R</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_rxfifo_pfull" type="int"> + <ipxact:name>enh_rxfifo_pfull</ipxact:name> + <ipxact:displayName>RX FIFO partially full threshold</ipxact:displayName> + <ipxact:value>23</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_rxfifo_pempty" type="int"> + <ipxact:name>enh_rxfifo_pempty</ipxact:name> + <ipxact:displayName>RX FIFO partially empty threshold</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_rxfifo_align_del" type="int"> + <ipxact:name>enh_rxfifo_align_del</ipxact:name> + <ipxact:displayName>Enable RX FIFO alignment word deletion (Interlaken)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_rxfifo_control_del" type="int"> + <ipxact:name>enh_rxfifo_control_del</ipxact:name> + <ipxact:displayName>Enable RX FIFO control word deletion (Interlaken)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_data_valid" type="int"> + <ipxact:name>enable_port_rx_enh_data_valid</ipxact:name> + <ipxact:displayName>Enable rx_enh_data_valid port</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_fifo_full" type="int"> + <ipxact:name>enable_port_rx_enh_fifo_full</ipxact:name> + <ipxact:displayName>Enable rx_enh_fifo_full port</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_fifo_pfull" type="int"> + <ipxact:name>enable_port_rx_enh_fifo_pfull</ipxact:name> + <ipxact:displayName>Enable rx_enh_fifo_pfull port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_fifo_empty" type="int"> + <ipxact:name>enable_port_rx_enh_fifo_empty</ipxact:name> + <ipxact:displayName>Enable rx_enh_fifo_empty port</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_fifo_pempty" type="int"> + <ipxact:name>enable_port_rx_enh_fifo_pempty</ipxact:name> + <ipxact:displayName>Enable rx_enh_fifo_pempty port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_fifo_cnt" type="int"> + <ipxact:name>enable_port_rx_enh_fifo_cnt</ipxact:name> + <ipxact:displayName>Enable rx_enh_fifo_cnt port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_fifo_del" type="int"> + <ipxact:name>enable_port_rx_enh_fifo_del</ipxact:name> + <ipxact:displayName>Enable rx_enh_fifo_del port (10GBASE-R)</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_fifo_insert" type="int"> + <ipxact:name>enable_port_rx_enh_fifo_insert</ipxact:name> + <ipxact:displayName>Enable rx_enh_fifo_insert port (10GBASE-R)</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_fifo_rd_en" type="int"> + <ipxact:name>enable_port_rx_enh_fifo_rd_en</ipxact:name> + <ipxact:displayName>Enable rx_enh_fifo_rd_en port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_fifo_align_val" type="int"> + <ipxact:name>enable_port_rx_enh_fifo_align_val</ipxact:name> + <ipxact:displayName>Enable rx_enh_fifo_align_val port (Interlaken)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_fifo_align_clr" type="int"> + <ipxact:name>enable_port_rx_enh_fifo_align_clr</ipxact:name> + <ipxact:displayName>Enable rx_enh_fifo_align_clr port (Interlaken)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_tx_frmgen_enable" type="int"> + <ipxact:name>enh_tx_frmgen_enable</ipxact:name> + <ipxact:displayName>Enable Interlaken frame generator</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_tx_frmgen_mfrm_length" type="int"> + <ipxact:name>enh_tx_frmgen_mfrm_length</ipxact:name> + <ipxact:displayName>Frame generator metaframe length</ipxact:displayName> + <ipxact:value>2048</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_tx_frmgen_burst_enable" type="int"> + <ipxact:name>enh_tx_frmgen_burst_enable</ipxact:name> + <ipxact:displayName>Enable frame generator burst control</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_enh_frame" type="int"> + <ipxact:name>enable_port_tx_enh_frame</ipxact:name> + <ipxact:displayName>Enable tx_enh_frame port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_enh_frame_diag_status" type="int"> + <ipxact:name>enable_port_tx_enh_frame_diag_status</ipxact:name> + <ipxact:displayName>Enable tx_enh_frame_diag_status port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_enh_frame_burst_en" type="int"> + <ipxact:name>enable_port_tx_enh_frame_burst_en</ipxact:name> + <ipxact:displayName>Enable tx_enh_frame_burst_en port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_rx_frmsync_enable" type="int"> + <ipxact:name>enh_rx_frmsync_enable</ipxact:name> + <ipxact:displayName>Enable Interlaken frame synchronizer</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_rx_frmsync_mfrm_length" type="int"> + <ipxact:name>enh_rx_frmsync_mfrm_length</ipxact:name> + <ipxact:displayName>Frame synchronizer metaframe length</ipxact:displayName> + <ipxact:value>2048</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_frame" type="int"> + <ipxact:name>enable_port_rx_enh_frame</ipxact:name> + <ipxact:displayName>Enable rx_enh_frame port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_frame_lock" type="int"> + <ipxact:name>enable_port_rx_enh_frame_lock</ipxact:name> + <ipxact:displayName>Enable rx_enh_frame_lock port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_frame_diag_status" type="int"> + <ipxact:name>enable_port_rx_enh_frame_diag_status</ipxact:name> + <ipxact:displayName>Enable rx_enh_frame_diag_status port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_tx_crcgen_enable" type="int"> + <ipxact:name>enh_tx_crcgen_enable</ipxact:name> + <ipxact:displayName>Enable Interlaken TX CRC-32 generator</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_tx_crcerr_enable" type="int"> + <ipxact:name>enh_tx_crcerr_enable</ipxact:name> + <ipxact:displayName>Enable Interlaken TX CRC-32 generator error insertion</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_rx_crcchk_enable" type="int"> + <ipxact:name>enh_rx_crcchk_enable</ipxact:name> + <ipxact:displayName>Enable Interlaken RX CRC-32 checker</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_crc32_err" type="int"> + <ipxact:name>enable_port_rx_enh_crc32_err</ipxact:name> + <ipxact:displayName>Enable rx_enh_crc32_err port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_highber" type="int"> + <ipxact:name>enable_port_rx_enh_highber</ipxact:name> + <ipxact:displayName>Enable rx_enh_highber port (10GBASE-R)</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_highber_clr_cnt" type="int"> + <ipxact:name>enable_port_rx_enh_highber_clr_cnt</ipxact:name> + <ipxact:displayName>Enable rx_enh_highber_clr_cnt port (10GBASE-R)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_clr_errblk_count" type="int"> + <ipxact:name>enable_port_rx_enh_clr_errblk_count</ipxact:name> + <ipxact:displayName>Enable rx_enh_clr_errblk_count port (10GBASE-R & FEC)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_clr_errblk_count_c10" type="int"> + <ipxact:name>enable_port_rx_enh_clr_errblk_count_c10</ipxact:name> + <ipxact:displayName>Enable rx_enh_clr_errblk_count port (10GBASE-R)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_tx_64b66b_enable" type="int"> + <ipxact:name>enh_tx_64b66b_enable</ipxact:name> + <ipxact:displayName>Enable TX 64b/66b encoder</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_rx_64b66b_enable" type="int"> + <ipxact:name>enh_rx_64b66b_enable</ipxact:name> + <ipxact:displayName>Enable RX 64b/66b decoder</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_tx_sh_err" type="int"> + <ipxact:name>enh_tx_sh_err</ipxact:name> + <ipxact:displayName>Enable TX sync header error insertion</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_tx_scram_enable" type="int"> + <ipxact:name>enh_tx_scram_enable</ipxact:name> + <ipxact:displayName>Enable TX scrambler (10GBASE-R/Interlaken)</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_tx_scram_seed" type="longint"> + <ipxact:name>enh_tx_scram_seed</ipxact:name> + <ipxact:displayName>TX scrambler seed (10GBASE-R/Interlaken)</ipxact:displayName> + <ipxact:value>288230376151711743</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_rx_descram_enable" type="int"> + <ipxact:name>enh_rx_descram_enable</ipxact:name> + <ipxact:displayName>Enable RX descrambler (10GBASE-R/Interlaken)</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_tx_dispgen_enable" type="int"> + <ipxact:name>enh_tx_dispgen_enable</ipxact:name> + <ipxact:displayName>Enable Interlaken TX disparity generator</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_rx_dispchk_enable" type="int"> + <ipxact:name>enh_rx_dispchk_enable</ipxact:name> + <ipxact:displayName>Enable Interlaken RX disparity checker</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_tx_randomdispbit_enable" type="int"> + <ipxact:name>enh_tx_randomdispbit_enable</ipxact:name> + <ipxact:displayName>Enable Interlaken TX random disparity bit</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_rx_blksync_enable" type="int"> + <ipxact:name>enh_rx_blksync_enable</ipxact:name> + <ipxact:displayName>Enable RX block synchronizer</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_blk_lock" type="int"> + <ipxact:name>enable_port_rx_enh_blk_lock</ipxact:name> + <ipxact:displayName>Enable rx_enh_blk_lock port</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_tx_bitslip_enable" type="int"> + <ipxact:name>enh_tx_bitslip_enable</ipxact:name> + <ipxact:displayName>Enable TX data bitslip</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_tx_polinv_enable" type="int"> + <ipxact:name>enh_tx_polinv_enable</ipxact:name> + <ipxact:displayName>Enable TX data polarity inversion</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_rx_bitslip_enable" type="int"> + <ipxact:name>enh_rx_bitslip_enable</ipxact:name> + <ipxact:displayName>Enable RX data bitslip</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_rx_polinv_enable" type="int"> + <ipxact:name>enh_rx_polinv_enable</ipxact:name> + <ipxact:displayName>Enable RX data polarity inversion</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_enh_bitslip" type="int"> + <ipxact:name>enable_port_tx_enh_bitslip</ipxact:name> + <ipxact:displayName>Enable tx_enh_bitslip port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_bitslip" type="int"> + <ipxact:name>enable_port_rx_enh_bitslip</ipxact:name> + <ipxact:displayName>Enable rx_bitslip port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_rx_krfec_err_mark_enable" type="int"> + <ipxact:name>enh_rx_krfec_err_mark_enable</ipxact:name> + <ipxact:displayName>Enable RX KR-FEC error marking</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_rx_krfec_err_mark_type" type="string"> + <ipxact:name>enh_rx_krfec_err_mark_type</ipxact:name> + <ipxact:displayName>Error marking type</ipxact:displayName> + <ipxact:value>10G</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_tx_krfec_burst_err_enable" type="int"> + <ipxact:name>enh_tx_krfec_burst_err_enable</ipxact:name> + <ipxact:displayName>Enable KR-FEC TX error insertion</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_tx_krfec_burst_err_len" type="int"> + <ipxact:name>enh_tx_krfec_burst_err_len</ipxact:name> + <ipxact:displayName>KR-FEC TX error insertion spacing</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_krfec_tx_enh_frame" type="int"> + <ipxact:name>enable_port_krfec_tx_enh_frame</ipxact:name> + <ipxact:displayName>Enable tx_enh_frame port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_krfec_rx_enh_frame" type="int"> + <ipxact:name>enable_port_krfec_rx_enh_frame</ipxact:name> + <ipxact:displayName>Enable rx_enh_frame port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_krfec_rx_enh_frame_diag_status" type="int"> + <ipxact:name>enable_port_krfec_rx_enh_frame_diag_status</ipxact:name> + <ipxact:displayName>Enable rx_enh_frame_diag_status port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pcs_direct_width" type="int"> + <ipxact:name>pcs_direct_width</ipxact:name> + <ipxact:displayName>PCS Direct interface width</ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="generate_docs" type="int"> + <ipxact:name>generate_docs</ipxact:name> + <ipxact:displayName>Generate parameter documentation file</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="generate_add_hdl_instance_example" type="int"> + <ipxact:name>generate_add_hdl_instance_example</ipxact:name> + <ipxact:displayName>Generate '_hw.tcl' 'add_hdl_instance' example file</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="validation_rule_select" type="string"> + <ipxact:name>validation_rule_select</ipxact:name> + <ipxact:displayName>View validation rule for parameter</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_advanced_options" type="int"> + <ipxact:name>enable_advanced_options</ipxact:name> + <ipxact:displayName>enable_advanced_options</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_physical_bonding_clocks" type="int"> + <ipxact:name>enable_physical_bonding_clocks</ipxact:name> + <ipxact:displayName>enable_physical_bonding_clocks</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_debug_options" type="int"> + <ipxact:name>enable_debug_options</ipxact:name> + <ipxact:displayName>enable_debug_options</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_advanced_avmm_options" type="int"> + <ipxact:name>enable_advanced_avmm_options</ipxact:name> + <ipxact:displayName>enable_advanced_avmm_options</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_odi_accelerator" type="int"> + <ipxact:name>enable_odi_accelerator</ipxact:name> + <ipxact:displayName>enable_odi_accelerator</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_advanced_upi_options" type="int"> + <ipxact:name>enable_advanced_upi_options</ipxact:name> + <ipxact:displayName>enable_advanced_upi_options</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_channels" type="int"> + <ipxact:name>l_channels</ipxact:name> + <ipxact:displayName>l_channels</ipxact:displayName> + <ipxact:value>3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="tx_enable" type="int"> + <ipxact:name>tx_enable</ipxact:name> + <ipxact:displayName>tx_enable</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="datapath_select" type="string"> + <ipxact:name>datapath_select</ipxact:name> + <ipxact:displayName>datapath_select</ipxact:displayName> + <ipxact:value>Enhanced</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rx_enable" type="int"> + <ipxact:name>rx_enable</ipxact:name> + <ipxact:displayName>rx_enable</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_split_iface" type="int"> + <ipxact:name>l_split_iface</ipxact:name> + <ipxact:displayName>l_split_iface</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_pcs_pma_width" type="int"> + <ipxact:name>l_pcs_pma_width</ipxact:name> + <ipxact:displayName>l_pcs_pma_width</ipxact:displayName> + <ipxact:value>32</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_tx_pld_pcs_width" type="int"> + <ipxact:name>l_tx_pld_pcs_width</ipxact:name> + <ipxact:displayName>l_tx_pld_pcs_width</ipxact:displayName> + <ipxact:value>66</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_rx_pld_pcs_width" type="int"> + <ipxact:name>l_rx_pld_pcs_width</ipxact:name> + <ipxact:displayName>l_rx_pld_pcs_width</ipxact:displayName> + <ipxact:value>66</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_pll_settings" type="string"> + <ipxact:name>l_pll_settings</ipxact:name> + <ipxact:displayName>l_pll_settings</ipxact:displayName> + <ipxact:value>343.750000 {refclk 343.750000 m 15 n 1 lpfd 1 lpd 1 fvco 5156.25} 687.500000 {refclk 687.500000 m 15 n 2 lpfd 1 lpd 1 fvco 5156.25} 322.265625 {refclk 322.265625 m 16 n 1 lpfd 1 lpd 1 fvco 5156.25} 644.531250 {refclk 644.531250 m 16 n 2 lpfd 1 lpd 1 fvco 5156.25} 303.308824 {refclk 303.308824 m 17 n 1 lpfd 1 lpd 1 fvco 5156.25} 606.617647 {refclk 606.617647 m 17 n 2 lpfd 1 lpd 1 fvco 5156.25} 286.458333 {refclk 286.458333 m 18 n 1 lpfd 1 lpd 1 fvco 5156.25} 572.916667 {refclk 572.916667 m 18 n 2 lpfd 1 lpd 1 fvco 5156.25} 271.381579 {refclk 271.381579 m 19 n 1 lpfd 1 lpd 1 fvco 5156.25} 542.763158 {refclk 542.763158 m 19 n 2 lpfd 1 lpd 1 fvco 5156.25} 257.812500 {refclk 257.812500 m 20 n 1 lpfd 1 lpd 1 fvco 5156.25} 515.625000 {refclk 515.625000 m 20 n 2 lpfd 1 lpd 1 fvco 5156.25} 245.535714 {refclk 245.535714 m 21 n 1 lpfd 1 lpd 1 fvco 5156.25} 491.071429 {refclk 491.071429 m 21 n 2 lpfd 1 lpd 1 fvco 5156.25} 234.375000 {refclk 234.375000 m 22 n 1 lpfd 1 lpd 1 fvco 5156.25} 468.750000 {refclk 468.750000 m 22 n 2 lpfd 1 lpd 1 fvco 5156.25} 224.184783 {refclk 224.184783 m 23 n 1 lpfd 1 lpd 1 fvco 5156.25} 448.369565 {refclk 448.369565 m 23 n 2 lpfd 1 lpd 1 fvco 5156.25} 214.843750 {refclk 214.843750 m 24 n 1 lpfd 1 lpd 1 fvco 5156.25} 429.687500 {refclk 429.687500 m 24 n 2 lpfd 1 lpd 1 fvco 5156.25} 206.250000 {refclk 206.250000 m 25 n 1 lpfd 1 lpd 1 fvco 5156.25} 412.500000 {refclk 412.500000 m 25 n 2 lpfd 1 lpd 1 fvco 5156.25} 198.317308 {refclk 198.317308 m 26 n 1 lpfd 1 lpd 1 fvco 5156.25} 396.634615 {refclk 396.634615 m 26 n 2 lpfd 1 lpd 1 fvco 5156.25} 793.269231 {refclk 793.269231 m 26 n 4 lpfd 1 lpd 1 fvco 5156.25} 190.972222 {refclk 190.972222 m 27 n 1 lpfd 1 lpd 1 fvco 5156.25} 381.944444 {refclk 381.944444 m 27 n 2 lpfd 1 lpd 1 fvco 5156.25} 763.888889 {refclk 763.888889 m 27 n 4 lpfd 1 lpd 1 fvco 5156.25} 184.151786 {refclk 184.151786 m 28 n 1 lpfd 1 lpd 1 fvco 5156.25} 368.303571 {refclk 368.303571 m 28 n 2 lpfd 1 lpd 1 fvco 5156.25} 736.607143 {refclk 736.607143 m 28 n 4 lpfd 1 lpd 1 fvco 5156.25} 177.801724 {refclk 177.801724 m 29 n 1 lpfd 1 lpd 1 fvco 5156.25} 355.603448 {refclk 355.603448 m 29 n 2 lpfd 1 lpd 1 fvco 5156.25} 711.206897 {refclk 711.206897 m 29 n 4 lpfd 1 lpd 1 fvco 5156.25} 171.875000 {refclk 171.875000 m 30 n 1 lpfd 1 lpd 1 fvco 5156.25} 166.330645 {refclk 166.330645 m 31 n 1 lpfd 1 lpd 1 fvco 5156.25} 332.661290 {refclk 332.661290 m 31 n 2 lpfd 1 lpd 1 fvco 5156.25} 665.322581 {refclk 665.322581 m 31 n 4 lpfd 1 lpd 1 fvco 5156.25} 161.132812 {refclk 161.132812 m 32 n 1 lpfd 1 lpd 1 fvco 5156.25} 156.250000 {refclk 156.250000 m 33 n 1 lpfd 1 lpd 1 fvco 5156.25} 312.500000 {refclk 312.500000 m 33 n 2 lpfd 1 lpd 1 fvco 5156.25} 625.000000 {refclk 625.000000 m 33 n 4 lpfd 1 lpd 1 fvco 5156.25} 151.654412 {refclk 151.654412 m 34 n 1 lpfd 1 lpd 1 fvco 5156.25} 147.321429 {refclk 147.321429 m 35 n 1 lpfd 1 lpd 1 fvco 5156.25} 294.642857 {refclk 294.642857 m 35 n 2 lpfd 1 lpd 1 fvco 5156.25} 589.285714 {refclk 589.285714 m 35 n 4 lpfd 1 lpd 1 fvco 5156.25} 143.229167 {refclk 143.229167 m 36 n 1 lpfd 1 lpd 1 fvco 5156.25} 139.358108 {refclk 139.358108 m 37 n 1 lpfd 1 lpd 1 fvco 5156.25} 278.716216 {refclk 278.716216 m 37 n 2 lpfd 1 lpd 1 fvco 5156.25} 557.432432 {refclk 557.432432 m 37 n 4 lpfd 1 lpd 1 fvco 5156.25} 135.690789 {refclk 135.690789 m 38 n 1 lpfd 1 lpd 1 fvco 5156.25} 132.211538 {refclk 132.211538 m 39 n 1 lpfd 1 lpd 1 fvco 5156.25} 264.423077 {refclk 264.423077 m 39 n 2 lpfd 1 lpd 1 fvco 5156.25} 528.846154 {refclk 528.846154 m 39 n 4 lpfd 1 lpd 1 fvco 5156.25} 128.906250 {refclk 128.906250 m 40 n 1 lpfd 1 lpd 1 fvco 5156.25} 125.762195 {refclk 125.762195 m 41 n 1 lpfd 1 lpd 1 fvco 5156.25} 251.524390 {refclk 251.524390 m 41 n 2 lpfd 1 lpd 1 fvco 5156.25} 503.048780 {refclk 503.048780 m 41 n 4 lpfd 1 lpd 1 fvco 5156.25} 122.767857 {refclk 122.767857 m 42 n 1 lpfd 1 lpd 1 fvco 5156.25} 119.912791 {refclk 119.912791 m 43 n 1 lpfd 1 lpd 1 fvco 5156.25} 239.825581 {refclk 239.825581 m 43 n 2 lpfd 1 lpd 1 fvco 5156.25} 479.651163 {refclk 479.651163 m 43 n 4 lpfd 1 lpd 1 fvco 5156.25} 117.187500 {refclk 117.187500 m 44 n 1 lpfd 1 lpd 1 fvco 5156.25} 114.583333 {refclk 114.583333 m 45 n 1 lpfd 1 lpd 1 fvco 5156.25} 229.166667 {refclk 229.166667 m 45 n 2 lpfd 1 lpd 1 fvco 5156.25} 458.333333 {refclk 458.333333 m 45 n 4 lpfd 1 lpd 1 fvco 5156.25} 112.092391 {refclk 112.092391 m 46 n 1 lpfd 1 lpd 1 fvco 5156.25} 109.707447 {refclk 109.707447 m 47 n 1 lpfd 1 lpd 1 fvco 5156.25} 219.414894 {refclk 219.414894 m 47 n 2 lpfd 1 lpd 1 fvco 5156.25} 438.829787 {refclk 438.829787 m 47 n 4 lpfd 1 lpd 1 fvco 5156.25} 107.421875 {refclk 107.421875 m 48 n 1 lpfd 1 lpd 1 fvco 5156.25} 105.229592 {refclk 105.229592 m 49 n 1 lpfd 1 lpd 1 fvco 5156.25} 210.459184 {refclk 210.459184 m 49 n 2 lpfd 1 lpd 1 fvco 5156.25} 420.918367 {refclk 420.918367 m 49 n 4 lpfd 1 lpd 1 fvco 5156.25} 103.125000 {refclk 103.125000 m 50 n 1 lpfd 1 lpd 1 fvco 5156.25} 101.102941 {refclk 101.102941 m 51 n 1 lpfd 1 lpd 1 fvco 5156.25} 202.205882 {refclk 202.205882 m 51 n 2 lpfd 1 lpd 1 fvco 5156.25} 404.411765 {refclk 404.411765 m 51 n 4 lpfd 1 lpd 1 fvco 5156.25} 99.158654 {refclk 99.158654 m 52 n 1 lpfd 1 lpd 1 fvco 5156.25} 97.287736 {refclk 97.287736 m 53 n 1 lpfd 1 lpd 1 fvco 5156.25} 194.575472 {refclk 194.575472 m 53 n 2 lpfd 1 lpd 1 fvco 5156.25} 389.150943 {refclk 389.150943 m 53 n 4 lpfd 1 lpd 1 fvco 5156.25} 778.301887 {refclk 778.301887 m 53 n 8 lpfd 1 lpd 1 fvco 5156.25} 95.486111 {refclk 95.486111 m 54 n 1 lpfd 1 lpd 1 fvco 5156.25} 93.750000 {refclk 93.750000 m 55 n 1 lpfd 1 lpd 1 fvco 5156.25} 187.500000 {refclk 187.500000 m 55 n 2 lpfd 1 lpd 1 fvco 5156.25} 375.000000 {refclk 375.000000 m 55 n 4 lpfd 1 lpd 1 fvco 5156.25} 750.000000 {refclk 750.000000 m 55 n 8 lpfd 1 lpd 1 fvco 5156.25} 92.075893 {refclk 92.075893 m 56 n 1 lpfd 1 lpd 1 fvco 5156.25} 90.460526 {refclk 90.460526 m 57 n 1 lpfd 1 lpd 1 fvco 5156.25} 180.921053 {refclk 180.921053 m 57 n 2 lpfd 1 lpd 1 fvco 5156.25} 361.842105 {refclk 361.842105 m 57 n 4 lpfd 1 lpd 1 fvco 5156.25} 723.684211 {refclk 723.684211 m 57 n 8 lpfd 1 lpd 1 fvco 5156.25} 88.900862 {refclk 88.900862 m 58 n 1 lpfd 1 lpd 1 fvco 5156.25} 87.394068 {refclk 87.394068 m 59 n 1 lpfd 1 lpd 1 fvco 5156.25} 174.788136 {refclk 174.788136 m 59 n 2 lpfd 1 lpd 1 fvco 5156.25} 349.576271 {refclk 349.576271 m 59 n 4 lpfd 1 lpd 1 fvco 5156.25} 699.152542 {refclk 699.152542 m 59 n 8 lpfd 1 lpd 1 fvco 5156.25} 85.937500 {refclk 85.937500 m 60 n 1 lpfd 1 lpd 1 fvco 5156.25} 84.528689 {refclk 84.528689 m 61 n 1 lpfd 1 lpd 1 fvco 5156.25} 169.057377 {refclk 169.057377 m 61 n 2 lpfd 1 lpd 1 fvco 5156.25} 338.114754 {refclk 338.114754 m 61 n 4 lpfd 1 lpd 1 fvco 5156.25} 676.229508 {refclk 676.229508 m 61 n 8 lpfd 1 lpd 1 fvco 5156.25} 83.165323 {refclk 83.165323 m 62 n 1 lpfd 1 lpd 1 fvco 5156.25} 81.845238 {refclk 81.845238 m 63 n 1 lpfd 1 lpd 1 fvco 5156.25} 163.690476 {refclk 163.690476 m 63 n 2 lpfd 1 lpd 1 fvco 5156.25} 327.380952 {refclk 327.380952 m 63 n 4 lpfd 1 lpd 1 fvco 5156.25} 654.761905 {refclk 654.761905 m 63 n 8 lpfd 1 lpd 1 fvco 5156.25} 80.566406 {refclk 80.566406 m 64 n 1 lpfd 1 lpd 1 fvco 5156.25} 79.326923 {refclk 79.326923 m 65 n 1 lpfd 1 lpd 1 fvco 5156.25} 158.653846 {refclk 158.653846 m 65 n 2 lpfd 1 lpd 1 fvco 5156.25} 317.307692 {refclk 317.307692 m 65 n 4 lpfd 1 lpd 1 fvco 5156.25} 634.615385 {refclk 634.615385 m 65 n 8 lpfd 1 lpd 1 fvco 5156.25} 78.125000 {refclk 78.125000 m 66 n 1 lpfd 1 lpd 1 fvco 5156.25} 76.958955 {refclk 76.958955 m 67 n 1 lpfd 1 lpd 1 fvco 5156.25} 153.917910 {refclk 153.917910 m 67 n 2 lpfd 1 lpd 1 fvco 5156.25} 307.835821 {refclk 307.835821 m 67 n 4 lpfd 1 lpd 1 fvco 5156.25} 615.671642 {refclk 615.671642 m 67 n 8 lpfd 1 lpd 1 fvco 5156.25} 75.827206 {refclk 75.827206 m 68 n 1 lpfd 1 lpd 1 fvco 5156.25} 74.728261 {refclk 74.728261 m 69 n 1 lpfd 1 lpd 1 fvco 5156.25} 149.456522 {refclk 149.456522 m 69 n 2 lpfd 1 lpd 1 fvco 5156.25} 298.913043 {refclk 298.913043 m 69 n 4 lpfd 1 lpd 1 fvco 5156.25} 597.826087 {refclk 597.826087 m 69 n 8 lpfd 1 lpd 1 fvco 5156.25} 73.660714 {refclk 73.660714 m 70 n 1 lpfd 1 lpd 1 fvco 5156.25} 72.623239 {refclk 72.623239 m 71 n 1 lpfd 1 lpd 1 fvco 5156.25} 145.246479 {refclk 145.246479 m 71 n 2 lpfd 1 lpd 1 fvco 5156.25} 290.492958 {refclk 290.492958 m 71 n 4 lpfd 1 lpd 1 fvco 5156.25} 580.985915 {refclk 580.985915 m 71 n 8 lpfd 1 lpd 1 fvco 5156.25} 71.614583 {refclk 71.614583 m 72 n 1 lpfd 1 lpd 1 fvco 5156.25} 70.633562 {refclk 70.633562 m 73 n 1 lpfd 1 lpd 1 fvco 5156.25} 141.267123 {refclk 141.267123 m 73 n 2 lpfd 1 lpd 1 fvco 5156.25} 282.534247 {refclk 282.534247 m 73 n 4 lpfd 1 lpd 1 fvco 5156.25} 565.068493 {refclk 565.068493 m 73 n 8 lpfd 1 lpd 1 fvco 5156.25} 69.679054 {refclk 69.679054 m 74 n 1 lpfd 1 lpd 1 fvco 5156.25} 68.750000 {refclk 68.750000 m 75 n 1 lpfd 1 lpd 1 fvco 5156.25} 137.500000 {refclk 137.500000 m 75 n 2 lpfd 1 lpd 1 fvco 5156.25} 275.000000 {refclk 275.000000 m 75 n 4 lpfd 1 lpd 1 fvco 5156.25} 550.000000 {refclk 550.000000 m 75 n 8 lpfd 1 lpd 1 fvco 5156.25} 67.845395 {refclk 67.845395 m 76 n 1 lpfd 1 lpd 1 fvco 5156.25} 66.964286 {refclk 66.964286 m 77 n 1 lpfd 1 lpd 1 fvco 5156.25} 133.928571 {refclk 133.928571 m 77 n 2 lpfd 1 lpd 1 fvco 5156.25} 267.857143 {refclk 267.857143 m 77 n 4 lpfd 1 lpd 1 fvco 5156.25} 535.714286 {refclk 535.714286 m 77 n 8 lpfd 1 lpd 1 fvco 5156.25} 66.105769 {refclk 66.105769 m 78 n 1 lpfd 1 lpd 1 fvco 5156.25} 65.268987 {refclk 65.268987 m 79 n 1 lpfd 1 lpd 1 fvco 5156.25} 130.537975 {refclk 130.537975 m 79 n 2 lpfd 1 lpd 1 fvco 5156.25} 261.075949 {refclk 261.075949 m 79 n 4 lpfd 1 lpd 1 fvco 5156.25} 522.151899 {refclk 522.151899 m 79 n 8 lpfd 1 lpd 1 fvco 5156.25} 64.453125 {refclk 64.453125 m 80 n 1 lpfd 1 lpd 1 fvco 5156.25} 63.657407 {refclk 63.657407 m 81 n 1 lpfd 1 lpd 1 fvco 5156.25} 127.314815 {refclk 127.314815 m 81 n 2 lpfd 1 lpd 1 fvco 5156.25} 254.629630 {refclk 254.629630 m 81 n 4 lpfd 1 lpd 1 fvco 5156.25} 509.259259 {refclk 509.259259 m 81 n 8 lpfd 1 lpd 1 fvco 5156.25} 62.881098 {refclk 62.881098 m 82 n 1 lpfd 1 lpd 1 fvco 5156.25} 62.123494 {refclk 62.123494 m 83 n 1 lpfd 1 lpd 1 fvco 5156.25} 124.246988 {refclk 124.246988 m 83 n 2 lpfd 1 lpd 1 fvco 5156.25} 248.493976 {refclk 248.493976 m 83 n 4 lpfd 1 lpd 1 fvco 5156.25} 496.987952 {refclk 496.987952 m 83 n 8 lpfd 1 lpd 1 fvco 5156.25} 61.383929 {refclk 61.383929 m 84 n 1 lpfd 1 lpd 1 fvco 5156.25} 60.661765 {refclk 60.661765 m 85 n 1 lpfd 1 lpd 1 fvco 5156.25} 121.323529 {refclk 121.323529 m 85 n 2 lpfd 1 lpd 1 fvco 5156.25} 242.647059 {refclk 242.647059 m 85 n 4 lpfd 1 lpd 1 fvco 5156.25} 485.294118 {refclk 485.294118 m 85 n 8 lpfd 1 lpd 1 fvco 5156.25} 59.956395 {refclk 59.956395 m 86 n 1 lpfd 1 lpd 1 fvco 5156.25} 59.267241 {refclk 59.267241 m 87 n 1 lpfd 1 lpd 1 fvco 5156.25} 118.534483 {refclk 118.534483 m 87 n 2 lpfd 1 lpd 1 fvco 5156.25} 237.068966 {refclk 237.068966 m 87 n 4 lpfd 1 lpd 1 fvco 5156.25} 474.137931 {refclk 474.137931 m 87 n 8 lpfd 1 lpd 1 fvco 5156.25} 58.593750 {refclk 58.593750 m 88 n 1 lpfd 1 lpd 1 fvco 5156.25} 57.935393 {refclk 57.935393 m 89 n 1 lpfd 1 lpd 1 fvco 5156.25} 115.870787 {refclk 115.870787 m 89 n 2 lpfd 1 lpd 1 fvco 5156.25} 231.741573 {refclk 231.741573 m 89 n 4 lpfd 1 lpd 1 fvco 5156.25} 463.483146 {refclk 463.483146 m 89 n 8 lpfd 1 lpd 1 fvco 5156.25} 57.291667 {refclk 57.291667 m 90 n 1 lpfd 1 lpd 1 fvco 5156.25} 56.662088 {refclk 56.662088 m 91 n 1 lpfd 1 lpd 1 fvco 5156.25} 113.324176 {refclk 113.324176 m 91 n 2 lpfd 1 lpd 1 fvco 5156.25} 226.648352 {refclk 226.648352 m 91 n 4 lpfd 1 lpd 1 fvco 5156.25} 453.296703 {refclk 453.296703 m 91 n 8 lpfd 1 lpd 1 fvco 5156.25} 56.046196 {refclk 56.046196 m 92 n 1 lpfd 1 lpd 1 fvco 5156.25} 55.443548 {refclk 55.443548 m 93 n 1 lpfd 1 lpd 1 fvco 5156.25} 110.887097 {refclk 110.887097 m 93 n 2 lpfd 1 lpd 1 fvco 5156.25} 221.774194 {refclk 221.774194 m 93 n 4 lpfd 1 lpd 1 fvco 5156.25} 443.548387 {refclk 443.548387 m 93 n 8 lpfd 1 lpd 1 fvco 5156.25} 54.853723 {refclk 54.853723 m 94 n 1 lpfd 1 lpd 1 fvco 5156.25} 54.276316 {refclk 54.276316 m 95 n 1 lpfd 1 lpd 1 fvco 5156.25} 108.552632 {refclk 108.552632 m 95 n 2 lpfd 1 lpd 1 fvco 5156.25} 217.105263 {refclk 217.105263 m 95 n 4 lpfd 1 lpd 1 fvco 5156.25} 434.210526 {refclk 434.210526 m 95 n 8 lpfd 1 lpd 1 fvco 5156.25} 53.710938 {refclk 53.710938 m 96 n 1 lpfd 1 lpd 1 fvco 5156.25} 53.157216 {refclk 53.157216 m 97 n 1 lpfd 1 lpd 1 fvco 5156.25} 106.314433 {refclk 106.314433 m 97 n 2 lpfd 1 lpd 1 fvco 5156.25} 212.628866 {refclk 212.628866 m 97 n 4 lpfd 1 lpd 1 fvco 5156.25} 425.257732 {refclk 425.257732 m 97 n 8 lpfd 1 lpd 1 fvco 5156.25} 52.614796 {refclk 52.614796 m 98 n 1 lpfd 1 lpd 1 fvco 5156.25} 52.083333 {refclk 52.083333 m 99 n 1 lpfd 1 lpd 1 fvco 5156.25} 104.166667 {refclk 104.166667 m 99 n 2 lpfd 1 lpd 1 fvco 5156.25} 208.333333 {refclk 208.333333 m 99 n 4 lpfd 1 lpd 1 fvco 5156.25} 416.666667 {refclk 416.666667 m 99 n 8 lpfd 1 lpd 1 fvco 5156.25} 51.562500 {refclk 51.562500 m 100 n 1 lpfd 1 lpd 1 fvco 5156.25} 51.051980 {refclk 51.051980 m 101 n 1 lpfd 1 lpd 1 fvco 5156.25} 102.103960 {refclk 102.103960 m 101 n 2 lpfd 1 lpd 1 fvco 5156.25} 204.207921 {refclk 204.207921 m 101 n 4 lpfd 1 lpd 1 fvco 5156.25} 408.415842 {refclk 408.415842 m 101 n 8 lpfd 1 lpd 1 fvco 5156.25} 50.551471 {refclk 50.551471 m 102 n 1 lpfd 1 lpd 1 fvco 5156.25} 50.060680 {refclk 50.060680 m 103 n 1 lpfd 1 lpd 1 fvco 5156.25} 100.121359 {refclk 100.121359 m 103 n 2 lpfd 1 lpd 1 fvco 5156.25} 200.242718 {refclk 200.242718 m 103 n 4 lpfd 1 lpd 1 fvco 5156.25} 400.485437 {refclk 400.485437 m 103 n 8 lpfd 1 lpd 1 fvco 5156.25} allowed_ranges {50.060680 50.551471 51.051980 51.562500 52.083333 52.614796 53.157216 53.710938 54.276316 54.853723 55.443548 56.046196 56.662088 57.291667 57.935393 58.593750 59.267241 59.956395 60.661765 61.383929 62.123494 62.881098 63.657407 64.453125 65.268987 66.105769 66.964286 67.845395 68.750000 69.679054 70.633562 71.614583 72.623239 73.660714 74.728261 75.827206 76.958955 78.125000 79.326923 80.566406 81.845238 83.165323 84.528689 85.937500 87.394068 88.900862 90.460526 92.075893 93.750000 95.486111 97.287736 99.158654 100.121359 101.102941 102.103960 103.125000 104.166667 105.229592 106.314433 107.421875 108.552632 109.707447 110.887097 112.092391 113.324176 114.583333 115.870787 117.187500 118.534483 119.912791 121.323529 122.767857 124.246988 125.762195 127.314815 128.906250 130.537975 132.211538 133.928571 135.690789 137.500000 139.358108 141.267123 143.229167 145.246479 147.321429 149.456522 151.654412 153.917910 156.250000 158.653846 161.132812 163.690476 166.330645 169.057377 171.875000 174.788136 177.801724 180.921053 184.151786 187.500000 190.972222 194.575472 198.317308 200.242718 202.205882 204.207921 206.250000 208.333333 210.459184 212.628866 214.843750 217.105263 219.414894 221.774194 224.184783 226.648352 229.166667 231.741573 234.375000 237.068966 239.825581 242.647059 245.535714 248.493976 251.524390 254.629630 257.812500 261.075949 264.423077 267.857143 271.381579 275.000000 278.716216 282.534247 286.458333 290.492958 294.642857 298.913043 303.308824 307.835821 312.500000 317.307692 322.265625 327.380952 332.661290 338.114754 343.750000 349.576271 355.603448 361.842105 368.303571 375.000000 381.944444 389.150943 396.634615 400.485437 404.411765 408.415842 412.500000 416.666667 420.918367 425.257732 429.687500 434.210526 438.829787 443.548387 448.369565 453.296703 458.333333 463.483146 468.750000 474.137931 479.651163 485.294118 491.071429 496.987952 503.048780 509.259259 515.625000 522.151899 528.846154 535.714286 542.763158 550.000000 557.432432 565.068493 572.916667 580.985915 589.285714 597.826087 606.617647 615.671642 625.000000 634.615385 644.531250 654.761905 665.322581 676.229508 687.500000 699.152542 711.206897 723.684211 736.607143 750.000000 763.888889 778.301887 793.269231}</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_pll_settings_key" type="string"> + <ipxact:name>l_pll_settings_key</ipxact:name> + <ipxact:displayName>l_pll_settings_key</ipxact:displayName> + <ipxact:value>644.531250</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_enable_pma_bonding" type="int"> + <ipxact:name>l_enable_pma_bonding</ipxact:name> + <ipxact:displayName>l_enable_pma_bonding</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_enable_reve_support" type="int"> + <ipxact:name>l_enable_reve_support</ipxact:name> + <ipxact:displayName>l_enable_reve_support</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_std" type="int"> + <ipxact:name>enable_std</ipxact:name> + <ipxact:displayName>enable_std</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_enable_std_pipe" type="int"> + <ipxact:name>l_enable_std_pipe</ipxact:name> + <ipxact:displayName>l_enable_std_pipe</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_enable_tx_std" type="int"> + <ipxact:name>l_enable_tx_std</ipxact:name> + <ipxact:displayName>l_enable_tx_std</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_enable_rx_std" type="int"> + <ipxact:name>l_enable_rx_std</ipxact:name> + <ipxact:displayName>l_enable_rx_std</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_enable_tx_std_iface" type="int"> + <ipxact:name>l_enable_tx_std_iface</ipxact:name> + <ipxact:displayName>l_enable_tx_std_iface</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_enable_rx_std_iface" type="int"> + <ipxact:name>l_enable_rx_std_iface</ipxact:name> + <ipxact:displayName>l_enable_rx_std_iface</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_std_tx_word_count" type="int"> + <ipxact:name>l_std_tx_word_count</ipxact:name> + <ipxact:displayName>l_std_tx_word_count</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_std_tx_word_width" type="int"> + <ipxact:name>l_std_tx_word_width</ipxact:name> + <ipxact:displayName>l_std_tx_word_width</ipxact:displayName> + <ipxact:value>10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_std_tx_field_width" type="int"> + <ipxact:name>l_std_tx_field_width</ipxact:name> + <ipxact:displayName>l_std_tx_field_width</ipxact:displayName> + <ipxact:value>11</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_std_rx_word_count" type="int"> + <ipxact:name>l_std_rx_word_count</ipxact:name> + <ipxact:displayName>l_std_rx_word_count</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_std_rx_word_width" type="int"> + <ipxact:name>l_std_rx_word_width</ipxact:name> + <ipxact:displayName>l_std_rx_word_width</ipxact:displayName> + <ipxact:value>10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_std_rx_field_width" type="int"> + <ipxact:name>l_std_rx_field_width</ipxact:name> + <ipxact:displayName>l_std_rx_field_width</ipxact:displayName> + <ipxact:value>16</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_std_tx_pld_pcs_width" type="int"> + <ipxact:name>l_std_tx_pld_pcs_width</ipxact:name> + <ipxact:displayName>l_std_tx_pld_pcs_width</ipxact:displayName> + <ipxact:value>10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_std_rx_pld_pcs_width" type="int"> + <ipxact:name>l_std_rx_pld_pcs_width</ipxact:name> + <ipxact:displayName>l_std_rx_pld_pcs_width</ipxact:displayName> + <ipxact:value>10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_std_data_mask_count_multi" type="int"> + <ipxact:name>l_std_data_mask_count_multi</ipxact:name> + <ipxact:displayName>l_std_data_mask_count_multi</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_enh" type="int"> + <ipxact:name>enable_enh</ipxact:name> + <ipxact:displayName>enable_enh</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_enable_tx_enh" type="int"> + <ipxact:name>l_enable_tx_enh</ipxact:name> + <ipxact:displayName>l_enable_tx_enh</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_enable_rx_enh" type="int"> + <ipxact:name>l_enable_rx_enh</ipxact:name> + <ipxact:displayName>l_enable_rx_enh</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_enable_tx_enh_iface" type="int"> + <ipxact:name>l_enable_tx_enh_iface</ipxact:name> + <ipxact:displayName>l_enable_tx_enh_iface</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_enable_rx_enh_iface" type="int"> + <ipxact:name>l_enable_rx_enh_iface</ipxact:name> + <ipxact:displayName>l_enable_rx_enh_iface</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_pcs_dir" type="int"> + <ipxact:name>enable_pcs_dir</ipxact:name> + <ipxact:displayName>enable_pcs_dir</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_enable_tx_pcs_dir" type="int"> + <ipxact:name>l_enable_tx_pcs_dir</ipxact:name> + <ipxact:displayName>l_enable_tx_pcs_dir</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_enable_rx_pcs_dir" type="int"> + <ipxact:name>l_enable_rx_pcs_dir</ipxact:name> + <ipxact:displayName>l_enable_rx_pcs_dir</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_rcfg_ifaces" type="int"> + <ipxact:name>l_rcfg_ifaces</ipxact:name> + <ipxact:displayName>l_rcfg_ifaces</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_rcfg_addr_bits" type="int"> + <ipxact:name>l_rcfg_addr_bits</ipxact:name> + <ipxact:displayName>l_rcfg_addr_bits</ipxact:displayName> + <ipxact:value>12</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="is_c10" type="int"> + <ipxact:name>is_c10</ipxact:name> + <ipxact:displayName>is_c10</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_enable" type="int"> + <ipxact:name>rcfg_enable</ipxact:name> + <ipxact:displayName>Enable dynamic reconfiguration</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_shared" type="int"> + <ipxact:name>rcfg_shared</ipxact:name> + <ipxact:displayName>Share reconfiguration interface</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_jtag_enable" type="int"> + <ipxact:name>rcfg_jtag_enable</ipxact:name> + <ipxact:displayName>Enable Native PHY Debug Master Endpoint</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_separate_avmm_busy" type="int"> + <ipxact:name>rcfg_separate_avmm_busy</ipxact:name> + <ipxact:displayName>Separate reconfig_waitrequest from the status of AVMM arbitration with PreSICE</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_enable_avmm_busy_port" type="int"> + <ipxact:name>rcfg_enable_avmm_busy_port</ipxact:name> + <ipxact:displayName>Enable avmm_busy port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adme_prot_mode" type="string"> + <ipxact:name>adme_prot_mode</ipxact:name> + <ipxact:displayName>adme_prot_mode</ipxact:displayName> + <ipxact:value>teng_baser_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adme_pma_mode" type="string"> + <ipxact:name>adme_pma_mode</ipxact:name> + <ipxact:displayName>adme_pma_mode</ipxact:displayName> + <ipxact:value>basic</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adme_tx_power_mode" type="string"> + <ipxact:name>adme_tx_power_mode</ipxact:name> + <ipxact:displayName>adme_tx_power_mode</ipxact:displayName> + <ipxact:value>mid_power</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adme_data_rate" type="string"> + <ipxact:name>adme_data_rate</ipxact:name> + <ipxact:displayName>adme_data_rate</ipxact:displayName> + <ipxact:value>10312500000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_pcie_dfe_ip" type="bit"> + <ipxact:name>enable_pcie_dfe_ip</ipxact:name> + <ipxact:displayName>Enable PICe DFE IP</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="sim_reduced_counters" type="bit"> + <ipxact:name>sim_reduced_counters</ipxact:name> + <ipxact:displayName>Enable fast sim</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="disable_continuous_dfe" type="bit"> + <ipxact:name>disable_continuous_dfe</ipxact:name> + <ipxact:displayName>Disable DFE Continuous</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_embedded_debug_enable" type="int"> + <ipxact:name>set_embedded_debug_enable</ipxact:name> + <ipxact:displayName>Enable embedded debug</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_capability_reg_enable" type="int"> + <ipxact:name>set_capability_reg_enable</ipxact:name> + <ipxact:displayName>Enable capability registers</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_user_identifier" type="int"> + <ipxact:name>set_user_identifier</ipxact:name> + <ipxact:displayName>Set user-defined IP identifier</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_csr_soft_logic_enable" type="int"> + <ipxact:name>set_csr_soft_logic_enable</ipxact:name> + <ipxact:displayName>Enable control and status registers</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_prbs_soft_logic_enable" type="int"> + <ipxact:name>set_prbs_soft_logic_enable</ipxact:name> + <ipxact:displayName>Enable PRBS soft accumulators</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_odi_soft_logic_enable" type="int"> + <ipxact:name>set_odi_soft_logic_enable</ipxact:name> + <ipxact:displayName>Enable ODI acceleration logic</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="dbg_embedded_debug_enable" type="int"> + <ipxact:name>dbg_embedded_debug_enable</ipxact:name> + <ipxact:displayName>dbg_embedded_debug_enable</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="dbg_capability_reg_enable" type="int"> + <ipxact:name>dbg_capability_reg_enable</ipxact:name> + <ipxact:displayName>dbg_capability_reg_enable</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="dbg_user_identifier" type="int"> + <ipxact:name>dbg_user_identifier</ipxact:name> + <ipxact:displayName>dbg_user_identifier</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="dbg_stat_soft_logic_enable" type="int"> + <ipxact:name>dbg_stat_soft_logic_enable</ipxact:name> + <ipxact:displayName>dbg_stat_soft_logic_enable</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="dbg_ctrl_soft_logic_enable" type="int"> + <ipxact:name>dbg_ctrl_soft_logic_enable</ipxact:name> + <ipxact:displayName>dbg_ctrl_soft_logic_enable</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="dbg_prbs_soft_logic_enable" type="int"> + <ipxact:name>dbg_prbs_soft_logic_enable</ipxact:name> + <ipxact:displayName>dbg_prbs_soft_logic_enable</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="dbg_odi_soft_logic_enable" type="int"> + <ipxact:name>dbg_odi_soft_logic_enable</ipxact:name> + <ipxact:displayName>dbg_odi_soft_logic_enable</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_file_prefix" type="string"> + <ipxact:name>rcfg_file_prefix</ipxact:name> + <ipxact:displayName>Configuration file prefix</ipxact:displayName> + <ipxact:value>altera_xcvr_native_a10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_sv_file_enable" type="int"> + <ipxact:name>rcfg_sv_file_enable</ipxact:name> + <ipxact:displayName>Generate SystemVerilog package file</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_h_file_enable" type="int"> + <ipxact:name>rcfg_h_file_enable</ipxact:name> + <ipxact:displayName>Generate C header file</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_mif_file_enable" type="int"> + <ipxact:name>rcfg_mif_file_enable</ipxact:name> + <ipxact:displayName>Generate MIF (Memory Initialization File)</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_multi_enable" type="int"> + <ipxact:name>rcfg_multi_enable</ipxact:name> + <ipxact:displayName>Enable multiple reconfiguration profiles</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_rcfg_emb_strm_enable" type="int"> + <ipxact:name>set_rcfg_emb_strm_enable</ipxact:name> + <ipxact:displayName>Enable embedded reconfiguration streamer</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_emb_strm_enable" type="int"> + <ipxact:name>rcfg_emb_strm_enable</ipxact:name> + <ipxact:displayName>rcfg_emb_strm_enable</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_reduced_files_enable" type="int"> + <ipxact:name>rcfg_reduced_files_enable</ipxact:name> + <ipxact:displayName>Generate reduced reconfiguration files</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_profile_cnt" type="int"> + <ipxact:name>rcfg_profile_cnt</ipxact:name> + <ipxact:displayName>Number of reconfiguration profiles</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_profile_select" type="int"> + <ipxact:name>rcfg_profile_select</ipxact:name> + <ipxact:displayName>Selected reconfiguration profile</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_profile_data0" type="string"> + <ipxact:name>rcfg_profile_data0</ipxact:name> + <ipxact:displayName>rcfg_profile_data0</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_profile_data1" type="string"> + <ipxact:name>rcfg_profile_data1</ipxact:name> + <ipxact:displayName>rcfg_profile_data1</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_profile_data2" type="string"> + <ipxact:name>rcfg_profile_data2</ipxact:name> + <ipxact:displayName>rcfg_profile_data2</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_profile_data3" type="string"> + <ipxact:name>rcfg_profile_data3</ipxact:name> + <ipxact:displayName>rcfg_profile_data3</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_profile_data4" type="string"> + <ipxact:name>rcfg_profile_data4</ipxact:name> + <ipxact:displayName>rcfg_profile_data4</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_profile_data5" type="string"> + <ipxact:name>rcfg_profile_data5</ipxact:name> + <ipxact:displayName>rcfg_profile_data5</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_profile_data6" type="string"> + <ipxact:name>rcfg_profile_data6</ipxact:name> + <ipxact:displayName>rcfg_profile_data6</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_profile_data7" type="string"> + <ipxact:name>rcfg_profile_data7</ipxact:name> + <ipxact:displayName>rcfg_profile_data7</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_params" type="string"> + <ipxact:name>rcfg_params</ipxact:name> + <ipxact:displayName>rcfg_params</ipxact:displayName> + <ipxact:value>anlg_voltage,anlg_link,support_mode,protocol_mode,pma_mode,duplex_mode,channels,set_data_rate,rcfg_iface_enable,enable_simple_interface,enable_split_interface,set_enable_calibration,enable_parallel_loopback,enable_upi_pipeline_options,pcs_tx_delay1_ctrl,pcs_tx_delay1_data_sel,pcs_tx_delay2_ctrl,bonded_mode,set_pcs_bonding_master,tx_pma_clk_div,plls,pll_select,enable_port_tx_analog_reset_ack,enable_port_tx_pma_clkout,enable_port_tx_pma_div_clkout,tx_pma_div_clkout_divider,enable_port_tx_pma_iqtxrx_clkout,enable_port_tx_pma_elecidle,enable_port_tx_pma_qpipullup,enable_port_tx_pma_qpipulldn,enable_port_tx_pma_txdetectrx,enable_port_tx_pma_rxfound,enable_port_rx_seriallpbken_tx,number_physical_bonding_clocks,cdr_refclk_cnt,cdr_refclk_select,set_cdr_refclk_freq,rx_ppm_detect_threshold,rx_pma_ctle_adaptation_mode,rx_pma_dfe_adaptation_mode,rx_pma_dfe_fixed_taps,enable_ports_adaptation,enable_port_rx_analog_reset_ack,enable_port_rx_pma_clkout,enable_port_rx_pma_div_clkout,rx_pma_div_clkout_divider,enable_port_rx_pma_iqtxrx_clkout,enable_port_rx_pma_clkslip,enable_port_rx_pma_qpipulldn,enable_port_rx_is_lockedtodata,enable_port_rx_is_lockedtoref,enable_ports_rx_manual_cdr_mode,enable_ports_rx_manual_ppm,enable_port_rx_signaldetect,enable_port_rx_seriallpbken,enable_ports_rx_prbs,std_pcs_pma_width,std_low_latency_bypass_enable,enable_hip,enable_hard_reset,set_hip_cal_en,std_tx_pcfifo_mode,std_rx_pcfifo_mode,enable_port_tx_std_pcfifo_full,enable_port_tx_std_pcfifo_empty,enable_port_rx_std_pcfifo_full,enable_port_rx_std_pcfifo_empty,std_tx_byte_ser_mode,std_rx_byte_deser_mode,std_tx_8b10b_enable,std_tx_8b10b_disp_ctrl_enable,std_rx_8b10b_enable,std_rx_rmfifo_mode,std_rx_rmfifo_pattern_n,std_rx_rmfifo_pattern_p,enable_port_rx_std_rmfifo_full,enable_port_rx_std_rmfifo_empty,pcie_rate_match,std_tx_bitslip_enable,enable_port_tx_std_bitslipboundarysel,std_rx_word_aligner_mode,std_rx_word_aligner_pattern_len,std_rx_word_aligner_pattern,std_rx_word_aligner_rknumber,std_rx_word_aligner_renumber,std_rx_word_aligner_rgnumber,std_rx_word_aligner_fast_sync_status_enable,enable_port_rx_std_wa_patternalign,enable_port_rx_std_wa_a1a2size,enable_port_rx_std_bitslipboundarysel,enable_port_rx_std_bitslip,std_tx_bitrev_enable,std_tx_byterev_enable,std_tx_polinv_enable,enable_port_tx_polinv,std_rx_bitrev_enable,enable_port_rx_std_bitrev_ena,std_rx_byterev_enable,enable_port_rx_std_byterev_ena,std_rx_polinv_enable,enable_port_rx_polinv,enable_port_rx_std_signaldetect,enable_ports_pipe_sw,enable_ports_pipe_hclk,enable_ports_pipe_g3_analog,enable_ports_pipe_rx_elecidle,enable_port_pipe_rx_polarity,enh_pcs_pma_width,enh_pld_pcs_width,enh_low_latency_enable,enh_rxtxfifo_double_width,enh_txfifo_mode,enh_txfifo_pfull,enh_txfifo_pempty,enable_port_tx_enh_fifo_full,enable_port_tx_enh_fifo_pfull,enable_port_tx_enh_fifo_empty,enable_port_tx_enh_fifo_pempty,enable_port_tx_enh_fifo_cnt,enh_rxfifo_mode,enh_rxfifo_pfull,enh_rxfifo_pempty,enh_rxfifo_align_del,enh_rxfifo_control_del,enable_port_rx_enh_data_valid,enable_port_rx_enh_fifo_full,enable_port_rx_enh_fifo_pfull,enable_port_rx_enh_fifo_empty,enable_port_rx_enh_fifo_pempty,enable_port_rx_enh_fifo_cnt,enable_port_rx_enh_fifo_del,enable_port_rx_enh_fifo_insert,enable_port_rx_enh_fifo_rd_en,enable_port_rx_enh_fifo_align_val,enable_port_rx_enh_fifo_align_clr,enh_tx_frmgen_enable,enh_tx_frmgen_mfrm_length,enh_tx_frmgen_burst_enable,enable_port_tx_enh_frame,enable_port_tx_enh_frame_diag_status,enable_port_tx_enh_frame_burst_en,enh_rx_frmsync_enable,enh_rx_frmsync_mfrm_length,enable_port_rx_enh_frame,enable_port_rx_enh_frame_lock,enable_port_rx_enh_frame_diag_status,enh_tx_crcgen_enable,enh_tx_crcerr_enable,enh_rx_crcchk_enable,enable_port_rx_enh_crc32_err,enable_port_rx_enh_highber,enable_port_rx_enh_highber_clr_cnt,enable_port_rx_enh_clr_errblk_count,enable_port_rx_enh_clr_errblk_count_c10,enh_tx_64b66b_enable,enh_rx_64b66b_enable,enh_tx_sh_err,enh_tx_scram_enable,enh_tx_scram_seed,enh_rx_descram_enable,enh_tx_dispgen_enable,enh_rx_dispchk_enable,enh_tx_randomdispbit_enable,enh_rx_blksync_enable,enable_port_rx_enh_blk_lock,enh_tx_bitslip_enable,enh_tx_polinv_enable,enh_rx_bitslip_enable,enh_rx_polinv_enable,enable_port_tx_enh_bitslip,enable_port_rx_enh_bitslip,enh_rx_krfec_err_mark_enable,enh_rx_krfec_err_mark_type,enh_tx_krfec_burst_err_enable,enh_tx_krfec_burst_err_len,enable_port_krfec_tx_enh_frame,enable_port_krfec_rx_enh_frame,enable_port_krfec_rx_enh_frame_diag_status,pcs_direct_width,enable_analog_settings,anlg_tx_analog_mode,anlg_enable_tx_default_ovr,anlg_tx_vod_output_swing_ctrl,anlg_tx_pre_emp_sign_pre_tap_1t,anlg_tx_pre_emp_switching_ctrl_pre_tap_1t,anlg_tx_pre_emp_sign_pre_tap_2t,anlg_tx_pre_emp_switching_ctrl_pre_tap_2t,anlg_tx_pre_emp_sign_1st_post_tap,anlg_tx_pre_emp_switching_ctrl_1st_post_tap,anlg_tx_pre_emp_sign_2nd_post_tap,anlg_tx_pre_emp_switching_ctrl_2nd_post_tap,anlg_tx_slew_rate_ctrl,anlg_tx_compensation_en,anlg_tx_term_sel,anlg_enable_rx_default_ovr,anlg_rx_one_stage_enable,anlg_rx_eq_dc_gain_trim,anlg_rx_adp_ctle_acgain_4s,anlg_rx_adp_ctle_eqz_1s_sel,anlg_rx_adp_vga_sel,anlg_rx_adp_dfe_fxtap1,anlg_rx_adp_dfe_fxtap2,anlg_rx_adp_dfe_fxtap3,anlg_rx_adp_dfe_fxtap4,anlg_rx_adp_dfe_fxtap5,anlg_rx_adp_dfe_fxtap6,anlg_rx_adp_dfe_fxtap7,anlg_rx_adp_dfe_fxtap8,anlg_rx_adp_dfe_fxtap9,anlg_rx_adp_dfe_fxtap10,anlg_rx_adp_dfe_fxtap11,anlg_rx_term_sel</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_param_labels" type="string"> + <ipxact:name>rcfg_param_labels</ipxact:name> + <ipxact:displayName>IP Parameters</ipxact:displayName> + <ipxact:value>VCCR_GXB and VCCT_GXB supply voltage for the Transceiver,Tranceiver Link Type,Protocol support mode,Transceiver configuration rules,PMA configuration rules,Transceiver mode,Number of data channels,Data rate,Enable datapath and interface reconfiguration,Enable simplified data interface,Provide separate interface for each channel,Enable calibration,Enable parallel loopback,Enable UPI Pipeline Options,Delay1 setting,Delay1 mode,Delay2 setting,TX channel bonding mode,PCS TX channel bonding master,TX local clock division factor,Number of TX PLL clock inputs per channel,Initial TX PLL clock input selection,Enable tx_analog_reset_ack port,Enable tx_pma_clkout port,Enable tx_pma_div_clkout port,tx_pma_div_clkout division factor,Enable tx_pma_iqtxrx_clkout port,Enable tx_pma_elecidle port,Enable tx_pma_qpipullup port (QPI),Enable tx_pma_qpipulldn port (QPI),Enable tx_pma_txdetectrx port (QPI),Enable tx_pma_rxfound port (QPI),Enable rx_seriallpbken port,Number of physical bonding clock ports to use.,Number of CDR reference clocks,Selected CDR reference clock,Selected CDR reference clock frequency,PPM detector threshold,CTLE mode,DFE mode,Number of fixed dfe taps,Enable adaptation control ports,Enable rx_analog_reset_ack port,Enable rx_pma_clkout port,Enable rx_pma_div_clkout port,rx_pma_div_clkout division factor,Enable rx_pma_iqtxrx_clkout port,Enable rx_pma_clkslip port,Enable rx_pma_qpipulldn port (QPI),Enable rx_is_lockedtodata port,Enable rx_is_lockedtoref port,Enable rx_set_locktodata and rx_set_locktoref ports,Enable rx_fref and rx_clklow ports,Enable rx_signaldetect port,Enable rx_seriallpbken port,Enable PRBS verifier control and status ports,Standard PCS / PMA interface width,Enable 'Standard PCS' low latency mode,Enable PCIe hard IP support,Enable hard reset controller (HIP),Enable PCIe hard IP calibration,TX FIFO mode,RX FIFO mode,Enable tx_std_pcfifo_full port,Enable tx_std_pcfifo_empty port,Enable rx_std_pcfifo_full port,Enable rx_std_pcfifo_empty port,TX byte serializer mode,RX byte deserializer mode,Enable TX 8B/10B encoder,Enable TX 8B/10B disparity control,Enable RX 8B/10B decoder,RX rate match FIFO mode,RX rate match insert/delete -ve pattern (hex),RX rate match insert/delete +ve pattern (hex),Enable rx_std_rmfifo_full port,Enable rx_std_rmfifo_empty port,PCI Express Gen 3 rate match FIFO mode,Enable TX bitslip,Enable tx_std_bitslipboundarysel port,RX word aligner mode,RX word aligner pattern length,RX word aligner pattern (hex),Number of word alignment patterns to achieve sync,Number of invalid data words to lose sync,Number of valid data words to decrement error count,Enable fast sync status reporting for deterministic latency SM,Enable rx_std_wa_patternalign port,Enable rx_std_wa_a1a2size port,Enable rx_std_bitslipboundarysel port,Enable rx_bitslip port,Enable TX bit reversal,Enable TX byte reversal,Enable TX polarity inversion,Enable tx_polinv port,Enable RX bit reversal,Enable rx_std_bitrev_ena port,Enable RX byte reversal,Enable rx_std_byterev_ena port,Enable RX polarity inversion,Enable rx_polinv port,Enable rx_std_signaldetect port,Enable PCIe dynamic datarate switch ports,Enable PCIe pipe_hclk_in and pipe_hclk_out ports,Enable PCIe Gen 3 analog control ports,Enable PCIe electrical idle control and status ports,Enable PCIe pipe_rx_polarity port,Enhanced PCS / PMA interface width,FPGA fabric / Enhanced PCS interface width,Enable 'Enhanced PCS' low latency mode,Enable RX/TX FIFO double width mode,TX FIFO mode,TX FIFO partially full threshold,TX FIFO partially empty threshold,Enable tx_enh_fifo_full port,Enable tx_enh_fifo_pfull port,Enable tx_enh_fifo_empty port,Enable tx_enh_fifo_pempty port,Enable tx_enh_fifo_cnt port,RX FIFO mode,RX FIFO partially full threshold,RX FIFO partially empty threshold,Enable RX FIFO alignment word deletion (Interlaken),Enable RX FIFO control word deletion (Interlaken),Enable rx_enh_data_valid port,Enable rx_enh_fifo_full port,Enable rx_enh_fifo_pfull port,Enable rx_enh_fifo_empty port,Enable rx_enh_fifo_pempty port,Enable rx_enh_fifo_cnt port,Enable rx_enh_fifo_del port (10GBASE-R),Enable rx_enh_fifo_insert port (10GBASE-R),Enable rx_enh_fifo_rd_en port,Enable rx_enh_fifo_align_val port (Interlaken),Enable rx_enh_fifo_align_clr port (Interlaken),Enable Interlaken frame generator,Frame generator metaframe length,Enable frame generator burst control,Enable tx_enh_frame port,Enable tx_enh_frame_diag_status port,Enable tx_enh_frame_burst_en port,Enable Interlaken frame synchronizer,Frame synchronizer metaframe length,Enable rx_enh_frame port,Enable rx_enh_frame_lock port,Enable rx_enh_frame_diag_status port,Enable Interlaken TX CRC-32 generator,Enable Interlaken TX CRC-32 generator error insertion,Enable Interlaken RX CRC-32 checker,Enable rx_enh_crc32_err port,Enable rx_enh_highber port (10GBASE-R),Enable rx_enh_highber_clr_cnt port (10GBASE-R),Enable rx_enh_clr_errblk_count port (10GBASE-R & FEC),Enable rx_enh_clr_errblk_count port (10GBASE-R),Enable TX 64b/66b encoder,Enable RX 64b/66b decoder,Enable TX sync header error insertion,Enable TX scrambler (10GBASE-R/Interlaken),TX scrambler seed (10GBASE-R/Interlaken),Enable RX descrambler (10GBASE-R/Interlaken),Enable Interlaken TX disparity generator,Enable Interlaken RX disparity checker,Enable Interlaken TX random disparity bit,Enable RX block synchronizer,Enable rx_enh_blk_lock port,Enable TX data bitslip,Enable TX data polarity inversion,Enable RX data bitslip,Enable RX data polarity inversion,Enable tx_enh_bitslip port,Enable rx_bitslip port,Enable RX KR-FEC error marking,Error marking type,Enable KR-FEC TX error insertion,KR-FEC TX error insertion spacing,Enable tx_enh_frame port,Enable rx_enh_frame port,Enable rx_enh_frame_diag_status port,PCS Direct interface width,Include PMA analog settings in configuration files,Analog Mode (Intel-recommended Default Setting Rules),Override Intel-recommended Analog Mode Default Settings,Output Swing Level (VOD),Pre-Emphasis First Pre-Tap Polarity,Pre-Emphasis First Pre-Tap Magnitude,Pre-Emphasis Second Pre-Tap Polarity,Pre-Emphasis Second Pre-Tap Magnitude,Pre-Emphasis First Post-Tap Polarity,Pre-Emphasis First Post-Tap Magnitude,Pre-Emphasis Second Post-Tap Polarity,Pre-Emphasis Second Post-Tap Magnitude,Slew Rate Control,High-Speed Compensation,On-Chip Termination,Override Intel-recommended Default Settings,CTLE (Continuous Time Linear Equalizer) mode,DC Gain Control of High Gain Mode CTLE,AC Gain Control of High Gain Mode CTLE,AC Gain Control of High Data Rate Mode CTLE,Variable Gain Amplifier (VGA) Voltage Swing Select,Decision Feedback Equalizer (DFE) Fixed Tap 1 Co-efficient,Decision Feedback Equalizer (DFE) Fixed Tap 2 Co-efficient,Decision Feedback Equalizer (DFE) Fixed Tap 3 Co-efficient,Decision Feedback Equalizer (DFE) Fixed Tap 4 Co-efficient,Decision Feedback Equalizer (DFE) Fixed Tap 5 Co-efficient,Decision Feedback Equalizer (DFE) Fixed Tap 6 Co-efficient,Decision Feedback Equalizer (DFE) Fixed Tap 7 Co-efficient,Decision Feedback Equalizer (DFE) Fixed Tap 8 Co-efficient,Decision Feedback Equalizer (DFE) Fixed Tap 9 Co-efficient,Decision Feedback Equalizer (DFE) Fixed Tap 10 Co-efficient,Decision Feedback Equalizer (DFE) Fixed Tap 11 Co-efficient,On-Chip Termination</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_param_vals0" type="string"> + <ipxact:name>rcfg_param_vals0</ipxact:name> + <ipxact:displayName>Profile 0</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_param_vals1" type="string"> + <ipxact:name>rcfg_param_vals1</ipxact:name> + <ipxact:displayName>Profile 1</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_param_vals2" type="string"> + <ipxact:name>rcfg_param_vals2</ipxact:name> + <ipxact:displayName>Profile 2</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_param_vals3" type="string"> + <ipxact:name>rcfg_param_vals3</ipxact:name> + <ipxact:displayName>Profile 3</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_param_vals4" type="string"> + <ipxact:name>rcfg_param_vals4</ipxact:name> + <ipxact:displayName>Profile 4</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_param_vals5" type="string"> + <ipxact:name>rcfg_param_vals5</ipxact:name> + <ipxact:displayName>Profile 5</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_param_vals6" type="string"> + <ipxact:name>rcfg_param_vals6</ipxact:name> + <ipxact:displayName>Profile 6</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_param_vals7" type="string"> + <ipxact:name>rcfg_param_vals7</ipxact:name> + <ipxact:displayName>Profile 7</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_rcfg_datapath_message" type="int"> + <ipxact:name>l_rcfg_datapath_message</ipxact:name> + <ipxact:displayName>l_rcfg_datapath_message</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_analog_settings" type="int"> + <ipxact:name>enable_analog_settings</ipxact:name> + <ipxact:displayName>Include PMA analog settings in configuration files</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_tx_analog_mode" type="string"> + <ipxact:name>anlg_tx_analog_mode</ipxact:name> + <ipxact:displayName>Analog Mode (Intel-recommended Default Setting Rules)</ipxact:displayName> + <ipxact:value>user_custom</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_enable_tx_default_ovr" type="int"> + <ipxact:name>anlg_enable_tx_default_ovr</ipxact:name> + <ipxact:displayName>Override Intel-recommended Analog Mode Default Settings</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_tx_vod_output_swing_ctrl" type="int"> + <ipxact:name>anlg_tx_vod_output_swing_ctrl</ipxact:name> + <ipxact:displayName>Output Swing Level (VOD)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_tx_pre_emp_sign_pre_tap_1t" type="string"> + <ipxact:name>anlg_tx_pre_emp_sign_pre_tap_1t</ipxact:name> + <ipxact:displayName>Pre-Emphasis First Pre-Tap Polarity</ipxact:displayName> + <ipxact:value>fir_pre_1t_neg</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_tx_pre_emp_switching_ctrl_pre_tap_1t" type="int"> + <ipxact:name>anlg_tx_pre_emp_switching_ctrl_pre_tap_1t</ipxact:name> + <ipxact:displayName>Pre-Emphasis First Pre-Tap Magnitude</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_tx_pre_emp_sign_pre_tap_2t" type="string"> + <ipxact:name>anlg_tx_pre_emp_sign_pre_tap_2t</ipxact:name> + <ipxact:displayName>Pre-Emphasis Second Pre-Tap Polarity</ipxact:displayName> + <ipxact:value>fir_pre_2t_neg</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_tx_pre_emp_switching_ctrl_pre_tap_2t" type="int"> + <ipxact:name>anlg_tx_pre_emp_switching_ctrl_pre_tap_2t</ipxact:name> + <ipxact:displayName>Pre-Emphasis Second Pre-Tap Magnitude</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_tx_pre_emp_sign_1st_post_tap" type="string"> + <ipxact:name>anlg_tx_pre_emp_sign_1st_post_tap</ipxact:name> + <ipxact:displayName>Pre-Emphasis First Post-Tap Polarity</ipxact:displayName> + <ipxact:value>fir_post_1t_neg</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_tx_pre_emp_switching_ctrl_1st_post_tap" type="int"> + <ipxact:name>anlg_tx_pre_emp_switching_ctrl_1st_post_tap</ipxact:name> + <ipxact:displayName>Pre-Emphasis First Post-Tap Magnitude</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_tx_pre_emp_sign_2nd_post_tap" type="string"> + <ipxact:name>anlg_tx_pre_emp_sign_2nd_post_tap</ipxact:name> + <ipxact:displayName>Pre-Emphasis Second Post-Tap Polarity</ipxact:displayName> + <ipxact:value>fir_post_2t_neg</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_tx_pre_emp_switching_ctrl_2nd_post_tap" type="int"> + <ipxact:name>anlg_tx_pre_emp_switching_ctrl_2nd_post_tap</ipxact:name> + <ipxact:displayName>Pre-Emphasis Second Post-Tap Magnitude</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_tx_slew_rate_ctrl" type="string"> + <ipxact:name>anlg_tx_slew_rate_ctrl</ipxact:name> + <ipxact:displayName>Slew Rate Control</ipxact:displayName> + <ipxact:value>slew_r7</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_tx_compensation_en" type="string"> + <ipxact:name>anlg_tx_compensation_en</ipxact:name> + <ipxact:displayName>High-Speed Compensation</ipxact:displayName> + <ipxact:value>enable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_tx_term_sel" type="string"> + <ipxact:name>anlg_tx_term_sel</ipxact:name> + <ipxact:displayName>On-Chip Termination</ipxact:displayName> + <ipxact:value>r_r1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_enable_rx_default_ovr" type="int"> + <ipxact:name>anlg_enable_rx_default_ovr</ipxact:name> + <ipxact:displayName>Override Intel-recommended Default Settings</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_rx_one_stage_enable" type="string"> + <ipxact:name>anlg_rx_one_stage_enable</ipxact:name> + <ipxact:displayName>CTLE (Continuous Time Linear Equalizer) mode</ipxact:displayName> + <ipxact:value>s1_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_rx_eq_dc_gain_trim" type="string"> + <ipxact:name>anlg_rx_eq_dc_gain_trim</ipxact:name> + <ipxact:displayName>DC Gain Control of High Gain Mode CTLE</ipxact:displayName> + <ipxact:value>stg2_gain7</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_rx_adp_ctle_acgain_4s" type="string"> + <ipxact:name>anlg_rx_adp_ctle_acgain_4s</ipxact:name> + <ipxact:displayName>AC Gain Control of High Gain Mode CTLE</ipxact:displayName> + <ipxact:value>radp_ctle_acgain_4s_1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_rx_adp_ctle_eqz_1s_sel" type="string"> + <ipxact:name>anlg_rx_adp_ctle_eqz_1s_sel</ipxact:name> + <ipxact:displayName>AC Gain Control of High Data Rate Mode CTLE</ipxact:displayName> + <ipxact:value>radp_ctle_eqz_1s_sel_3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_rx_adp_vga_sel" type="string"> + <ipxact:name>anlg_rx_adp_vga_sel</ipxact:name> + <ipxact:displayName>Variable Gain Amplifier (VGA) Voltage Swing Select</ipxact:displayName> + <ipxact:value>radp_vga_sel_2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_rx_adp_dfe_fxtap1" type="string"> + <ipxact:name>anlg_rx_adp_dfe_fxtap1</ipxact:name> + <ipxact:displayName>Decision Feedback Equalizer (DFE) Fixed Tap 1 Co-efficient</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap1_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_rx_adp_dfe_fxtap2" type="string"> + <ipxact:name>anlg_rx_adp_dfe_fxtap2</ipxact:name> + <ipxact:displayName>Decision Feedback Equalizer (DFE) Fixed Tap 2 Co-efficient</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap2_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_rx_adp_dfe_fxtap3" type="string"> + <ipxact:name>anlg_rx_adp_dfe_fxtap3</ipxact:name> + <ipxact:displayName>Decision Feedback Equalizer (DFE) Fixed Tap 3 Co-efficient</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap3_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_rx_adp_dfe_fxtap4" type="string"> + <ipxact:name>anlg_rx_adp_dfe_fxtap4</ipxact:name> + <ipxact:displayName>Decision Feedback Equalizer (DFE) Fixed Tap 4 Co-efficient</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap4_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_rx_adp_dfe_fxtap5" type="string"> + <ipxact:name>anlg_rx_adp_dfe_fxtap5</ipxact:name> + <ipxact:displayName>Decision Feedback Equalizer (DFE) Fixed Tap 5 Co-efficient</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap5_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_rx_adp_dfe_fxtap6" type="string"> + <ipxact:name>anlg_rx_adp_dfe_fxtap6</ipxact:name> + <ipxact:displayName>Decision Feedback Equalizer (DFE) Fixed Tap 6 Co-efficient</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap6_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_rx_adp_dfe_fxtap7" type="string"> + <ipxact:name>anlg_rx_adp_dfe_fxtap7</ipxact:name> + <ipxact:displayName>Decision Feedback Equalizer (DFE) Fixed Tap 7 Co-efficient</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap7_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_rx_adp_dfe_fxtap8" type="string"> + <ipxact:name>anlg_rx_adp_dfe_fxtap8</ipxact:name> + <ipxact:displayName>Decision Feedback Equalizer (DFE) Fixed Tap 8 Co-efficient</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap8_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_rx_adp_dfe_fxtap9" type="string"> + <ipxact:name>anlg_rx_adp_dfe_fxtap9</ipxact:name> + <ipxact:displayName>Decision Feedback Equalizer (DFE) Fixed Tap 9 Co-efficient</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap9_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_rx_adp_dfe_fxtap10" type="string"> + <ipxact:name>anlg_rx_adp_dfe_fxtap10</ipxact:name> + <ipxact:displayName>Decision Feedback Equalizer (DFE) Fixed Tap 10 Co-efficient</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap10_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_rx_adp_dfe_fxtap11" type="string"> + <ipxact:name>anlg_rx_adp_dfe_fxtap11</ipxact:name> + <ipxact:displayName>Decision Feedback Equalizer (DFE) Fixed Tap 11 Co-efficient</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap11_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_rx_term_sel" type="string"> + <ipxact:name>anlg_rx_term_sel</ipxact:name> + <ipxact:displayName>On-Chip Termination</ipxact:displayName> + <ipxact:value>r_r1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_anlg_tx_enable" type="int"> + <ipxact:name>l_anlg_tx_enable</ipxact:name> + <ipxact:displayName>l_anlg_tx_enable</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_anlg_rx_enable" type="int"> + <ipxact:name>l_anlg_rx_enable</ipxact:name> + <ipxact:displayName>l_anlg_rx_enable</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_rx_pcs_block_sync" type="string"> + <ipxact:name>hssi_gen3_rx_pcs_block_sync</ipxact:name> + <ipxact:displayName>hssi_gen3_rx_pcs_block_sync</ipxact:displayName> + <ipxact:value>bypass_block_sync</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_rx_pcs_block_sync_sm" type="string"> + <ipxact:name>hssi_gen3_rx_pcs_block_sync_sm</ipxact:name> + <ipxact:displayName>hssi_gen3_rx_pcs_block_sync_sm</ipxact:displayName> + <ipxact:value>disable_blk_sync_sm</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_rx_pcs_cdr_ctrl_force_unalgn" type="string"> + <ipxact:name>hssi_gen3_rx_pcs_cdr_ctrl_force_unalgn</ipxact:name> + <ipxact:displayName>hssi_gen3_rx_pcs_cdr_ctrl_force_unalgn</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_rx_pcs_lpbk_force" type="string"> + <ipxact:name>hssi_gen3_rx_pcs_lpbk_force</ipxact:name> + <ipxact:displayName>hssi_gen3_rx_pcs_lpbk_force</ipxact:displayName> + <ipxact:value>lpbk_frce_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_rx_pcs_mode" type="string"> + <ipxact:name>hssi_gen3_rx_pcs_mode</ipxact:name> + <ipxact:displayName>hssi_gen3_rx_pcs_mode</ipxact:displayName> + <ipxact:value>disable_pcs</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_rx_pcs_rate_match_fifo" type="string"> + <ipxact:name>hssi_gen3_rx_pcs_rate_match_fifo</ipxact:name> + <ipxact:displayName>hssi_gen3_rx_pcs_rate_match_fifo</ipxact:displayName> + <ipxact:value>bypass_rm_fifo</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_rx_pcs_rate_match_fifo_latency" type="string"> + <ipxact:name>hssi_gen3_rx_pcs_rate_match_fifo_latency</ipxact:name> + <ipxact:displayName>hssi_gen3_rx_pcs_rate_match_fifo_latency</ipxact:displayName> + <ipxact:value>low_latency</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_rx_pcs_reverse_lpbk" type="string"> + <ipxact:name>hssi_gen3_rx_pcs_reverse_lpbk</ipxact:name> + <ipxact:displayName>hssi_gen3_rx_pcs_reverse_lpbk</ipxact:displayName> + <ipxact:value>rev_lpbk_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_rx_pcs_rx_b4gb_par_lpbk" type="string"> + <ipxact:name>hssi_gen3_rx_pcs_rx_b4gb_par_lpbk</ipxact:name> + <ipxact:displayName>hssi_gen3_rx_pcs_rx_b4gb_par_lpbk</ipxact:displayName> + <ipxact:value>b4gb_par_lpbk_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_rx_pcs_rx_force_balign" type="string"> + <ipxact:name>hssi_gen3_rx_pcs_rx_force_balign</ipxact:name> + <ipxact:displayName>hssi_gen3_rx_pcs_rx_force_balign</ipxact:displayName> + <ipxact:value>dis_force_balign</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_rx_pcs_rx_ins_del_one_skip" type="string"> + <ipxact:name>hssi_gen3_rx_pcs_rx_ins_del_one_skip</ipxact:name> + <ipxact:displayName>hssi_gen3_rx_pcs_rx_ins_del_one_skip</ipxact:displayName> + <ipxact:value>ins_del_one_skip_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_rx_pcs_rx_num_fixed_pat" type="int"> + <ipxact:name>hssi_gen3_rx_pcs_rx_num_fixed_pat</ipxact:name> + <ipxact:displayName>hssi_gen3_rx_pcs_rx_num_fixed_pat</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_rx_pcs_rx_test_out_sel" type="string"> + <ipxact:name>hssi_gen3_rx_pcs_rx_test_out_sel</ipxact:name> + <ipxact:displayName>hssi_gen3_rx_pcs_rx_test_out_sel</ipxact:displayName> + <ipxact:value>rx_test_out0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_rx_pcs_sup_mode" type="string"> + <ipxact:name>hssi_gen3_rx_pcs_sup_mode</ipxact:name> + <ipxact:displayName>hssi_gen3_rx_pcs_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_rx_pcs_silicon_rev" type="string"> + <ipxact:name>hssi_gen3_rx_pcs_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_gen3_rx_pcs_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_rx_pcs_reconfig_settings" type="string"> + <ipxact:name>hssi_gen3_rx_pcs_reconfig_settings</ipxact:name> + <ipxact:displayName>hssi_gen3_rx_pcs_reconfig_settings</ipxact:displayName> + <ipxact:value>{}</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_tx_pcs_mode" type="string"> + <ipxact:name>hssi_gen3_tx_pcs_mode</ipxact:name> + <ipxact:displayName>hssi_gen3_tx_pcs_mode</ipxact:displayName> + <ipxact:value>disable_pcs</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_tx_pcs_reverse_lpbk" type="string"> + <ipxact:name>hssi_gen3_tx_pcs_reverse_lpbk</ipxact:name> + <ipxact:displayName>hssi_gen3_tx_pcs_reverse_lpbk</ipxact:displayName> + <ipxact:value>rev_lpbk_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_tx_pcs_sup_mode" type="string"> + <ipxact:name>hssi_gen3_tx_pcs_sup_mode</ipxact:name> + <ipxact:displayName>hssi_gen3_tx_pcs_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_tx_pcs_tx_bitslip" type="int"> + <ipxact:name>hssi_gen3_tx_pcs_tx_bitslip</ipxact:name> + <ipxact:displayName>hssi_gen3_tx_pcs_tx_bitslip</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_tx_pcs_tx_gbox_byp" type="string"> + <ipxact:name>hssi_gen3_tx_pcs_tx_gbox_byp</ipxact:name> + <ipxact:displayName>hssi_gen3_tx_pcs_tx_gbox_byp</ipxact:displayName> + <ipxact:value>bypass_gbox</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_tx_pcs_silicon_rev" type="string"> + <ipxact:name>hssi_gen3_tx_pcs_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_gen3_tx_pcs_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_blksync_cor_en" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_blksync_cor_en</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_blksync_cor_en</ipxact:displayName> + <ipxact:value>detect</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_bypass_gb" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_bypass_gb</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_bypass_gb</ipxact:displayName> + <ipxact:value>bypass_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_clr_ctrl" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_clr_ctrl</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_clr_ctrl</ipxact:displayName> + <ipxact:value>both_enabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_ctrl_bit_reverse" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_ctrl_bit_reverse</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_ctrl_bit_reverse</ipxact:displayName> + <ipxact:value>ctrl_bit_reverse_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_data_bit_reverse" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_data_bit_reverse</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_data_bit_reverse</ipxact:displayName> + <ipxact:value>data_bit_reverse_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_dv_start" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_dv_start</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_dv_start</ipxact:displayName> + <ipxact:value>with_blklock</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_err_mark_type" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_err_mark_type</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_err_mark_type</ipxact:displayName> + <ipxact:value>err_mark_10g</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_error_marking_en" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_error_marking_en</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_error_marking_en</ipxact:displayName> + <ipxact:value>err_mark_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_low_latency_en" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_low_latency_en</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_low_latency_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_lpbk_mode" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_lpbk_mode</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_lpbk_mode</ipxact:displayName> + <ipxact:value>lpbk_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_parity_invalid_enum" type="int"> + <ipxact:name>hssi_krfec_rx_pcs_parity_invalid_enum</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_parity_invalid_enum</ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_parity_valid_num" type="int"> + <ipxact:name>hssi_krfec_rx_pcs_parity_valid_num</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_parity_valid_num</ipxact:displayName> + <ipxact:value>4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_pipeln_blksync" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_pipeln_blksync</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_pipeln_blksync</ipxact:displayName> + <ipxact:value>enable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_pipeln_descrm" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_pipeln_descrm</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_pipeln_descrm</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_pipeln_errcorrect" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_pipeln_errcorrect</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_pipeln_errcorrect</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_pipeln_errtrap_ind" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_pipeln_errtrap_ind</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_pipeln_errtrap_ind</ipxact:displayName> + <ipxact:value>enable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_pipeln_errtrap_lfsr" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_pipeln_errtrap_lfsr</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_pipeln_errtrap_lfsr</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_pipeln_errtrap_loc" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_pipeln_errtrap_loc</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_pipeln_errtrap_loc</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_pipeln_errtrap_pat" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_pipeln_errtrap_pat</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_pipeln_errtrap_pat</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_pipeln_gearbox" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_pipeln_gearbox</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_pipeln_gearbox</ipxact:displayName> + <ipxact:value>enable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_pipeln_syndrm" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_pipeln_syndrm</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_pipeln_syndrm</ipxact:displayName> + <ipxact:value>enable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_pipeln_trans_dec" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_pipeln_trans_dec</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_pipeln_trans_dec</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_prot_mode" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_prot_mode</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_prot_mode</ipxact:displayName> + <ipxact:value>disable_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_receive_order" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_receive_order</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_receive_order</ipxact:displayName> + <ipxact:value>receive_lsb</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_rx_testbus_sel" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_rx_testbus_sel</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_rx_testbus_sel</ipxact:displayName> + <ipxact:value>overall</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_signal_ok_en" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_signal_ok_en</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_signal_ok_en</ipxact:displayName> + <ipxact:value>sig_ok_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_sup_mode" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_sup_mode</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_silicon_rev" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_reconfig_settings" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_reconfig_settings</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_reconfig_settings</ipxact:displayName> + <ipxact:value>{}</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_tx_pcs_burst_err" type="string"> + <ipxact:name>hssi_krfec_tx_pcs_burst_err</ipxact:name> + <ipxact:displayName>hssi_krfec_tx_pcs_burst_err</ipxact:displayName> + <ipxact:value>burst_err_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_tx_pcs_burst_err_len" type="string"> + <ipxact:name>hssi_krfec_tx_pcs_burst_err_len</ipxact:name> + <ipxact:displayName>hssi_krfec_tx_pcs_burst_err_len</ipxact:displayName> + <ipxact:value>burst_err_len1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_tx_pcs_ctrl_bit_reverse" type="string"> + <ipxact:name>hssi_krfec_tx_pcs_ctrl_bit_reverse</ipxact:name> + <ipxact:displayName>hssi_krfec_tx_pcs_ctrl_bit_reverse</ipxact:displayName> + <ipxact:value>ctrl_bit_reverse_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_tx_pcs_data_bit_reverse" type="string"> + <ipxact:name>hssi_krfec_tx_pcs_data_bit_reverse</ipxact:name> + <ipxact:displayName>hssi_krfec_tx_pcs_data_bit_reverse</ipxact:displayName> + <ipxact:value>data_bit_reverse_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_tx_pcs_enc_frame_query" type="string"> + <ipxact:name>hssi_krfec_tx_pcs_enc_frame_query</ipxact:name> + <ipxact:displayName>hssi_krfec_tx_pcs_enc_frame_query</ipxact:displayName> + <ipxact:value>enc_query_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_tx_pcs_low_latency_en" type="string"> + <ipxact:name>hssi_krfec_tx_pcs_low_latency_en</ipxact:name> + <ipxact:displayName>hssi_krfec_tx_pcs_low_latency_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_tx_pcs_pipeln_encoder" type="string"> + <ipxact:name>hssi_krfec_tx_pcs_pipeln_encoder</ipxact:name> + <ipxact:displayName>hssi_krfec_tx_pcs_pipeln_encoder</ipxact:displayName> + <ipxact:value>enable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_tx_pcs_pipeln_scrambler" type="string"> + <ipxact:name>hssi_krfec_tx_pcs_pipeln_scrambler</ipxact:name> + <ipxact:displayName>hssi_krfec_tx_pcs_pipeln_scrambler</ipxact:displayName> + <ipxact:value>enable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_tx_pcs_prot_mode" type="string"> + <ipxact:name>hssi_krfec_tx_pcs_prot_mode</ipxact:name> + <ipxact:displayName>hssi_krfec_tx_pcs_prot_mode</ipxact:displayName> + <ipxact:value>disable_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_tx_pcs_sup_mode" type="string"> + <ipxact:name>hssi_krfec_tx_pcs_sup_mode</ipxact:name> + <ipxact:displayName>hssi_krfec_tx_pcs_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_tx_pcs_transcode_err" type="string"> + <ipxact:name>hssi_krfec_tx_pcs_transcode_err</ipxact:name> + <ipxact:displayName>hssi_krfec_tx_pcs_transcode_err</ipxact:displayName> + <ipxact:value>trans_err_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_tx_pcs_transmit_order" type="string"> + <ipxact:name>hssi_krfec_tx_pcs_transmit_order</ipxact:name> + <ipxact:displayName>hssi_krfec_tx_pcs_transmit_order</ipxact:displayName> + <ipxact:value>transmit_lsb</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_tx_pcs_tx_testbus_sel" type="string"> + <ipxact:name>hssi_krfec_tx_pcs_tx_testbus_sel</ipxact:name> + <ipxact:displayName>hssi_krfec_tx_pcs_tx_testbus_sel</ipxact:displayName> + <ipxact:value>overall</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_tx_pcs_silicon_rev" type="string"> + <ipxact:name>hssi_krfec_tx_pcs_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_krfec_tx_pcs_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_align_del" type="string"> + <ipxact:name>hssi_10g_rx_pcs_align_del</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_align_del</ipxact:displayName> + <ipxact:value>align_del_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_ber_bit_err_total_cnt" type="string"> + <ipxact:name>hssi_10g_rx_pcs_ber_bit_err_total_cnt</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_ber_bit_err_total_cnt</ipxact:displayName> + <ipxact:value>bit_err_total_cnt_10g</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_ber_clken" type="string"> + <ipxact:name>hssi_10g_rx_pcs_ber_clken</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_ber_clken</ipxact:displayName> + <ipxact:value>ber_clk_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_ber_xus_timer_window" type="int"> + <ipxact:name>hssi_10g_rx_pcs_ber_xus_timer_window</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_ber_xus_timer_window</ipxact:displayName> + <ipxact:value>19530</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_bitslip_mode" type="string"> + <ipxact:name>hssi_10g_rx_pcs_bitslip_mode</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_bitslip_mode</ipxact:displayName> + <ipxact:value>bitslip_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_blksync_bitslip_type" type="string"> + <ipxact:name>hssi_10g_rx_pcs_blksync_bitslip_type</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_blksync_bitslip_type</ipxact:displayName> + <ipxact:value>bitslip_comb</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_blksync_bitslip_wait_cnt" type="int"> + <ipxact:name>hssi_10g_rx_pcs_blksync_bitslip_wait_cnt</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_blksync_bitslip_wait_cnt</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_blksync_bitslip_wait_type" type="string"> + <ipxact:name>hssi_10g_rx_pcs_blksync_bitslip_wait_type</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_blksync_bitslip_wait_type</ipxact:displayName> + <ipxact:value>bitslip_cnt</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_blksync_bypass" type="string"> + <ipxact:name>hssi_10g_rx_pcs_blksync_bypass</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_blksync_bypass</ipxact:displayName> + <ipxact:value>blksync_bypass_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_blksync_clken" type="string"> + <ipxact:name>hssi_10g_rx_pcs_blksync_clken</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_blksync_clken</ipxact:displayName> + <ipxact:value>blksync_clk_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_blksync_enum_invalid_sh_cnt" type="string"> + <ipxact:name>hssi_10g_rx_pcs_blksync_enum_invalid_sh_cnt</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_blksync_enum_invalid_sh_cnt</ipxact:displayName> + <ipxact:value>enum_invalid_sh_cnt_10g</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_blksync_knum_sh_cnt_postlock" type="string"> + <ipxact:name>hssi_10g_rx_pcs_blksync_knum_sh_cnt_postlock</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_blksync_knum_sh_cnt_postlock</ipxact:displayName> + <ipxact:value>knum_sh_cnt_postlock_10g</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_blksync_knum_sh_cnt_prelock" type="string"> + <ipxact:name>hssi_10g_rx_pcs_blksync_knum_sh_cnt_prelock</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_blksync_knum_sh_cnt_prelock</ipxact:displayName> + <ipxact:value>knum_sh_cnt_prelock_10g</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_blksync_pipeln" type="string"> + <ipxact:name>hssi_10g_rx_pcs_blksync_pipeln</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_blksync_pipeln</ipxact:displayName> + <ipxact:value>blksync_pipeln_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_clr_errblk_cnt_en" type="string"> + <ipxact:name>hssi_10g_rx_pcs_clr_errblk_cnt_en</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_clr_errblk_cnt_en</ipxact:displayName> + <ipxact:value>enable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_control_del" type="string"> + <ipxact:name>hssi_10g_rx_pcs_control_del</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_control_del</ipxact:displayName> + <ipxact:value>control_del_none</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_crcchk_bypass" type="string"> + <ipxact:name>hssi_10g_rx_pcs_crcchk_bypass</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_crcchk_bypass</ipxact:displayName> + <ipxact:value>crcchk_bypass_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_crcchk_clken" type="string"> + <ipxact:name>hssi_10g_rx_pcs_crcchk_clken</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_crcchk_clken</ipxact:displayName> + <ipxact:value>crcchk_clk_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_crcchk_inv" type="string"> + <ipxact:name>hssi_10g_rx_pcs_crcchk_inv</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_crcchk_inv</ipxact:displayName> + <ipxact:value>crcchk_inv_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_crcchk_pipeln" type="string"> + <ipxact:name>hssi_10g_rx_pcs_crcchk_pipeln</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_crcchk_pipeln</ipxact:displayName> + <ipxact:value>crcchk_pipeln_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_crcflag_pipeln" type="string"> + <ipxact:name>hssi_10g_rx_pcs_crcflag_pipeln</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_crcflag_pipeln</ipxact:displayName> + <ipxact:value>crcflag_pipeln_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_ctrl_bit_reverse" type="string"> + <ipxact:name>hssi_10g_rx_pcs_ctrl_bit_reverse</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_ctrl_bit_reverse</ipxact:displayName> + <ipxact:value>ctrl_bit_reverse_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_data_bit_reverse" type="string"> + <ipxact:name>hssi_10g_rx_pcs_data_bit_reverse</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_data_bit_reverse</ipxact:displayName> + <ipxact:value>data_bit_reverse_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_dec_64b66b_rxsm_bypass" type="string"> + <ipxact:name>hssi_10g_rx_pcs_dec_64b66b_rxsm_bypass</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_dec_64b66b_rxsm_bypass</ipxact:displayName> + <ipxact:value>dec_64b66b_rxsm_bypass_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_dec64b66b_clken" type="string"> + <ipxact:name>hssi_10g_rx_pcs_dec64b66b_clken</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_dec64b66b_clken</ipxact:displayName> + <ipxact:value>dec64b66b_clk_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_descrm_bypass" type="string"> + <ipxact:name>hssi_10g_rx_pcs_descrm_bypass</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_descrm_bypass</ipxact:displayName> + <ipxact:value>descrm_bypass_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_descrm_clken" type="string"> + <ipxact:name>hssi_10g_rx_pcs_descrm_clken</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_descrm_clken</ipxact:displayName> + <ipxact:value>descrm_clk_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_descrm_mode" type="string"> + <ipxact:name>hssi_10g_rx_pcs_descrm_mode</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_descrm_mode</ipxact:displayName> + <ipxact:value>async</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_descrm_pipeln" type="string"> + <ipxact:name>hssi_10g_rx_pcs_descrm_pipeln</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_descrm_pipeln</ipxact:displayName> + <ipxact:value>enable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_dft_clk_out_sel" type="string"> + <ipxact:name>hssi_10g_rx_pcs_dft_clk_out_sel</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_dft_clk_out_sel</ipxact:displayName> + <ipxact:value>rx_master_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_dis_signal_ok" type="string"> + <ipxact:name>hssi_10g_rx_pcs_dis_signal_ok</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_dis_signal_ok</ipxact:displayName> + <ipxact:value>dis_signal_ok_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_dispchk_bypass" type="string"> + <ipxact:name>hssi_10g_rx_pcs_dispchk_bypass</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_dispchk_bypass</ipxact:displayName> + <ipxact:value>dispchk_bypass_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_empty_flag_type" type="string"> + <ipxact:name>hssi_10g_rx_pcs_empty_flag_type</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_empty_flag_type</ipxact:displayName> + <ipxact:value>empty_rd_side</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_fast_path" type="string"> + <ipxact:name>hssi_10g_rx_pcs_fast_path</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_fast_path</ipxact:displayName> + <ipxact:value>fast_path_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_fec_clken" type="string"> + <ipxact:name>hssi_10g_rx_pcs_fec_clken</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_fec_clken</ipxact:displayName> + <ipxact:value>fec_clk_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_fec_enable" type="string"> + <ipxact:name>hssi_10g_rx_pcs_fec_enable</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_fec_enable</ipxact:displayName> + <ipxact:value>fec_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_fifo_double_read" type="string"> + <ipxact:name>hssi_10g_rx_pcs_fifo_double_read</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_fifo_double_read</ipxact:displayName> + <ipxact:value>fifo_double_read_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_fifo_stop_rd" type="string"> + <ipxact:name>hssi_10g_rx_pcs_fifo_stop_rd</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_fifo_stop_rd</ipxact:displayName> + <ipxact:value>n_rd_empty</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_fifo_stop_wr" type="string"> + <ipxact:name>hssi_10g_rx_pcs_fifo_stop_wr</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_fifo_stop_wr</ipxact:displayName> + <ipxact:value>n_wr_full</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_force_align" type="string"> + <ipxact:name>hssi_10g_rx_pcs_force_align</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_force_align</ipxact:displayName> + <ipxact:value>force_align_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_frmsync_bypass" type="string"> + <ipxact:name>hssi_10g_rx_pcs_frmsync_bypass</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_frmsync_bypass</ipxact:displayName> + <ipxact:value>frmsync_bypass_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_frmsync_clken" type="string"> + <ipxact:name>hssi_10g_rx_pcs_frmsync_clken</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_frmsync_clken</ipxact:displayName> + <ipxact:value>frmsync_clk_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_frmsync_enum_scrm" type="string"> + <ipxact:name>hssi_10g_rx_pcs_frmsync_enum_scrm</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_frmsync_enum_scrm</ipxact:displayName> + <ipxact:value>enum_scrm_default</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_frmsync_enum_sync" type="string"> + <ipxact:name>hssi_10g_rx_pcs_frmsync_enum_sync</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_frmsync_enum_sync</ipxact:displayName> + <ipxact:value>enum_sync_default</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_frmsync_flag_type" type="string"> + <ipxact:name>hssi_10g_rx_pcs_frmsync_flag_type</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_frmsync_flag_type</ipxact:displayName> + <ipxact:value>location_only</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_frmsync_knum_sync" type="string"> + <ipxact:name>hssi_10g_rx_pcs_frmsync_knum_sync</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_frmsync_knum_sync</ipxact:displayName> + <ipxact:value>knum_sync_default</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_frmsync_mfrm_length" type="int"> + <ipxact:name>hssi_10g_rx_pcs_frmsync_mfrm_length</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_frmsync_mfrm_length</ipxact:displayName> + <ipxact:value>2048</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_frmsync_pipeln" type="string"> + <ipxact:name>hssi_10g_rx_pcs_frmsync_pipeln</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_frmsync_pipeln</ipxact:displayName> + <ipxact:value>frmsync_pipeln_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_full_flag_type" type="string"> + <ipxact:name>hssi_10g_rx_pcs_full_flag_type</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_full_flag_type</ipxact:displayName> + <ipxact:value>full_wr_side</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_gb_rx_idwidth" type="string"> + <ipxact:name>hssi_10g_rx_pcs_gb_rx_idwidth</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_gb_rx_idwidth</ipxact:displayName> + <ipxact:value>width_32</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_gb_rx_odwidth" type="string"> + <ipxact:name>hssi_10g_rx_pcs_gb_rx_odwidth</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_gb_rx_odwidth</ipxact:displayName> + <ipxact:value>width_66</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_gbexp_clken" type="string"> + <ipxact:name>hssi_10g_rx_pcs_gbexp_clken</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_gbexp_clken</ipxact:displayName> + <ipxact:value>gbexp_clk_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_low_latency_en" type="string"> + <ipxact:name>hssi_10g_rx_pcs_low_latency_en</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_low_latency_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_lpbk_mode" type="string"> + <ipxact:name>hssi_10g_rx_pcs_lpbk_mode</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_lpbk_mode</ipxact:displayName> + <ipxact:value>lpbk_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_master_clk_sel" type="string"> + <ipxact:name>hssi_10g_rx_pcs_master_clk_sel</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_master_clk_sel</ipxact:displayName> + <ipxact:value>master_rx_pma_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_pempty_flag_type" type="string"> + <ipxact:name>hssi_10g_rx_pcs_pempty_flag_type</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_pempty_flag_type</ipxact:displayName> + <ipxact:value>pempty_rd_side</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_pfull_flag_type" type="string"> + <ipxact:name>hssi_10g_rx_pcs_pfull_flag_type</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_pfull_flag_type</ipxact:displayName> + <ipxact:value>pfull_wr_side</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_phcomp_rd_del" type="string"> + <ipxact:name>hssi_10g_rx_pcs_phcomp_rd_del</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_phcomp_rd_del</ipxact:displayName> + <ipxact:value>phcomp_rd_del2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_pld_if_type" type="string"> + <ipxact:name>hssi_10g_rx_pcs_pld_if_type</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_pld_if_type</ipxact:displayName> + <ipxact:value>fifo</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_prot_mode" type="string"> + <ipxact:name>hssi_10g_rx_pcs_prot_mode</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_prot_mode</ipxact:displayName> + <ipxact:value>teng_baser_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_rand_clken" type="string"> + <ipxact:name>hssi_10g_rx_pcs_rand_clken</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_rand_clken</ipxact:displayName> + <ipxact:value>rand_clk_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_rd_clk_sel" type="string"> + <ipxact:name>hssi_10g_rx_pcs_rd_clk_sel</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_rd_clk_sel</ipxact:displayName> + <ipxact:value>rd_rx_pld_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_rdfifo_clken" type="string"> + <ipxact:name>hssi_10g_rx_pcs_rdfifo_clken</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_rdfifo_clken</ipxact:displayName> + <ipxact:value>rdfifo_clk_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_rx_fifo_write_ctrl" type="string"> + <ipxact:name>hssi_10g_rx_pcs_rx_fifo_write_ctrl</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_rx_fifo_write_ctrl</ipxact:displayName> + <ipxact:value>blklock_stops</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_rx_scrm_width" type="string"> + <ipxact:name>hssi_10g_rx_pcs_rx_scrm_width</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_rx_scrm_width</ipxact:displayName> + <ipxact:value>bit64</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_rx_sh_location" type="string"> + <ipxact:name>hssi_10g_rx_pcs_rx_sh_location</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_rx_sh_location</ipxact:displayName> + <ipxact:value>lsb</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_rx_signal_ok_sel" type="string"> + <ipxact:name>hssi_10g_rx_pcs_rx_signal_ok_sel</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_rx_signal_ok_sel</ipxact:displayName> + <ipxact:value>synchronized_ver</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_rx_sm_bypass" type="string"> + <ipxact:name>hssi_10g_rx_pcs_rx_sm_bypass</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_rx_sm_bypass</ipxact:displayName> + <ipxact:value>rx_sm_bypass_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_rx_sm_hiber" type="string"> + <ipxact:name>hssi_10g_rx_pcs_rx_sm_hiber</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_rx_sm_hiber</ipxact:displayName> + <ipxact:value>rx_sm_hiber_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_rx_sm_pipeln" type="string"> + <ipxact:name>hssi_10g_rx_pcs_rx_sm_pipeln</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_rx_sm_pipeln</ipxact:displayName> + <ipxact:value>rx_sm_pipeln_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_rx_testbus_sel" type="string"> + <ipxact:name>hssi_10g_rx_pcs_rx_testbus_sel</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_rx_testbus_sel</ipxact:displayName> + <ipxact:value>rx_fifo_testbus1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_rx_true_b2b" type="string"> + <ipxact:name>hssi_10g_rx_pcs_rx_true_b2b</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_rx_true_b2b</ipxact:displayName> + <ipxact:value>b2b</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_rxfifo_empty" type="string"> + <ipxact:name>hssi_10g_rx_pcs_rxfifo_empty</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_rxfifo_empty</ipxact:displayName> + <ipxact:value>empty_default</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_rxfifo_full" type="string"> + <ipxact:name>hssi_10g_rx_pcs_rxfifo_full</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_rxfifo_full</ipxact:displayName> + <ipxact:value>full_default</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_rxfifo_mode" type="string"> + <ipxact:name>hssi_10g_rx_pcs_rxfifo_mode</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_rxfifo_mode</ipxact:displayName> + <ipxact:value>clk_comp_10g</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_rxfifo_pempty" type="int"> + <ipxact:name>hssi_10g_rx_pcs_rxfifo_pempty</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_rxfifo_pempty</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_rxfifo_pfull" type="int"> + <ipxact:name>hssi_10g_rx_pcs_rxfifo_pfull</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_rxfifo_pfull</ipxact:displayName> + <ipxact:value>23</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_stretch_num_stages" type="string"> + <ipxact:name>hssi_10g_rx_pcs_stretch_num_stages</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_stretch_num_stages</ipxact:displayName> + <ipxact:value>two_stage</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_sup_mode" type="string"> + <ipxact:name>hssi_10g_rx_pcs_sup_mode</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_test_mode" type="string"> + <ipxact:name>hssi_10g_rx_pcs_test_mode</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_test_mode</ipxact:displayName> + <ipxact:value>test_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_wrfifo_clken" type="string"> + <ipxact:name>hssi_10g_rx_pcs_wrfifo_clken</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_wrfifo_clken</ipxact:displayName> + <ipxact:value>wrfifo_clk_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_advanced_user_mode" type="string"> + <ipxact:name>hssi_10g_rx_pcs_advanced_user_mode</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_advanced_user_mode</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_silicon_rev" type="string"> + <ipxact:name>hssi_10g_rx_pcs_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_reconfig_settings" type="string"> + <ipxact:name>hssi_10g_rx_pcs_reconfig_settings</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_reconfig_settings</ipxact:displayName> + <ipxact:value>{}</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_bitslip_en" type="string"> + <ipxact:name>hssi_10g_tx_pcs_bitslip_en</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_bitslip_en</ipxact:displayName> + <ipxact:value>bitslip_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_bonding_dft_en" type="string"> + <ipxact:name>hssi_10g_tx_pcs_bonding_dft_en</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_bonding_dft_en</ipxact:displayName> + <ipxact:value>dft_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_bonding_dft_val" type="string"> + <ipxact:name>hssi_10g_tx_pcs_bonding_dft_val</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_bonding_dft_val</ipxact:displayName> + <ipxact:value>dft_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_comp_cnt" type="int"> + <ipxact:name>hssi_10g_tx_pcs_comp_cnt</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_comp_cnt</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_compin_sel" type="string"> + <ipxact:name>hssi_10g_tx_pcs_compin_sel</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_compin_sel</ipxact:displayName> + <ipxact:value>compin_master</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_crcgen_bypass" type="string"> + <ipxact:name>hssi_10g_tx_pcs_crcgen_bypass</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_crcgen_bypass</ipxact:displayName> + <ipxact:value>crcgen_bypass_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_crcgen_clken" type="string"> + <ipxact:name>hssi_10g_tx_pcs_crcgen_clken</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_crcgen_clken</ipxact:displayName> + <ipxact:value>crcgen_clk_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_crcgen_err" type="string"> + <ipxact:name>hssi_10g_tx_pcs_crcgen_err</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_crcgen_err</ipxact:displayName> + <ipxact:value>crcgen_err_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_crcgen_inv" type="string"> + <ipxact:name>hssi_10g_tx_pcs_crcgen_inv</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_crcgen_inv</ipxact:displayName> + <ipxact:value>crcgen_inv_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_ctrl_bit_reverse" type="string"> + <ipxact:name>hssi_10g_tx_pcs_ctrl_bit_reverse</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_ctrl_bit_reverse</ipxact:displayName> + <ipxact:value>ctrl_bit_reverse_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_ctrl_plane_bonding" type="string"> + <ipxact:name>hssi_10g_tx_pcs_ctrl_plane_bonding</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_ctrl_plane_bonding</ipxact:displayName> + <ipxact:value>individual</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_data_bit_reverse" type="string"> + <ipxact:name>hssi_10g_tx_pcs_data_bit_reverse</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_data_bit_reverse</ipxact:displayName> + <ipxact:value>data_bit_reverse_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_dft_clk_out_sel" type="string"> + <ipxact:name>hssi_10g_tx_pcs_dft_clk_out_sel</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_dft_clk_out_sel</ipxact:displayName> + <ipxact:value>tx_master_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_dispgen_bypass" type="string"> + <ipxact:name>hssi_10g_tx_pcs_dispgen_bypass</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_dispgen_bypass</ipxact:displayName> + <ipxact:value>dispgen_bypass_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_dispgen_clken" type="string"> + <ipxact:name>hssi_10g_tx_pcs_dispgen_clken</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_dispgen_clken</ipxact:displayName> + <ipxact:value>dispgen_clk_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_dispgen_err" type="string"> + <ipxact:name>hssi_10g_tx_pcs_dispgen_err</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_dispgen_err</ipxact:displayName> + <ipxact:value>dispgen_err_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_dispgen_pipeln" type="string"> + <ipxact:name>hssi_10g_tx_pcs_dispgen_pipeln</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_dispgen_pipeln</ipxact:displayName> + <ipxact:value>dispgen_pipeln_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_distdwn_bypass_pipeln" type="string"> + <ipxact:name>hssi_10g_tx_pcs_distdwn_bypass_pipeln</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_distdwn_bypass_pipeln</ipxact:displayName> + <ipxact:value>distdwn_bypass_pipeln_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_distdwn_master" type="string"> + <ipxact:name>hssi_10g_tx_pcs_distdwn_master</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_distdwn_master</ipxact:displayName> + <ipxact:value>distdwn_master_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_distup_bypass_pipeln" type="string"> + <ipxact:name>hssi_10g_tx_pcs_distup_bypass_pipeln</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_distup_bypass_pipeln</ipxact:displayName> + <ipxact:value>distup_bypass_pipeln_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_distup_master" type="string"> + <ipxact:name>hssi_10g_tx_pcs_distup_master</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_distup_master</ipxact:displayName> + <ipxact:value>distup_master_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_dv_bond" type="string"> + <ipxact:name>hssi_10g_tx_pcs_dv_bond</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_dv_bond</ipxact:displayName> + <ipxact:value>dv_bond_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_empty_flag_type" type="string"> + <ipxact:name>hssi_10g_tx_pcs_empty_flag_type</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_empty_flag_type</ipxact:displayName> + <ipxact:value>empty_rd_side</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_enc_64b66b_txsm_bypass" type="string"> + <ipxact:name>hssi_10g_tx_pcs_enc_64b66b_txsm_bypass</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_enc_64b66b_txsm_bypass</ipxact:displayName> + <ipxact:value>enc_64b66b_txsm_bypass_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_enc64b66b_txsm_clken" type="string"> + <ipxact:name>hssi_10g_tx_pcs_enc64b66b_txsm_clken</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_enc64b66b_txsm_clken</ipxact:displayName> + <ipxact:value>enc64b66b_txsm_clk_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_fastpath" type="string"> + <ipxact:name>hssi_10g_tx_pcs_fastpath</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_fastpath</ipxact:displayName> + <ipxact:value>fastpath_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_fec_clken" type="string"> + <ipxact:name>hssi_10g_tx_pcs_fec_clken</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_fec_clken</ipxact:displayName> + <ipxact:value>fec_clk_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_fec_enable" type="string"> + <ipxact:name>hssi_10g_tx_pcs_fec_enable</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_fec_enable</ipxact:displayName> + <ipxact:value>fec_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_fifo_double_write" type="string"> + <ipxact:name>hssi_10g_tx_pcs_fifo_double_write</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_fifo_double_write</ipxact:displayName> + <ipxact:value>fifo_double_write_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_fifo_reg_fast" type="string"> + <ipxact:name>hssi_10g_tx_pcs_fifo_reg_fast</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_fifo_reg_fast</ipxact:displayName> + <ipxact:value>fifo_reg_fast_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_fifo_stop_rd" type="string"> + <ipxact:name>hssi_10g_tx_pcs_fifo_stop_rd</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_fifo_stop_rd</ipxact:displayName> + <ipxact:value>rd_empty</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_fifo_stop_wr" type="string"> + <ipxact:name>hssi_10g_tx_pcs_fifo_stop_wr</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_fifo_stop_wr</ipxact:displayName> + <ipxact:value>n_wr_full</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_frmgen_burst" type="string"> + <ipxact:name>hssi_10g_tx_pcs_frmgen_burst</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_frmgen_burst</ipxact:displayName> + <ipxact:value>frmgen_burst_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_frmgen_bypass" type="string"> + <ipxact:name>hssi_10g_tx_pcs_frmgen_bypass</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_frmgen_bypass</ipxact:displayName> + <ipxact:value>frmgen_bypass_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_frmgen_clken" type="string"> + <ipxact:name>hssi_10g_tx_pcs_frmgen_clken</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_frmgen_clken</ipxact:displayName> + <ipxact:value>frmgen_clk_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_frmgen_mfrm_length" type="int"> + <ipxact:name>hssi_10g_tx_pcs_frmgen_mfrm_length</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_frmgen_mfrm_length</ipxact:displayName> + <ipxact:value>2048</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_frmgen_pipeln" type="string"> + <ipxact:name>hssi_10g_tx_pcs_frmgen_pipeln</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_frmgen_pipeln</ipxact:displayName> + <ipxact:value>frmgen_pipeln_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_frmgen_pyld_ins" type="string"> + <ipxact:name>hssi_10g_tx_pcs_frmgen_pyld_ins</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_frmgen_pyld_ins</ipxact:displayName> + <ipxact:value>frmgen_pyld_ins_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_frmgen_wordslip" type="string"> + <ipxact:name>hssi_10g_tx_pcs_frmgen_wordslip</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_frmgen_wordslip</ipxact:displayName> + <ipxact:value>frmgen_wordslip_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_full_flag_type" type="string"> + <ipxact:name>hssi_10g_tx_pcs_full_flag_type</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_full_flag_type</ipxact:displayName> + <ipxact:value>full_wr_side</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_gb_pipeln_bypass" type="string"> + <ipxact:name>hssi_10g_tx_pcs_gb_pipeln_bypass</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_gb_pipeln_bypass</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_gb_tx_idwidth" type="string"> + <ipxact:name>hssi_10g_tx_pcs_gb_tx_idwidth</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_gb_tx_idwidth</ipxact:displayName> + <ipxact:value>width_66</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_gb_tx_odwidth" type="string"> + <ipxact:name>hssi_10g_tx_pcs_gb_tx_odwidth</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_gb_tx_odwidth</ipxact:displayName> + <ipxact:value>width_32</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_gbred_clken" type="string"> + <ipxact:name>hssi_10g_tx_pcs_gbred_clken</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_gbred_clken</ipxact:displayName> + <ipxact:value>gbred_clk_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_indv" type="string"> + <ipxact:name>hssi_10g_tx_pcs_indv</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_indv</ipxact:displayName> + <ipxact:value>indv_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_low_latency_en" type="string"> + <ipxact:name>hssi_10g_tx_pcs_low_latency_en</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_low_latency_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_master_clk_sel" type="string"> + <ipxact:name>hssi_10g_tx_pcs_master_clk_sel</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_master_clk_sel</ipxact:displayName> + <ipxact:value>master_tx_pma_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_pempty_flag_type" type="string"> + <ipxact:name>hssi_10g_tx_pcs_pempty_flag_type</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_pempty_flag_type</ipxact:displayName> + <ipxact:value>pempty_rd_side</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_pfull_flag_type" type="string"> + <ipxact:name>hssi_10g_tx_pcs_pfull_flag_type</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_pfull_flag_type</ipxact:displayName> + <ipxact:value>pfull_wr_side</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_phcomp_rd_del" type="string"> + <ipxact:name>hssi_10g_tx_pcs_phcomp_rd_del</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_phcomp_rd_del</ipxact:displayName> + <ipxact:value>phcomp_rd_del4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_pld_if_type" type="string"> + <ipxact:name>hssi_10g_tx_pcs_pld_if_type</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_pld_if_type</ipxact:displayName> + <ipxact:value>fifo</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_prot_mode" type="string"> + <ipxact:name>hssi_10g_tx_pcs_prot_mode</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_prot_mode</ipxact:displayName> + <ipxact:value>teng_baser_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_pseudo_random" type="string"> + <ipxact:name>hssi_10g_tx_pcs_pseudo_random</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_pseudo_random</ipxact:displayName> + <ipxact:value>all_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_pseudo_seed_a" type="string"> + <ipxact:name>hssi_10g_tx_pcs_pseudo_seed_a</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_pseudo_seed_a</ipxact:displayName> + <ipxact:value>288230376151711743</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_pseudo_seed_b" type="string"> + <ipxact:name>hssi_10g_tx_pcs_pseudo_seed_b</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_pseudo_seed_b</ipxact:displayName> + <ipxact:value>288230376151711743</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_random_disp" type="string"> + <ipxact:name>hssi_10g_tx_pcs_random_disp</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_random_disp</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_rdfifo_clken" type="string"> + <ipxact:name>hssi_10g_tx_pcs_rdfifo_clken</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_rdfifo_clken</ipxact:displayName> + <ipxact:value>rdfifo_clk_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_scrm_bypass" type="string"> + <ipxact:name>hssi_10g_tx_pcs_scrm_bypass</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_scrm_bypass</ipxact:displayName> + <ipxact:value>scrm_bypass_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_scrm_clken" type="string"> + <ipxact:name>hssi_10g_tx_pcs_scrm_clken</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_scrm_clken</ipxact:displayName> + <ipxact:value>scrm_clk_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_scrm_mode" type="string"> + <ipxact:name>hssi_10g_tx_pcs_scrm_mode</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_scrm_mode</ipxact:displayName> + <ipxact:value>async</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_scrm_pipeln" type="string"> + <ipxact:name>hssi_10g_tx_pcs_scrm_pipeln</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_scrm_pipeln</ipxact:displayName> + <ipxact:value>enable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_sh_err" type="string"> + <ipxact:name>hssi_10g_tx_pcs_sh_err</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_sh_err</ipxact:displayName> + <ipxact:value>sh_err_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_sop_mark" type="string"> + <ipxact:name>hssi_10g_tx_pcs_sop_mark</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_sop_mark</ipxact:displayName> + <ipxact:value>sop_mark_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_stretch_num_stages" type="string"> + <ipxact:name>hssi_10g_tx_pcs_stretch_num_stages</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_stretch_num_stages</ipxact:displayName> + <ipxact:value>two_stage</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_sup_mode" type="string"> + <ipxact:name>hssi_10g_tx_pcs_sup_mode</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_test_mode" type="string"> + <ipxact:name>hssi_10g_tx_pcs_test_mode</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_test_mode</ipxact:displayName> + <ipxact:value>test_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_tx_scrm_err" type="string"> + <ipxact:name>hssi_10g_tx_pcs_tx_scrm_err</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_tx_scrm_err</ipxact:displayName> + <ipxact:value>scrm_err_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_tx_scrm_width" type="string"> + <ipxact:name>hssi_10g_tx_pcs_tx_scrm_width</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_tx_scrm_width</ipxact:displayName> + <ipxact:value>bit64</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_tx_sh_location" type="string"> + <ipxact:name>hssi_10g_tx_pcs_tx_sh_location</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_tx_sh_location</ipxact:displayName> + <ipxact:value>lsb</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_tx_sm_bypass" type="string"> + <ipxact:name>hssi_10g_tx_pcs_tx_sm_bypass</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_tx_sm_bypass</ipxact:displayName> + <ipxact:value>tx_sm_bypass_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_tx_sm_pipeln" type="string"> + <ipxact:name>hssi_10g_tx_pcs_tx_sm_pipeln</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_tx_sm_pipeln</ipxact:displayName> + <ipxact:value>tx_sm_pipeln_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_tx_testbus_sel" type="string"> + <ipxact:name>hssi_10g_tx_pcs_tx_testbus_sel</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_tx_testbus_sel</ipxact:displayName> + <ipxact:value>tx_fifo_testbus1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_txfifo_empty" type="string"> + <ipxact:name>hssi_10g_tx_pcs_txfifo_empty</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_txfifo_empty</ipxact:displayName> + <ipxact:value>empty_default</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_txfifo_full" type="string"> + <ipxact:name>hssi_10g_tx_pcs_txfifo_full</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_txfifo_full</ipxact:displayName> + <ipxact:value>full_default</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_txfifo_mode" type="string"> + <ipxact:name>hssi_10g_tx_pcs_txfifo_mode</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_txfifo_mode</ipxact:displayName> + <ipxact:value>phase_comp</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_txfifo_pempty" type="int"> + <ipxact:name>hssi_10g_tx_pcs_txfifo_pempty</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_txfifo_pempty</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_txfifo_pfull" type="int"> + <ipxact:name>hssi_10g_tx_pcs_txfifo_pfull</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_txfifo_pfull</ipxact:displayName> + <ipxact:value>11</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_wr_clk_sel" type="string"> + <ipxact:name>hssi_10g_tx_pcs_wr_clk_sel</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_wr_clk_sel</ipxact:displayName> + <ipxact:value>wr_tx_pld_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_wrfifo_clken" type="string"> + <ipxact:name>hssi_10g_tx_pcs_wrfifo_clken</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_wrfifo_clken</ipxact:displayName> + <ipxact:value>wrfifo_clk_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_advanced_user_mode" type="string"> + <ipxact:name>hssi_10g_tx_pcs_advanced_user_mode</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_advanced_user_mode</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_silicon_rev" type="string"> + <ipxact:name>hssi_10g_tx_pcs_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_reconfig_settings" type="string"> + <ipxact:name>hssi_10g_tx_pcs_reconfig_settings</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_reconfig_settings</ipxact:displayName> + <ipxact:value>{}</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_auto_error_replacement" type="string"> + <ipxact:name>hssi_8g_rx_pcs_auto_error_replacement</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_auto_error_replacement</ipxact:displayName> + <ipxact:value>dis_err_replace</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_auto_speed_nego" type="string"> + <ipxact:name>hssi_8g_rx_pcs_auto_speed_nego</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_auto_speed_nego</ipxact:displayName> + <ipxact:value>dis_asn</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_bit_reversal" type="string"> + <ipxact:name>hssi_8g_rx_pcs_bit_reversal</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_bit_reversal</ipxact:displayName> + <ipxact:value>dis_bit_reversal</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_bonding_dft_en" type="string"> + <ipxact:name>hssi_8g_rx_pcs_bonding_dft_en</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_bonding_dft_en</ipxact:displayName> + <ipxact:value>dft_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_bonding_dft_val" type="string"> + <ipxact:name>hssi_8g_rx_pcs_bonding_dft_val</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_bonding_dft_val</ipxact:displayName> + <ipxact:value>dft_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_bypass_pipeline_reg" type="string"> + <ipxact:name>hssi_8g_rx_pcs_bypass_pipeline_reg</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_bypass_pipeline_reg</ipxact:displayName> + <ipxact:value>dis_bypass_pipeline</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_byte_deserializer" type="string"> + <ipxact:name>hssi_8g_rx_pcs_byte_deserializer</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_byte_deserializer</ipxact:displayName> + <ipxact:value>dis_bds</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_cdr_ctrl_rxvalid_mask" type="string"> + <ipxact:name>hssi_8g_rx_pcs_cdr_ctrl_rxvalid_mask</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_cdr_ctrl_rxvalid_mask</ipxact:displayName> + <ipxact:value>dis_rxvalid_mask</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_clkcmp_pattern_n" type="int"> + <ipxact:name>hssi_8g_rx_pcs_clkcmp_pattern_n</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_clkcmp_pattern_n</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_clkcmp_pattern_p" type="int"> + <ipxact:name>hssi_8g_rx_pcs_clkcmp_pattern_p</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_clkcmp_pattern_p</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_clock_gate_bds_dec_asn" type="string"> + <ipxact:name>hssi_8g_rx_pcs_clock_gate_bds_dec_asn</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_clock_gate_bds_dec_asn</ipxact:displayName> + <ipxact:value>en_bds_dec_asn_clk_gating</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_clock_gate_cdr_eidle" type="string"> + <ipxact:name>hssi_8g_rx_pcs_clock_gate_cdr_eidle</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_clock_gate_cdr_eidle</ipxact:displayName> + <ipxact:value>en_cdr_eidle_clk_gating</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_clock_gate_dw_pc_wrclk" type="string"> + <ipxact:name>hssi_8g_rx_pcs_clock_gate_dw_pc_wrclk</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_clock_gate_dw_pc_wrclk</ipxact:displayName> + <ipxact:value>en_dw_pc_wrclk_gating</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_clock_gate_dw_rm_rd" type="string"> + <ipxact:name>hssi_8g_rx_pcs_clock_gate_dw_rm_rd</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_clock_gate_dw_rm_rd</ipxact:displayName> + <ipxact:value>en_dw_rm_rdclk_gating</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_clock_gate_dw_rm_wr" type="string"> + <ipxact:name>hssi_8g_rx_pcs_clock_gate_dw_rm_wr</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_clock_gate_dw_rm_wr</ipxact:displayName> + <ipxact:value>en_dw_rm_wrclk_gating</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_clock_gate_dw_wa" type="string"> + <ipxact:name>hssi_8g_rx_pcs_clock_gate_dw_wa</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_clock_gate_dw_wa</ipxact:displayName> + <ipxact:value>en_dw_wa_clk_gating</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_clock_gate_pc_rdclk" type="string"> + <ipxact:name>hssi_8g_rx_pcs_clock_gate_pc_rdclk</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_clock_gate_pc_rdclk</ipxact:displayName> + <ipxact:value>en_pc_rdclk_gating</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_clock_gate_sw_pc_wrclk" type="string"> + <ipxact:name>hssi_8g_rx_pcs_clock_gate_sw_pc_wrclk</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_clock_gate_sw_pc_wrclk</ipxact:displayName> + <ipxact:value>en_sw_pc_wrclk_gating</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_clock_gate_sw_rm_rd" type="string"> + <ipxact:name>hssi_8g_rx_pcs_clock_gate_sw_rm_rd</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_clock_gate_sw_rm_rd</ipxact:displayName> + <ipxact:value>en_sw_rm_rdclk_gating</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_clock_gate_sw_rm_wr" type="string"> + <ipxact:name>hssi_8g_rx_pcs_clock_gate_sw_rm_wr</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_clock_gate_sw_rm_wr</ipxact:displayName> + <ipxact:value>en_sw_rm_wrclk_gating</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_clock_gate_sw_wa" type="string"> + <ipxact:name>hssi_8g_rx_pcs_clock_gate_sw_wa</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_clock_gate_sw_wa</ipxact:displayName> + <ipxact:value>en_sw_wa_clk_gating</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_clock_observation_in_pld_core" type="string"> + <ipxact:name>hssi_8g_rx_pcs_clock_observation_in_pld_core</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_clock_observation_in_pld_core</ipxact:displayName> + <ipxact:value>internal_sw_wa_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_ctrl_plane_bonding_compensation" type="string"> + <ipxact:name>hssi_8g_rx_pcs_ctrl_plane_bonding_compensation</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_ctrl_plane_bonding_compensation</ipxact:displayName> + <ipxact:value>dis_compensation</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_ctrl_plane_bonding_consumption" type="string"> + <ipxact:name>hssi_8g_rx_pcs_ctrl_plane_bonding_consumption</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_ctrl_plane_bonding_consumption</ipxact:displayName> + <ipxact:value>individual</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_ctrl_plane_bonding_distribution" type="string"> + <ipxact:name>hssi_8g_rx_pcs_ctrl_plane_bonding_distribution</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_ctrl_plane_bonding_distribution</ipxact:displayName> + <ipxact:value>not_master_chnl_distr</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_eidle_entry_eios" type="string"> + <ipxact:name>hssi_8g_rx_pcs_eidle_entry_eios</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_eidle_entry_eios</ipxact:displayName> + <ipxact:value>dis_eidle_eios</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_eidle_entry_iei" type="string"> + <ipxact:name>hssi_8g_rx_pcs_eidle_entry_iei</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_eidle_entry_iei</ipxact:displayName> + <ipxact:value>dis_eidle_iei</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_eidle_entry_sd" type="string"> + <ipxact:name>hssi_8g_rx_pcs_eidle_entry_sd</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_eidle_entry_sd</ipxact:displayName> + <ipxact:value>dis_eidle_sd</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_eightb_tenb_decoder" type="string"> + <ipxact:name>hssi_8g_rx_pcs_eightb_tenb_decoder</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_eightb_tenb_decoder</ipxact:displayName> + <ipxact:value>en_8b10b_ibm</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_err_flags_sel" type="string"> + <ipxact:name>hssi_8g_rx_pcs_err_flags_sel</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_err_flags_sel</ipxact:displayName> + <ipxact:value>err_flags_wa</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_fixed_pat_det" type="string"> + <ipxact:name>hssi_8g_rx_pcs_fixed_pat_det</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_fixed_pat_det</ipxact:displayName> + <ipxact:value>dis_fixed_patdet</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_fixed_pat_num" type="int"> + <ipxact:name>hssi_8g_rx_pcs_fixed_pat_num</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_fixed_pat_num</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_force_signal_detect" type="string"> + <ipxact:name>hssi_8g_rx_pcs_force_signal_detect</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_force_signal_detect</ipxact:displayName> + <ipxact:value>en_force_signal_detect</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_gen3_clk_en" type="string"> + <ipxact:name>hssi_8g_rx_pcs_gen3_clk_en</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_gen3_clk_en</ipxact:displayName> + <ipxact:value>disable_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_gen3_rx_clk_sel" type="string"> + <ipxact:name>hssi_8g_rx_pcs_gen3_rx_clk_sel</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_gen3_rx_clk_sel</ipxact:displayName> + <ipxact:value>rcvd_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_gen3_tx_clk_sel" type="string"> + <ipxact:name>hssi_8g_rx_pcs_gen3_tx_clk_sel</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_gen3_tx_clk_sel</ipxact:displayName> + <ipxact:value>tx_pma_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_hip_mode" type="string"> + <ipxact:name>hssi_8g_rx_pcs_hip_mode</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_hip_mode</ipxact:displayName> + <ipxact:value>dis_hip</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_ibm_invalid_code" type="string"> + <ipxact:name>hssi_8g_rx_pcs_ibm_invalid_code</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_ibm_invalid_code</ipxact:displayName> + <ipxact:value>dis_ibm_invalid_code</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_invalid_code_flag_only" type="string"> + <ipxact:name>hssi_8g_rx_pcs_invalid_code_flag_only</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_invalid_code_flag_only</ipxact:displayName> + <ipxact:value>dis_invalid_code_only</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_pad_or_edb_error_replace" type="string"> + <ipxact:name>hssi_8g_rx_pcs_pad_or_edb_error_replace</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_pad_or_edb_error_replace</ipxact:displayName> + <ipxact:value>replace_edb</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_pcs_bypass" type="string"> + <ipxact:name>hssi_8g_rx_pcs_pcs_bypass</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_pcs_bypass</ipxact:displayName> + <ipxact:value>dis_pcs_bypass</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_phase_comp_rdptr" type="string"> + <ipxact:name>hssi_8g_rx_pcs_phase_comp_rdptr</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_phase_comp_rdptr</ipxact:displayName> + <ipxact:value>disable_rdptr</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_phase_compensation_fifo" type="string"> + <ipxact:name>hssi_8g_rx_pcs_phase_compensation_fifo</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_phase_compensation_fifo</ipxact:displayName> + <ipxact:value>low_latency</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_pipe_if_enable" type="string"> + <ipxact:name>hssi_8g_rx_pcs_pipe_if_enable</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_pipe_if_enable</ipxact:displayName> + <ipxact:value>dis_pipe_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_pma_dw" type="string"> + <ipxact:name>hssi_8g_rx_pcs_pma_dw</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_pma_dw</ipxact:displayName> + <ipxact:value>ten_bit</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_polinv_8b10b_dec" type="string"> + <ipxact:name>hssi_8g_rx_pcs_polinv_8b10b_dec</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_polinv_8b10b_dec</ipxact:displayName> + <ipxact:value>dis_polinv_8b10b_dec</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_prot_mode" type="string"> + <ipxact:name>hssi_8g_rx_pcs_prot_mode</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_prot_mode</ipxact:displayName> + <ipxact:value>disabled_prot_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_rate_match" type="string"> + <ipxact:name>hssi_8g_rx_pcs_rate_match</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_rate_match</ipxact:displayName> + <ipxact:value>dis_rm</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_rate_match_del_thres" type="string"> + <ipxact:name>hssi_8g_rx_pcs_rate_match_del_thres</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_rate_match_del_thres</ipxact:displayName> + <ipxact:value>dis_rm_del_thres</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_rate_match_empty_thres" type="string"> + <ipxact:name>hssi_8g_rx_pcs_rate_match_empty_thres</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_rate_match_empty_thres</ipxact:displayName> + <ipxact:value>dis_rm_empty_thres</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_rate_match_full_thres" type="string"> + <ipxact:name>hssi_8g_rx_pcs_rate_match_full_thres</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_rate_match_full_thres</ipxact:displayName> + <ipxact:value>dis_rm_full_thres</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_rate_match_ins_thres" type="string"> + <ipxact:name>hssi_8g_rx_pcs_rate_match_ins_thres</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_rate_match_ins_thres</ipxact:displayName> + <ipxact:value>dis_rm_ins_thres</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_rate_match_start_thres" type="string"> + <ipxact:name>hssi_8g_rx_pcs_rate_match_start_thres</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_rate_match_start_thres</ipxact:displayName> + <ipxact:value>dis_rm_start_thres</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_rx_clk_free_running" type="string"> + <ipxact:name>hssi_8g_rx_pcs_rx_clk_free_running</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_rx_clk_free_running</ipxact:displayName> + <ipxact:value>en_rx_clk_free_run</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_rx_clk2" type="string"> + <ipxact:name>hssi_8g_rx_pcs_rx_clk2</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_rx_clk2</ipxact:displayName> + <ipxact:value>rcvd_clk_clk2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_rx_pcs_urst" type="string"> + <ipxact:name>hssi_8g_rx_pcs_rx_pcs_urst</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_rx_pcs_urst</ipxact:displayName> + <ipxact:value>en_rx_pcs_urst</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_rx_rcvd_clk" type="string"> + <ipxact:name>hssi_8g_rx_pcs_rx_rcvd_clk</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_rx_rcvd_clk</ipxact:displayName> + <ipxact:value>rcvd_clk_rcvd_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_rx_rd_clk" type="string"> + <ipxact:name>hssi_8g_rx_pcs_rx_rd_clk</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_rx_rd_clk</ipxact:displayName> + <ipxact:value>pld_rx_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_rx_refclk" type="string"> + <ipxact:name>hssi_8g_rx_pcs_rx_refclk</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_rx_refclk</ipxact:displayName> + <ipxact:value>dis_refclk_sel</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_rx_wr_clk" type="string"> + <ipxact:name>hssi_8g_rx_pcs_rx_wr_clk</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_rx_wr_clk</ipxact:displayName> + <ipxact:value>rx_clk2_div_1_2_4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_sup_mode" type="string"> + <ipxact:name>hssi_8g_rx_pcs_sup_mode</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_symbol_swap" type="string"> + <ipxact:name>hssi_8g_rx_pcs_symbol_swap</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_symbol_swap</ipxact:displayName> + <ipxact:value>dis_symbol_swap</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_sync_sm_idle_eios" type="string"> + <ipxact:name>hssi_8g_rx_pcs_sync_sm_idle_eios</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_sync_sm_idle_eios</ipxact:displayName> + <ipxact:value>dis_syncsm_idle</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_test_bus_sel" type="string"> + <ipxact:name>hssi_8g_rx_pcs_test_bus_sel</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_test_bus_sel</ipxact:displayName> + <ipxact:value>tx_testbus</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_tx_rx_parallel_loopback" type="string"> + <ipxact:name>hssi_8g_rx_pcs_tx_rx_parallel_loopback</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_tx_rx_parallel_loopback</ipxact:displayName> + <ipxact:value>dis_plpbk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_wa_boundary_lock_ctrl" type="string"> + <ipxact:name>hssi_8g_rx_pcs_wa_boundary_lock_ctrl</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_wa_boundary_lock_ctrl</ipxact:displayName> + <ipxact:value>sync_sm</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_wa_clk_slip_spacing" type="int"> + <ipxact:name>hssi_8g_rx_pcs_wa_clk_slip_spacing</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_wa_clk_slip_spacing</ipxact:displayName> + <ipxact:value>16</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_wa_det_latency_sync_status_beh" type="string"> + <ipxact:name>hssi_8g_rx_pcs_wa_det_latency_sync_status_beh</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_wa_det_latency_sync_status_beh</ipxact:displayName> + <ipxact:value>dont_care_assert_sync</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_wa_disp_err_flag" type="string"> + <ipxact:name>hssi_8g_rx_pcs_wa_disp_err_flag</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_wa_disp_err_flag</ipxact:displayName> + <ipxact:value>en_disp_err_flag</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_wa_kchar" type="string"> + <ipxact:name>hssi_8g_rx_pcs_wa_kchar</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_wa_kchar</ipxact:displayName> + <ipxact:value>dis_kchar</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_wa_pd" type="string"> + <ipxact:name>hssi_8g_rx_pcs_wa_pd</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_wa_pd</ipxact:displayName> + <ipxact:value>wa_pd_10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_wa_pd_data" type="string"> + <ipxact:name>hssi_8g_rx_pcs_wa_pd_data</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_wa_pd_data</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_wa_pd_polarity" type="string"> + <ipxact:name>hssi_8g_rx_pcs_wa_pd_polarity</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_wa_pd_polarity</ipxact:displayName> + <ipxact:value>dont_care_both_pol</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_wa_pld_controlled" type="string"> + <ipxact:name>hssi_8g_rx_pcs_wa_pld_controlled</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_wa_pld_controlled</ipxact:displayName> + <ipxact:value>dis_pld_ctrl</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_wa_renumber_data" type="int"> + <ipxact:name>hssi_8g_rx_pcs_wa_renumber_data</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_wa_renumber_data</ipxact:displayName> + <ipxact:value>3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_wa_rgnumber_data" type="int"> + <ipxact:name>hssi_8g_rx_pcs_wa_rgnumber_data</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_wa_rgnumber_data</ipxact:displayName> + <ipxact:value>3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_wa_rknumber_data" type="int"> + <ipxact:name>hssi_8g_rx_pcs_wa_rknumber_data</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_wa_rknumber_data</ipxact:displayName> + <ipxact:value>3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_wa_rosnumber_data" type="int"> + <ipxact:name>hssi_8g_rx_pcs_wa_rosnumber_data</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_wa_rosnumber_data</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_wa_rvnumber_data" type="int"> + <ipxact:name>hssi_8g_rx_pcs_wa_rvnumber_data</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_wa_rvnumber_data</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_wa_sync_sm_ctrl" type="string"> + <ipxact:name>hssi_8g_rx_pcs_wa_sync_sm_ctrl</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_wa_sync_sm_ctrl</ipxact:displayName> + <ipxact:value>gige_sync_sm</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_wait_cnt" type="int"> + <ipxact:name>hssi_8g_rx_pcs_wait_cnt</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_wait_cnt</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_silicon_rev" type="string"> + <ipxact:name>hssi_8g_rx_pcs_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_reconfig_settings" type="string"> + <ipxact:name>hssi_8g_rx_pcs_reconfig_settings</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_reconfig_settings</ipxact:displayName> + <ipxact:value>{}</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_auto_speed_nego_gen2" type="string"> + <ipxact:name>hssi_8g_tx_pcs_auto_speed_nego_gen2</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_auto_speed_nego_gen2</ipxact:displayName> + <ipxact:value>dis_asn_g2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_bit_reversal" type="string"> + <ipxact:name>hssi_8g_tx_pcs_bit_reversal</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_bit_reversal</ipxact:displayName> + <ipxact:value>dis_bit_reversal</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_bonding_dft_en" type="string"> + <ipxact:name>hssi_8g_tx_pcs_bonding_dft_en</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_bonding_dft_en</ipxact:displayName> + <ipxact:value>dft_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_bonding_dft_val" type="string"> + <ipxact:name>hssi_8g_tx_pcs_bonding_dft_val</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_bonding_dft_val</ipxact:displayName> + <ipxact:value>dft_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_bypass_pipeline_reg" type="string"> + <ipxact:name>hssi_8g_tx_pcs_bypass_pipeline_reg</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_bypass_pipeline_reg</ipxact:displayName> + <ipxact:value>dis_bypass_pipeline</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_byte_serializer" type="string"> + <ipxact:name>hssi_8g_tx_pcs_byte_serializer</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_byte_serializer</ipxact:displayName> + <ipxact:value>dis_bs</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_clock_gate_bs_enc" type="string"> + <ipxact:name>hssi_8g_tx_pcs_clock_gate_bs_enc</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_clock_gate_bs_enc</ipxact:displayName> + <ipxact:value>en_bs_enc_clk_gating</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_clock_gate_dw_fifowr" type="string"> + <ipxact:name>hssi_8g_tx_pcs_clock_gate_dw_fifowr</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_clock_gate_dw_fifowr</ipxact:displayName> + <ipxact:value>en_dw_fifowr_clk_gating</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_clock_gate_fiford" type="string"> + <ipxact:name>hssi_8g_tx_pcs_clock_gate_fiford</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_clock_gate_fiford</ipxact:displayName> + <ipxact:value>en_fiford_clk_gating</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_clock_gate_sw_fifowr" type="string"> + <ipxact:name>hssi_8g_tx_pcs_clock_gate_sw_fifowr</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_clock_gate_sw_fifowr</ipxact:displayName> + <ipxact:value>en_sw_fifowr_clk_gating</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_clock_observation_in_pld_core" type="string"> + <ipxact:name>hssi_8g_tx_pcs_clock_observation_in_pld_core</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_clock_observation_in_pld_core</ipxact:displayName> + <ipxact:value>internal_refclk_b</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_ctrl_plane_bonding_compensation" type="string"> + <ipxact:name>hssi_8g_tx_pcs_ctrl_plane_bonding_compensation</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_ctrl_plane_bonding_compensation</ipxact:displayName> + <ipxact:value>dis_compensation</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_ctrl_plane_bonding_consumption" type="string"> + <ipxact:name>hssi_8g_tx_pcs_ctrl_plane_bonding_consumption</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_ctrl_plane_bonding_consumption</ipxact:displayName> + <ipxact:value>individual</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_ctrl_plane_bonding_distribution" type="string"> + <ipxact:name>hssi_8g_tx_pcs_ctrl_plane_bonding_distribution</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_ctrl_plane_bonding_distribution</ipxact:displayName> + <ipxact:value>not_master_chnl_distr</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_data_selection_8b10b_encoder_input" type="string"> + <ipxact:name>hssi_8g_tx_pcs_data_selection_8b10b_encoder_input</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_data_selection_8b10b_encoder_input</ipxact:displayName> + <ipxact:value>normal_data_path</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_dynamic_clk_switch" type="string"> + <ipxact:name>hssi_8g_tx_pcs_dynamic_clk_switch</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_dynamic_clk_switch</ipxact:displayName> + <ipxact:value>dis_dyn_clk_switch</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_eightb_tenb_disp_ctrl" type="string"> + <ipxact:name>hssi_8g_tx_pcs_eightb_tenb_disp_ctrl</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_eightb_tenb_disp_ctrl</ipxact:displayName> + <ipxact:value>dis_disp_ctrl</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_eightb_tenb_encoder" type="string"> + <ipxact:name>hssi_8g_tx_pcs_eightb_tenb_encoder</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_eightb_tenb_encoder</ipxact:displayName> + <ipxact:value>en_8b10b_ibm</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_force_echar" type="string"> + <ipxact:name>hssi_8g_tx_pcs_force_echar</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_force_echar</ipxact:displayName> + <ipxact:value>dis_force_echar</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_force_kchar" type="string"> + <ipxact:name>hssi_8g_tx_pcs_force_kchar</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_force_kchar</ipxact:displayName> + <ipxact:value>dis_force_kchar</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_gen3_tx_clk_sel" type="string"> + <ipxact:name>hssi_8g_tx_pcs_gen3_tx_clk_sel</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_gen3_tx_clk_sel</ipxact:displayName> + <ipxact:value>dis_tx_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_gen3_tx_pipe_clk_sel" type="string"> + <ipxact:name>hssi_8g_tx_pcs_gen3_tx_pipe_clk_sel</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_gen3_tx_pipe_clk_sel</ipxact:displayName> + <ipxact:value>dis_tx_pipe_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_hip_mode" type="string"> + <ipxact:name>hssi_8g_tx_pcs_hip_mode</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_hip_mode</ipxact:displayName> + <ipxact:value>dis_hip</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_pcs_bypass" type="string"> + <ipxact:name>hssi_8g_tx_pcs_pcs_bypass</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_pcs_bypass</ipxact:displayName> + <ipxact:value>dis_pcs_bypass</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_phase_comp_rdptr" type="string"> + <ipxact:name>hssi_8g_tx_pcs_phase_comp_rdptr</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_phase_comp_rdptr</ipxact:displayName> + <ipxact:value>disable_rdptr</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_phase_compensation_fifo" type="string"> + <ipxact:name>hssi_8g_tx_pcs_phase_compensation_fifo</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_phase_compensation_fifo</ipxact:displayName> + <ipxact:value>low_latency</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_phfifo_write_clk_sel" type="string"> + <ipxact:name>hssi_8g_tx_pcs_phfifo_write_clk_sel</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_phfifo_write_clk_sel</ipxact:displayName> + <ipxact:value>pld_tx_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_pma_dw" type="string"> + <ipxact:name>hssi_8g_tx_pcs_pma_dw</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_pma_dw</ipxact:displayName> + <ipxact:value>ten_bit</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_prot_mode" type="string"> + <ipxact:name>hssi_8g_tx_pcs_prot_mode</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_prot_mode</ipxact:displayName> + <ipxact:value>disabled_prot_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_refclk_b_clk_sel" type="string"> + <ipxact:name>hssi_8g_tx_pcs_refclk_b_clk_sel</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_refclk_b_clk_sel</ipxact:displayName> + <ipxact:value>tx_pma_clock</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_revloop_back_rm" type="string"> + <ipxact:name>hssi_8g_tx_pcs_revloop_back_rm</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_revloop_back_rm</ipxact:displayName> + <ipxact:value>dis_rev_loopback_rx_rm</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_sup_mode" type="string"> + <ipxact:name>hssi_8g_tx_pcs_sup_mode</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_symbol_swap" type="string"> + <ipxact:name>hssi_8g_tx_pcs_symbol_swap</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_symbol_swap</ipxact:displayName> + <ipxact:value>dis_symbol_swap</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_tx_bitslip" type="string"> + <ipxact:name>hssi_8g_tx_pcs_tx_bitslip</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_tx_bitslip</ipxact:displayName> + <ipxact:value>dis_tx_bitslip</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_tx_compliance_controlled_disparity" type="string"> + <ipxact:name>hssi_8g_tx_pcs_tx_compliance_controlled_disparity</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_tx_compliance_controlled_disparity</ipxact:displayName> + <ipxact:value>dis_txcompliance</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_tx_fast_pld_reg" type="string"> + <ipxact:name>hssi_8g_tx_pcs_tx_fast_pld_reg</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_tx_fast_pld_reg</ipxact:displayName> + <ipxact:value>dis_tx_fast_pld_reg</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_txclk_freerun" type="string"> + <ipxact:name>hssi_8g_tx_pcs_txclk_freerun</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_txclk_freerun</ipxact:displayName> + <ipxact:value>en_freerun_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_txpcs_urst" type="string"> + <ipxact:name>hssi_8g_tx_pcs_txpcs_urst</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_txpcs_urst</ipxact:displayName> + <ipxact:value>en_txpcs_urst</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_silicon_rev" type="string"> + <ipxact:name>hssi_8g_tx_pcs_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_reconfig_settings" type="string"> + <ipxact:name>hssi_8g_tx_pcs_reconfig_settings</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_reconfig_settings</ipxact:displayName> + <ipxact:value>{}</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_hip_en" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_hip_en</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_hip_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_hrdrstctl_en" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_hrdrstctl_en</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_hrdrstctl_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_prot_mode_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_prot_mode_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_prot_mode_tx</ipxact:displayName> + <ipxact:value>teng_baser_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_ctrl_plane_bonding_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_ctrl_plane_bonding_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_ctrl_plane_bonding_tx</ipxact:displayName> + <ipxact:value>individual_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_pma_dw_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_pma_dw_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_pma_dw_tx</ipxact:displayName> + <ipxact:value>pma_32b_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_pld_fifo_mode_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_pld_fifo_mode_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_pld_fifo_mode_tx</ipxact:displayName> + <ipxact:value>fifo_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_shared_fifo_width_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_shared_fifo_width_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_shared_fifo_width_tx</ipxact:displayName> + <ipxact:value>single_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_low_latency_en_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_low_latency_en_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_low_latency_en_tx</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_func_mode" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_func_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_func_mode</ipxact:displayName> + <ipxact:value>enable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_sup_mode" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_sup_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_channel_operation_mode" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_channel_operation_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_channel_operation_mode</ipxact:displayName> + <ipxact:value>tx_rx_pair_enabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_lpbk_en" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_lpbk_en</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_lpbk_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_frequency_rules_en" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_frequency_rules_en</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_frequency_rules_en</ipxact:displayName> + <ipxact:value>enable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_speed_grade" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_speed_grade</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_speed_grade</ipxact:displayName> + <ipxact:value>e3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_pma_tx_clk_hz" type="int"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_pma_tx_clk_hz</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_pma_tx_clk_hz</ipxact:displayName> + <ipxact:value>322265625</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_pld_tx_clk_hz" type="int"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_pld_tx_clk_hz</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_pld_tx_clk_hz</ipxact:displayName> + <ipxact:value>156250000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_pld_uhsif_tx_clk_hz" type="int"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_pld_uhsif_tx_clk_hz</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_pld_uhsif_tx_clk_hz</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_hclk_clk_hz" type="int"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_hclk_clk_hz</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_hclk_clk_hz</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_pld_pcs_refclk_dig_nonatpg_mode_clk_hz" type="int"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_pld_pcs_refclk_dig_nonatpg_mode_clk_hz</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_pld_pcs_refclk_dig_nonatpg_mode_clk_hz</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_pld_8g_refclk_dig_nonatpg_mode_clk_hz" type="int"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_pld_8g_refclk_dig_nonatpg_mode_clk_hz</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_pld_8g_refclk_dig_nonatpg_mode_clk_hz</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_pcs_tx_ac_pwr_uw_per_mhz" type="int"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_pcs_tx_ac_pwr_uw_per_mhz</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_pcs_tx_ac_pwr_uw_per_mhz</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_pcs_tx_pwr_scaling_clk" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_pcs_tx_pwr_scaling_clk</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_pcs_tx_pwr_scaling_clk</ipxact:displayName> + <ipxact:value>pma_tx_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_fifo_sup_mode" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_fifo_sup_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_fifo_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_fifo_channel_operation_mode" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_fifo_channel_operation_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_fifo_channel_operation_mode</ipxact:displayName> + <ipxact:value>tx_rx_pair_enabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_fifo_prot_mode_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_fifo_prot_mode_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_fifo_prot_mode_tx</ipxact:displayName> + <ipxact:value>teng_mode_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_fifo_shared_fifo_width_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_fifo_shared_fifo_width_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_fifo_shared_fifo_width_tx</ipxact:displayName> + <ipxact:value>single_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_10g_sup_mode" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_10g_sup_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_10g_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_10g_channel_operation_mode" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_10g_channel_operation_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_10g_channel_operation_mode</ipxact:displayName> + <ipxact:value>tx_rx_pair_enabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_10g_lpbk_en" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_10g_lpbk_en</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_10g_lpbk_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_10g_advanced_user_mode_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_10g_advanced_user_mode_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_10g_advanced_user_mode_tx</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_10g_pma_dw_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_10g_pma_dw_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_10g_pma_dw_tx</ipxact:displayName> + <ipxact:value>pma_32b_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_10g_fifo_mode_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_10g_fifo_mode_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_10g_fifo_mode_tx</ipxact:displayName> + <ipxact:value>fifo_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_10g_prot_mode_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_10g_prot_mode_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_10g_prot_mode_tx</ipxact:displayName> + <ipxact:value>teng_baser_mode_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_10g_ctrl_plane_bonding_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_10g_ctrl_plane_bonding_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_10g_ctrl_plane_bonding_tx</ipxact:displayName> + <ipxact:value>individual_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_10g_low_latency_en_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_10g_low_latency_en_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_10g_low_latency_en_tx</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_10g_shared_fifo_width_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_10g_shared_fifo_width_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_10g_shared_fifo_width_tx</ipxact:displayName> + <ipxact:value>single_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_8g_sup_mode" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_8g_sup_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_8g_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_8g_channel_operation_mode" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_8g_channel_operation_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_8g_channel_operation_mode</ipxact:displayName> + <ipxact:value>tx_rx_pair_enabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_8g_lpbk_en" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_8g_lpbk_en</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_8g_lpbk_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_8g_prot_mode_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_8g_prot_mode_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_8g_prot_mode_tx</ipxact:displayName> + <ipxact:value>disabled_prot_mode_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_8g_hip_mode" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_8g_hip_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_8g_hip_mode</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_8g_ctrl_plane_bonding_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_8g_ctrl_plane_bonding_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_8g_ctrl_plane_bonding_tx</ipxact:displayName> + <ipxact:value>ctrl_master_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_8g_pma_dw_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_8g_pma_dw_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_8g_pma_dw_tx</ipxact:displayName> + <ipxact:value>pma_10b_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_8g_fifo_mode_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_8g_fifo_mode_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_8g_fifo_mode_tx</ipxact:displayName> + <ipxact:value>fifo_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_g3_sup_mode" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_g3_sup_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_g3_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_g3_prot_mode" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_g3_prot_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_g3_prot_mode</ipxact:displayName> + <ipxact:value>disabled_prot_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_krfec_sup_mode" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_krfec_sup_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_krfec_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_krfec_channel_operation_mode" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_krfec_channel_operation_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_krfec_channel_operation_mode</ipxact:displayName> + <ipxact:value>tx_rx_pair_enabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_krfec_lpbk_en" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_krfec_lpbk_en</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_krfec_lpbk_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_krfec_prot_mode_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_krfec_prot_mode_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_krfec_prot_mode_tx</ipxact:displayName> + <ipxact:value>disabled_prot_mode_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_krfec_low_latency_en_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_krfec_low_latency_en_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_krfec_low_latency_en_tx</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_pmaif_sup_mode" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_pmaif_sup_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_pmaif_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_pmaif_lpbk_en" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_pmaif_lpbk_en</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_pmaif_lpbk_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_pmaif_channel_operation_mode" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_pmaif_channel_operation_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_pmaif_channel_operation_mode</ipxact:displayName> + <ipxact:value>tx_rx_pair_enabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_pmaif_sim_mode" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_pmaif_sim_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_pmaif_sim_mode</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_pmaif_prot_mode_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_pmaif_prot_mode_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_pmaif_prot_mode_tx</ipxact:displayName> + <ipxact:value>teng_krfec_mode_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_pmaif_ctrl_plane_bonding" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_pmaif_ctrl_plane_bonding</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_pmaif_ctrl_plane_bonding</ipxact:displayName> + <ipxact:value>individual</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_pmaif_pma_dw_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_pmaif_pma_dw_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_pmaif_pma_dw_tx</ipxact:displayName> + <ipxact:value>pma_32b_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_pldif_prot_mode_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_pldif_prot_mode_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_pldif_prot_mode_tx</ipxact:displayName> + <ipxact:value>teng_pld_fifo_mode_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_pldif_hrdrstctl_en" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_pldif_hrdrstctl_en</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_pldif_hrdrstctl_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_pldif_sup_mode" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_pldif_sup_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_pldif_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_pcs_tx_clk_source" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_pcs_tx_clk_source</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_pcs_tx_clk_source</ipxact:displayName> + <ipxact:value>teng</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_pcs_tx_data_source" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_pcs_tx_data_source</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_pcs_tx_data_source</ipxact:displayName> + <ipxact:value>hip_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_pcs_tx_delay1_clk_en" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_pcs_tx_delay1_clk_en</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_pcs_tx_delay1_clk_en</ipxact:displayName> + <ipxact:value>delay1_clk_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_pcs_tx_delay1_clk_sel" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_pcs_tx_delay1_clk_sel</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_pcs_tx_delay1_clk_sel</ipxact:displayName> + <ipxact:value>pcs_tx_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_pcs_tx_delay1_ctrl" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_pcs_tx_delay1_ctrl</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_pcs_tx_delay1_ctrl</ipxact:displayName> + <ipxact:value>delay1_path0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_pcs_tx_delay1_data_sel" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_pcs_tx_delay1_data_sel</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_pcs_tx_delay1_data_sel</ipxact:displayName> + <ipxact:value>one_ff_delay</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_pcs_tx_delay2_clk_en" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_pcs_tx_delay2_clk_en</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_pcs_tx_delay2_clk_en</ipxact:displayName> + <ipxact:value>delay2_clk_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_pcs_tx_delay2_ctrl" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_pcs_tx_delay2_ctrl</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_pcs_tx_delay2_ctrl</ipxact:displayName> + <ipxact:value>delay2_path0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_pcs_tx_output_sel" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_pcs_tx_output_sel</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_pcs_tx_output_sel</ipxact:displayName> + <ipxact:value>teng_output</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_silicon_rev" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_pcs_tx_clk_out_sel" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_pcs_tx_clk_out_sel</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_pcs_tx_clk_out_sel</ipxact:displayName> + <ipxact:value>teng_clk_out</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_reconfig_settings" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_reconfig_settings</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_reconfig_settings</ipxact:displayName> + <ipxact:value>{}</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_hip_en" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_hip_en</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_hip_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_transparent_pcs_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_transparent_pcs_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_transparent_pcs_rx</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_hrdrstctl_en" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_hrdrstctl_en</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_hrdrstctl_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_prot_mode_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_prot_mode_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_prot_mode_rx</ipxact:displayName> + <ipxact:value>teng_baser_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_ctrl_plane_bonding_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_ctrl_plane_bonding_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_ctrl_plane_bonding_rx</ipxact:displayName> + <ipxact:value>individual_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_pma_dw_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_pma_dw_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_pma_dw_rx</ipxact:displayName> + <ipxact:value>pma_32b_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_pld_fifo_mode_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_pld_fifo_mode_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_pld_fifo_mode_rx</ipxact:displayName> + <ipxact:value>fifo_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_shared_fifo_width_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_shared_fifo_width_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_shared_fifo_width_rx</ipxact:displayName> + <ipxact:value>single_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_low_latency_en_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_low_latency_en_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_low_latency_en_rx</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_func_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_func_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_func_mode</ipxact:displayName> + <ipxact:value>enable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_sup_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_sup_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_channel_operation_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_channel_operation_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_channel_operation_mode</ipxact:displayName> + <ipxact:value>tx_rx_pair_enabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_lpbk_en" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_lpbk_en</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_lpbk_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_10g_advanced_user_mode_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_10g_advanced_user_mode_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_10g_advanced_user_mode_rx</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_frequency_rules_en" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_frequency_rules_en</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_frequency_rules_en</ipxact:displayName> + <ipxact:value>enable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_speed_grade" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_speed_grade</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_speed_grade</ipxact:displayName> + <ipxact:value>e3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_pma_rx_clk_hz" type="int"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_pma_rx_clk_hz</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_pma_rx_clk_hz</ipxact:displayName> + <ipxact:value>322265625</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_pld_rx_clk_hz" type="int"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_pld_rx_clk_hz</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_pld_rx_clk_hz</ipxact:displayName> + <ipxact:value>156250000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_fref_clk_hz" type="int"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_fref_clk_hz</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_fref_clk_hz</ipxact:displayName> + <ipxact:value>322265625</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_clklow_clk_hz" type="int"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_clklow_clk_hz</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_clklow_clk_hz</ipxact:displayName> + <ipxact:value>322265625</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_hclk_clk_hz" type="int"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_hclk_clk_hz</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_hclk_clk_hz</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_pld_pcs_refclk_dig_nonatpg_mode_clk_hz" type="int"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_pld_pcs_refclk_dig_nonatpg_mode_clk_hz</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_pld_pcs_refclk_dig_nonatpg_mode_clk_hz</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_pld_8g_refclk_dig_nonatpg_mode_clk_hz" type="int"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_pld_8g_refclk_dig_nonatpg_mode_clk_hz</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_pld_8g_refclk_dig_nonatpg_mode_clk_hz</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_pcs_rx_ac_pwr_uw_per_mhz" type="int"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_pcs_rx_ac_pwr_uw_per_mhz</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_pcs_rx_ac_pwr_uw_per_mhz</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_operating_voltage" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_operating_voltage</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_operating_voltage</ipxact:displayName> + <ipxact:value>standard</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_pcs_ac_pwr_rules_en" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_pcs_ac_pwr_rules_en</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_pcs_ac_pwr_rules_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_pcs_rx_pwr_scaling_clk" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_pcs_rx_pwr_scaling_clk</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_pcs_rx_pwr_scaling_clk</ipxact:displayName> + <ipxact:value>pma_rx_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_pcs_pair_ac_pwr_uw_per_mhz" type="int"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_pcs_pair_ac_pwr_uw_per_mhz</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_pcs_pair_ac_pwr_uw_per_mhz</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_fifo_sup_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_fifo_sup_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_fifo_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_fifo_channel_operation_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_fifo_channel_operation_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_fifo_channel_operation_mode</ipxact:displayName> + <ipxact:value>tx_rx_pair_enabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_fifo_prot_mode_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_fifo_prot_mode_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_fifo_prot_mode_rx</ipxact:displayName> + <ipxact:value>teng_mode_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_fifo_shared_fifo_width_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_fifo_shared_fifo_width_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_fifo_shared_fifo_width_rx</ipxact:displayName> + <ipxact:value>single_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_10g_sup_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_10g_sup_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_10g_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_10g_channel_operation_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_10g_channel_operation_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_10g_channel_operation_mode</ipxact:displayName> + <ipxact:value>tx_rx_pair_enabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_10g_lpbk_en" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_10g_lpbk_en</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_10g_lpbk_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_10g_pma_dw_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_10g_pma_dw_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_10g_pma_dw_rx</ipxact:displayName> + <ipxact:value>pma_32b_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_10g_fifo_mode_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_10g_fifo_mode_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_10g_fifo_mode_rx</ipxact:displayName> + <ipxact:value>fifo_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_10g_prot_mode_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_10g_prot_mode_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_10g_prot_mode_rx</ipxact:displayName> + <ipxact:value>teng_baser_mode_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_10g_ctrl_plane_bonding_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_10g_ctrl_plane_bonding_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_10g_ctrl_plane_bonding_rx</ipxact:displayName> + <ipxact:value>individual_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_10g_low_latency_en_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_10g_low_latency_en_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_10g_low_latency_en_rx</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_10g_shared_fifo_width_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_10g_shared_fifo_width_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_10g_shared_fifo_width_rx</ipxact:displayName> + <ipxact:value>single_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_10g_test_bus_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_10g_test_bus_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_10g_test_bus_mode</ipxact:displayName> + <ipxact:value>rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_8g_sup_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_8g_sup_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_8g_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_8g_channel_operation_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_8g_channel_operation_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_8g_channel_operation_mode</ipxact:displayName> + <ipxact:value>tx_rx_pair_enabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_8g_lpbk_en" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_8g_lpbk_en</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_8g_lpbk_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_8g_prot_mode_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_8g_prot_mode_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_8g_prot_mode_rx</ipxact:displayName> + <ipxact:value>disabled_prot_mode_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_8g_hip_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_8g_hip_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_8g_hip_mode</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_8g_ctrl_plane_bonding_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_8g_ctrl_plane_bonding_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_8g_ctrl_plane_bonding_rx</ipxact:displayName> + <ipxact:value>individual_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_8g_pma_dw_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_8g_pma_dw_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_8g_pma_dw_rx</ipxact:displayName> + <ipxact:value>pma_10b_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_8g_fifo_mode_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_8g_fifo_mode_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_8g_fifo_mode_rx</ipxact:displayName> + <ipxact:value>fifo_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_g3_sup_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_g3_sup_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_g3_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_g3_prot_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_g3_prot_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_g3_prot_mode</ipxact:displayName> + <ipxact:value>disabled_prot_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_krfec_sup_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_krfec_sup_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_krfec_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_krfec_channel_operation_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_krfec_channel_operation_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_krfec_channel_operation_mode</ipxact:displayName> + <ipxact:value>tx_rx_pair_enabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_krfec_lpbk_en" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_krfec_lpbk_en</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_krfec_lpbk_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_krfec_prot_mode_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_krfec_prot_mode_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_krfec_prot_mode_rx</ipxact:displayName> + <ipxact:value>disabled_prot_mode_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_krfec_low_latency_en_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_krfec_low_latency_en_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_krfec_low_latency_en_rx</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_krfec_test_bus_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_krfec_test_bus_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_krfec_test_bus_mode</ipxact:displayName> + <ipxact:value>tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_pmaif_sup_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_pmaif_sup_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_pmaif_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_pmaif_lpbk_en" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_pmaif_lpbk_en</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_pmaif_lpbk_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_pmaif_channel_operation_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_pmaif_channel_operation_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_pmaif_channel_operation_mode</ipxact:displayName> + <ipxact:value>tx_rx_pair_enabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_pmaif_sim_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_pmaif_sim_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_pmaif_sim_mode</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_pmaif_prot_mode_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_pmaif_prot_mode_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_pmaif_prot_mode_rx</ipxact:displayName> + <ipxact:value>teng_krfec_mode_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_pmaif_pma_dw_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_pmaif_pma_dw_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_pmaif_pma_dw_rx</ipxact:displayName> + <ipxact:value>pma_32b_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_pldif_prot_mode_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_pldif_prot_mode_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_pldif_prot_mode_rx</ipxact:displayName> + <ipxact:value>teng_pld_fifo_mode_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_pldif_hrdrstctl_en" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_pldif_hrdrstctl_en</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_pldif_hrdrstctl_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_pldif_sup_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_pldif_sup_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_pldif_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_pcs_rx_block_sel" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_pcs_rx_block_sel</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_pcs_rx_block_sel</ipxact:displayName> + <ipxact:value>teng</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_pcs_rx_clk_sel" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_pcs_rx_clk_sel</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_pcs_rx_clk_sel</ipxact:displayName> + <ipxact:value>pld_rx_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_pcs_rx_hip_clk_en" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_pcs_rx_hip_clk_en</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_pcs_rx_hip_clk_en</ipxact:displayName> + <ipxact:value>hip_rx_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_pcs_rx_output_sel" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_pcs_rx_output_sel</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_pcs_rx_output_sel</ipxact:displayName> + <ipxact:value>teng_output</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_silicon_rev" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_pcs_rx_clk_out_sel" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_pcs_rx_clk_out_sel</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_pcs_rx_clk_out_sel</ipxact:displayName> + <ipxact:value>teng_clk_out</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_reconfig_settings" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_reconfig_settings</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_reconfig_settings</ipxact:displayName> + <ipxact:value>{}</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pld_pcs_interface_dft_clk_out_en" type="string"> + <ipxact:name>hssi_common_pld_pcs_interface_dft_clk_out_en</ipxact:name> + <ipxact:displayName>hssi_common_pld_pcs_interface_dft_clk_out_en</ipxact:displayName> + <ipxact:value>dft_clk_out_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pld_pcs_interface_dft_clk_out_sel" type="string"> + <ipxact:name>hssi_common_pld_pcs_interface_dft_clk_out_sel</ipxact:name> + <ipxact:displayName>hssi_common_pld_pcs_interface_dft_clk_out_sel</ipxact:displayName> + <ipxact:value>teng_rx_dft_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pld_pcs_interface_hrdrstctrl_en" type="string"> + <ipxact:name>hssi_common_pld_pcs_interface_hrdrstctrl_en</ipxact:name> + <ipxact:displayName>hssi_common_pld_pcs_interface_hrdrstctrl_en</ipxact:displayName> + <ipxact:value>hrst_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pld_pcs_interface_pcs_testbus_block_sel" type="string"> + <ipxact:name>hssi_common_pld_pcs_interface_pcs_testbus_block_sel</ipxact:name> + <ipxact:displayName>hssi_common_pld_pcs_interface_pcs_testbus_block_sel</ipxact:displayName> + <ipxact:value>pma_if</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pld_pcs_interface_silicon_rev" type="string"> + <ipxact:name>hssi_common_pld_pcs_interface_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_common_pld_pcs_interface_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pld_pcs_interface_reconfig_settings" type="string"> + <ipxact:name>hssi_common_pld_pcs_interface_reconfig_settings</ipxact:name> + <ipxact:displayName>hssi_common_pld_pcs_interface_reconfig_settings</ipxact:displayName> + <ipxact:value>{}</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_block_sel" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_block_sel</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_block_sel</ipxact:displayName> + <ipxact:value>ten_g_pcs</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_channel_operation_mode" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_channel_operation_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_channel_operation_mode</ipxact:displayName> + <ipxact:value>tx_rx_pair_enabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_clkslip_sel" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_clkslip_sel</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_clkslip_sel</ipxact:displayName> + <ipxact:value>pld</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_lpbk_en" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_lpbk_en</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_lpbk_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_master_clk_sel" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_master_clk_sel</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_master_clk_sel</ipxact:displayName> + <ipxact:value>master_rx_pma_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_pldif_datawidth_mode" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_pldif_datawidth_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_pldif_datawidth_mode</ipxact:displayName> + <ipxact:value>pldif_data_10bit</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_pma_dw_rx" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_pma_dw_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_pma_dw_rx</ipxact:displayName> + <ipxact:value>pma_32b_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_pma_if_dft_en" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_pma_if_dft_en</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_pma_if_dft_en</ipxact:displayName> + <ipxact:value>dft_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_pma_if_dft_val" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_pma_if_dft_val</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_pma_if_dft_val</ipxact:displayName> + <ipxact:value>dft_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_prbs_clken" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_prbs_clken</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_prbs_clken</ipxact:displayName> + <ipxact:value>prbs_clk_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_prbs_ver" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_prbs_ver</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_prbs_ver</ipxact:displayName> + <ipxact:value>prbs_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_prbs9_dwidth" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_prbs9_dwidth</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_prbs9_dwidth</ipxact:displayName> + <ipxact:value>prbs9_64b</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_prot_mode_rx" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_prot_mode_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_prot_mode_rx</ipxact:displayName> + <ipxact:value>teng_krfec_mode_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_rx_dyn_polarity_inversion" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_rx_dyn_polarity_inversion</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_rx_dyn_polarity_inversion</ipxact:displayName> + <ipxact:value>rx_dyn_polinv_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_rx_lpbk_en" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_rx_lpbk_en</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_rx_lpbk_en</ipxact:displayName> + <ipxact:value>lpbk_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_rx_prbs_force_signal_ok" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_rx_prbs_force_signal_ok</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_rx_prbs_force_signal_ok</ipxact:displayName> + <ipxact:value>force_sig_ok</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_rx_prbs_mask" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_rx_prbs_mask</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_rx_prbs_mask</ipxact:displayName> + <ipxact:value>prbsmask128</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_rx_prbs_mode" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_rx_prbs_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_rx_prbs_mode</ipxact:displayName> + <ipxact:value>teng_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_rx_signalok_signaldet_sel" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_rx_signalok_signaldet_sel</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_rx_signalok_signaldet_sel</ipxact:displayName> + <ipxact:value>sel_sig_det</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_rx_static_polarity_inversion" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_rx_static_polarity_inversion</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_rx_static_polarity_inversion</ipxact:displayName> + <ipxact:value>rx_stat_polinv_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_rx_uhsif_lpbk_en" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_rx_uhsif_lpbk_en</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_rx_uhsif_lpbk_en</ipxact:displayName> + <ipxact:value>uhsif_lpbk_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_sup_mode" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_sup_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_silicon_rev" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_reconfig_settings" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_reconfig_settings</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_reconfig_settings</ipxact:displayName> + <ipxact:value>{}</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_bypass_pma_txelecidle" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_bypass_pma_txelecidle</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_bypass_pma_txelecidle</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_channel_operation_mode" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_channel_operation_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_channel_operation_mode</ipxact:displayName> + <ipxact:value>tx_rx_pair_enabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_lpbk_en" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_lpbk_en</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_lpbk_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_master_clk_sel" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_master_clk_sel</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_master_clk_sel</ipxact:displayName> + <ipxact:value>master_tx_pma_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_pcie_sub_prot_mode_tx" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_pcie_sub_prot_mode_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_pcie_sub_prot_mode_tx</ipxact:displayName> + <ipxact:value>other_prot_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_pldif_datawidth_mode" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_pldif_datawidth_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_pldif_datawidth_mode</ipxact:displayName> + <ipxact:value>pldif_data_10bit</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_pma_dw_tx" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_pma_dw_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_pma_dw_tx</ipxact:displayName> + <ipxact:value>pma_32b_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_pma_if_dft_en" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_pma_if_dft_en</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_pma_if_dft_en</ipxact:displayName> + <ipxact:value>dft_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_pmagate_en" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_pmagate_en</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_pmagate_en</ipxact:displayName> + <ipxact:value>pmagate_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_prbs_clken" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_prbs_clken</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_prbs_clken</ipxact:displayName> + <ipxact:value>prbs_clk_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_prbs_gen_pat" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_prbs_gen_pat</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_prbs_gen_pat</ipxact:displayName> + <ipxact:value>prbs_gen_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_prbs9_dwidth" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_prbs9_dwidth</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_prbs9_dwidth</ipxact:displayName> + <ipxact:value>prbs9_64b</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_prot_mode_tx" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_prot_mode_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_prot_mode_tx</ipxact:displayName> + <ipxact:value>teng_krfec_mode_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_sq_wave_num" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_sq_wave_num</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_sq_wave_num</ipxact:displayName> + <ipxact:value>sq_wave_default</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_sqwgen_clken" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_sqwgen_clken</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_sqwgen_clken</ipxact:displayName> + <ipxact:value>sqwgen_clk_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_sup_mode" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_sup_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_tx_dyn_polarity_inversion" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_tx_dyn_polarity_inversion</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_tx_dyn_polarity_inversion</ipxact:displayName> + <ipxact:value>tx_dyn_polinv_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_tx_pma_data_sel" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_tx_pma_data_sel</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_tx_pma_data_sel</ipxact:displayName> + <ipxact:value>ten_g_pcs</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_tx_static_polarity_inversion" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_tx_static_polarity_inversion</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_tx_static_polarity_inversion</ipxact:displayName> + <ipxact:value>tx_stat_polinv_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_cnt_step_filt_before_lock" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_cnt_step_filt_before_lock</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_cnt_step_filt_before_lock</ipxact:displayName> + <ipxact:value>uhsif_filt_stepsz_b4lock_2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_cnt_thresh_filt_after_lock_value" type="int"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_cnt_thresh_filt_after_lock_value</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_cnt_thresh_filt_after_lock_value</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_cnt_thresh_filt_before_lock" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_cnt_thresh_filt_before_lock</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_cnt_thresh_filt_before_lock</ipxact:displayName> + <ipxact:value>uhsif_filt_cntthr_b4lock_8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_dcn_test_update_period" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_dcn_test_update_period</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_dcn_test_update_period</ipxact:displayName> + <ipxact:value>uhsif_dcn_test_period_4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_dcn_testmode_enable" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_dcn_testmode_enable</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_dcn_testmode_enable</ipxact:displayName> + <ipxact:value>uhsif_dcn_test_mode_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_dead_zone_count_thresh" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_dead_zone_count_thresh</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_dead_zone_count_thresh</ipxact:displayName> + <ipxact:value>uhsif_dzt_cnt_thr_2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_dead_zone_detection_enable" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_dead_zone_detection_enable</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_dead_zone_detection_enable</ipxact:displayName> + <ipxact:value>uhsif_dzt_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_dead_zone_obser_window" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_dead_zone_obser_window</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_dead_zone_obser_window</ipxact:displayName> + <ipxact:value>uhsif_dzt_obr_win_16</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_dead_zone_skip_size" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_dead_zone_skip_size</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_dead_zone_skip_size</ipxact:displayName> + <ipxact:value>uhsif_dzt_skipsz_4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_delay_cell_index_sel" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_delay_cell_index_sel</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_delay_cell_index_sel</ipxact:displayName> + <ipxact:value>uhsif_index_cram</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_delay_cell_margin" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_delay_cell_margin</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_delay_cell_margin</ipxact:displayName> + <ipxact:value>uhsif_dcn_margin_2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_delay_cell_static_index_value" type="int"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_delay_cell_static_index_value</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_delay_cell_static_index_value</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_dft_dead_zone_control" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_dft_dead_zone_control</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_dft_dead_zone_control</ipxact:displayName> + <ipxact:value>uhsif_dft_dz_det_val_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_dft_up_filt_control" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_dft_up_filt_control</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_dft_up_filt_control</ipxact:displayName> + <ipxact:value>uhsif_dft_up_val_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_enable" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_enable</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_enable</ipxact:displayName> + <ipxact:value>uhsif_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_lock_det_segsz_after_lock" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_lock_det_segsz_after_lock</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_lock_det_segsz_after_lock</ipxact:displayName> + <ipxact:value>uhsif_lkd_segsz_aflock_512</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_lock_det_segsz_before_lock" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_lock_det_segsz_before_lock</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_lock_det_segsz_before_lock</ipxact:displayName> + <ipxact:value>uhsif_lkd_segsz_b4lock_16</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_lock_det_thresh_cnt_after_lock_value" type="int"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_lock_det_thresh_cnt_after_lock_value</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_lock_det_thresh_cnt_after_lock_value</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_lock_det_thresh_cnt_before_lock_value" type="int"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_lock_det_thresh_cnt_before_lock_value</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_lock_det_thresh_cnt_before_lock_value</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_lock_det_thresh_diff_after_lock_value" type="int"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_lock_det_thresh_diff_after_lock_value</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_lock_det_thresh_diff_after_lock_value</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_lock_det_thresh_diff_before_lock_value" type="int"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_lock_det_thresh_diff_before_lock_value</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_lock_det_thresh_diff_before_lock_value</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_silicon_rev" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_reconfig_settings" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_reconfig_settings</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_reconfig_settings</ipxact:displayName> + <ipxact:value>{}</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_asn_clk_enable" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_asn_clk_enable</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_asn_clk_enable</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_asn_enable" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_asn_enable</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_asn_enable</ipxact:displayName> + <ipxact:value>dis_asn</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_block_sel" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_block_sel</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_block_sel</ipxact:displayName> + <ipxact:value>eight_g_pcs</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_bypass_early_eios" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_bypass_early_eios</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_bypass_early_eios</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_bypass_pcie_switch" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_bypass_pcie_switch</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_bypass_pcie_switch</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_bypass_pma_ltr" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_bypass_pma_ltr</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_bypass_pma_ltr</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_bypass_pma_sw_done" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_bypass_pma_sw_done</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_bypass_pma_sw_done</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_bypass_ppm_lock" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_bypass_ppm_lock</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_bypass_ppm_lock</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_bypass_send_syncp_fbkp" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_bypass_send_syncp_fbkp</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_bypass_send_syncp_fbkp</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_bypass_txdetectrx" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_bypass_txdetectrx</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_bypass_txdetectrx</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_cdr_control" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_cdr_control</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_cdr_control</ipxact:displayName> + <ipxact:value>dis_cdr_ctrl</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_cid_enable" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_cid_enable</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_cid_enable</ipxact:displayName> + <ipxact:value>dis_cid_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_cp_cons_sel" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_cp_cons_sel</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_cp_cons_sel</ipxact:displayName> + <ipxact:value>cp_cons_master</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_cp_dwn_mstr" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_cp_dwn_mstr</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_cp_dwn_mstr</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_cp_up_mstr" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_cp_up_mstr</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_cp_up_mstr</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_ctrl_plane_bonding" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_ctrl_plane_bonding</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_ctrl_plane_bonding</ipxact:displayName> + <ipxact:value>individual</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_data_mask_count" type="int"> + <ipxact:name>hssi_common_pcs_pma_interface_data_mask_count</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_data_mask_count</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_data_mask_count_multi" type="int"> + <ipxact:name>hssi_common_pcs_pma_interface_data_mask_count_multi</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_data_mask_count_multi</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_dft_observation_clock_selection" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_dft_observation_clock_selection</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_dft_observation_clock_selection</ipxact:displayName> + <ipxact:value>dft_clk_obsrv_tx0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_early_eios_counter" type="int"> + <ipxact:name>hssi_common_pcs_pma_interface_early_eios_counter</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_early_eios_counter</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_force_freqdet" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_force_freqdet</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_force_freqdet</ipxact:displayName> + <ipxact:value>force_freqdet_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_free_run_clk_enable" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_free_run_clk_enable</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_free_run_clk_enable</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_ignore_sigdet_g23" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_ignore_sigdet_g23</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_ignore_sigdet_g23</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_pc_en_counter" type="int"> + <ipxact:name>hssi_common_pcs_pma_interface_pc_en_counter</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_pc_en_counter</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_pc_rst_counter" type="int"> + <ipxact:name>hssi_common_pcs_pma_interface_pc_rst_counter</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_pc_rst_counter</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_pcie_hip_mode" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_pcie_hip_mode</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_pcie_hip_mode</ipxact:displayName> + <ipxact:value>hip_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_ph_fifo_reg_mode" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_ph_fifo_reg_mode</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_ph_fifo_reg_mode</ipxact:displayName> + <ipxact:value>phfifo_reg_mode_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_phfifo_flush_wait" type="int"> + <ipxact:name>hssi_common_pcs_pma_interface_phfifo_flush_wait</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_phfifo_flush_wait</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_pipe_if_g3pcs" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_pipe_if_g3pcs</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_pipe_if_g3pcs</ipxact:displayName> + <ipxact:value>pipe_if_8gpcs</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_pma_done_counter" type="int"> + <ipxact:name>hssi_common_pcs_pma_interface_pma_done_counter</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_pma_done_counter</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_pma_if_dft_en" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_pma_if_dft_en</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_pma_if_dft_en</ipxact:displayName> + <ipxact:value>dft_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_pma_if_dft_val" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_pma_if_dft_val</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_pma_if_dft_val</ipxact:displayName> + <ipxact:value>dft_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_ppm_cnt_rst" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_ppm_cnt_rst</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_ppm_cnt_rst</ipxact:displayName> + <ipxact:value>ppm_cnt_rst_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_ppm_deassert_early" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_ppm_deassert_early</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_ppm_deassert_early</ipxact:displayName> + <ipxact:value>deassert_early_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_ppm_gen1_2_cnt" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_ppm_gen1_2_cnt</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_ppm_gen1_2_cnt</ipxact:displayName> + <ipxact:value>cnt_32k</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_ppm_post_eidle_delay" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_ppm_post_eidle_delay</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_ppm_post_eidle_delay</ipxact:displayName> + <ipxact:value>cnt_200_cycles</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_ppmsel" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_ppmsel</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_ppmsel</ipxact:displayName> + <ipxact:value>ppmsel_1000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_prot_mode" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_prot_mode</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_prot_mode</ipxact:displayName> + <ipxact:value>other_protocols</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_rxvalid_mask" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_rxvalid_mask</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_rxvalid_mask</ipxact:displayName> + <ipxact:value>rxvalid_mask_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_sigdet_wait_counter" type="int"> + <ipxact:name>hssi_common_pcs_pma_interface_sigdet_wait_counter</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_sigdet_wait_counter</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_sigdet_wait_counter_multi" type="int"> + <ipxact:name>hssi_common_pcs_pma_interface_sigdet_wait_counter_multi</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_sigdet_wait_counter_multi</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_sim_mode" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_sim_mode</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_sim_mode</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_spd_chg_rst_wait_cnt_en" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_spd_chg_rst_wait_cnt_en</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_spd_chg_rst_wait_cnt_en</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_sup_mode" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_sup_mode</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_testout_sel" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_testout_sel</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_testout_sel</ipxact:displayName> + <ipxact:value>asn_test</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_wait_clk_on_off_timer" type="int"> + <ipxact:name>hssi_common_pcs_pma_interface_wait_clk_on_off_timer</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_wait_clk_on_off_timer</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_wait_pipe_synchronizing" type="int"> + <ipxact:name>hssi_common_pcs_pma_interface_wait_pipe_synchronizing</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_wait_pipe_synchronizing</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_wait_send_syncp_fbkp" type="int"> + <ipxact:name>hssi_common_pcs_pma_interface_wait_send_syncp_fbkp</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_wait_send_syncp_fbkp</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_silicon_rev" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_ppm_det_buckets" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_ppm_det_buckets</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_ppm_det_buckets</ipxact:displayName> + <ipxact:value>ppm_100_bucket</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_reconfig_settings" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_reconfig_settings</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_reconfig_settings</ipxact:displayName> + <ipxact:value>{}</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_fifo_rx_pcs_double_read_mode" type="string"> + <ipxact:name>hssi_fifo_rx_pcs_double_read_mode</ipxact:name> + <ipxact:displayName>hssi_fifo_rx_pcs_double_read_mode</ipxact:displayName> + <ipxact:value>double_read_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_fifo_rx_pcs_prot_mode" type="string"> + <ipxact:name>hssi_fifo_rx_pcs_prot_mode</ipxact:name> + <ipxact:displayName>hssi_fifo_rx_pcs_prot_mode</ipxact:displayName> + <ipxact:value>teng_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_fifo_rx_pcs_silicon_rev" type="string"> + <ipxact:name>hssi_fifo_rx_pcs_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_fifo_rx_pcs_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_fifo_tx_pcs_double_write_mode" type="string"> + <ipxact:name>hssi_fifo_tx_pcs_double_write_mode</ipxact:name> + <ipxact:displayName>hssi_fifo_tx_pcs_double_write_mode</ipxact:displayName> + <ipxact:value>double_write_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_fifo_tx_pcs_prot_mode" type="string"> + <ipxact:name>hssi_fifo_tx_pcs_prot_mode</ipxact:name> + <ipxact:displayName>hssi_fifo_tx_pcs_prot_mode</ipxact:displayName> + <ipxact:value>teng_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_fifo_tx_pcs_silicon_rev" type="string"> + <ipxact:name>hssi_fifo_tx_pcs_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_fifo_tx_pcs_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen3_bypass_rx_detection_enable" type="string"> + <ipxact:name>hssi_pipe_gen3_bypass_rx_detection_enable</ipxact:name> + <ipxact:displayName>hssi_pipe_gen3_bypass_rx_detection_enable</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen3_bypass_rx_preset" type="int"> + <ipxact:name>hssi_pipe_gen3_bypass_rx_preset</ipxact:name> + <ipxact:displayName>hssi_pipe_gen3_bypass_rx_preset</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen3_bypass_rx_preset_enable" type="string"> + <ipxact:name>hssi_pipe_gen3_bypass_rx_preset_enable</ipxact:name> + <ipxact:displayName>hssi_pipe_gen3_bypass_rx_preset_enable</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen3_bypass_tx_coefficent" type="int"> + <ipxact:name>hssi_pipe_gen3_bypass_tx_coefficent</ipxact:name> + <ipxact:displayName>hssi_pipe_gen3_bypass_tx_coefficent</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen3_bypass_tx_coefficent_enable" type="string"> + <ipxact:name>hssi_pipe_gen3_bypass_tx_coefficent_enable</ipxact:name> + <ipxact:displayName>hssi_pipe_gen3_bypass_tx_coefficent_enable</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen3_elecidle_delay_g3" type="int"> + <ipxact:name>hssi_pipe_gen3_elecidle_delay_g3</ipxact:name> + <ipxact:displayName>hssi_pipe_gen3_elecidle_delay_g3</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen3_ind_error_reporting" type="string"> + <ipxact:name>hssi_pipe_gen3_ind_error_reporting</ipxact:name> + <ipxact:displayName>hssi_pipe_gen3_ind_error_reporting</ipxact:displayName> + <ipxact:value>dis_ind_error_reporting</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen3_mode" type="string"> + <ipxact:name>hssi_pipe_gen3_mode</ipxact:name> + <ipxact:displayName>hssi_pipe_gen3_mode</ipxact:displayName> + <ipxact:value>disable_pcs</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen3_phy_status_delay_g12" type="int"> + <ipxact:name>hssi_pipe_gen3_phy_status_delay_g12</ipxact:name> + <ipxact:displayName>hssi_pipe_gen3_phy_status_delay_g12</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen3_phy_status_delay_g3" type="int"> + <ipxact:name>hssi_pipe_gen3_phy_status_delay_g3</ipxact:name> + <ipxact:displayName>hssi_pipe_gen3_phy_status_delay_g3</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen3_phystatus_rst_toggle_g12" type="string"> + <ipxact:name>hssi_pipe_gen3_phystatus_rst_toggle_g12</ipxact:name> + <ipxact:displayName>hssi_pipe_gen3_phystatus_rst_toggle_g12</ipxact:displayName> + <ipxact:value>dis_phystatus_rst_toggle</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen3_phystatus_rst_toggle_g3" type="string"> + <ipxact:name>hssi_pipe_gen3_phystatus_rst_toggle_g3</ipxact:name> + <ipxact:displayName>hssi_pipe_gen3_phystatus_rst_toggle_g3</ipxact:displayName> + <ipxact:value>dis_phystatus_rst_toggle_g3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen3_rate_match_pad_insertion" type="string"> + <ipxact:name>hssi_pipe_gen3_rate_match_pad_insertion</ipxact:name> + <ipxact:displayName>hssi_pipe_gen3_rate_match_pad_insertion</ipxact:displayName> + <ipxact:value>dis_rm_fifo_pad_ins</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen3_sup_mode" type="string"> + <ipxact:name>hssi_pipe_gen3_sup_mode</ipxact:name> + <ipxact:displayName>hssi_pipe_gen3_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen3_test_out_sel" type="string"> + <ipxact:name>hssi_pipe_gen3_test_out_sel</ipxact:name> + <ipxact:displayName>hssi_pipe_gen3_test_out_sel</ipxact:displayName> + <ipxact:value>disable_test_out</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen3_silicon_rev" type="string"> + <ipxact:name>hssi_pipe_gen3_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_pipe_gen3_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_elec_idle_delay_val" type="int"> + <ipxact:name>hssi_pipe_gen1_2_elec_idle_delay_val</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_elec_idle_delay_val</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_error_replace_pad" type="string"> + <ipxact:name>hssi_pipe_gen1_2_error_replace_pad</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_error_replace_pad</ipxact:displayName> + <ipxact:value>replace_edb</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_hip_mode" type="string"> + <ipxact:name>hssi_pipe_gen1_2_hip_mode</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_hip_mode</ipxact:displayName> + <ipxact:value>dis_hip</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_ind_error_reporting" type="string"> + <ipxact:name>hssi_pipe_gen1_2_ind_error_reporting</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_ind_error_reporting</ipxact:displayName> + <ipxact:value>dis_ind_error_reporting</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_phystatus_delay_val" type="int"> + <ipxact:name>hssi_pipe_gen1_2_phystatus_delay_val</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_phystatus_delay_val</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_phystatus_rst_toggle" type="string"> + <ipxact:name>hssi_pipe_gen1_2_phystatus_rst_toggle</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_phystatus_rst_toggle</ipxact:displayName> + <ipxact:value>dis_phystatus_rst_toggle</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_pipe_byte_de_serializer_en" type="string"> + <ipxact:name>hssi_pipe_gen1_2_pipe_byte_de_serializer_en</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_pipe_byte_de_serializer_en</ipxact:displayName> + <ipxact:value>dont_care_bds</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_prot_mode" type="string"> + <ipxact:name>hssi_pipe_gen1_2_prot_mode</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_prot_mode</ipxact:displayName> + <ipxact:value>disabled_prot_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_rpre_emph_a_val" type="int"> + <ipxact:name>hssi_pipe_gen1_2_rpre_emph_a_val</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_rpre_emph_a_val</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_rpre_emph_b_val" type="int"> + <ipxact:name>hssi_pipe_gen1_2_rpre_emph_b_val</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_rpre_emph_b_val</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_rpre_emph_c_val" type="int"> + <ipxact:name>hssi_pipe_gen1_2_rpre_emph_c_val</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_rpre_emph_c_val</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_rpre_emph_d_val" type="int"> + <ipxact:name>hssi_pipe_gen1_2_rpre_emph_d_val</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_rpre_emph_d_val</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_rpre_emph_e_val" type="int"> + <ipxact:name>hssi_pipe_gen1_2_rpre_emph_e_val</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_rpre_emph_e_val</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_rvod_sel_a_val" type="int"> + <ipxact:name>hssi_pipe_gen1_2_rvod_sel_a_val</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_rvod_sel_a_val</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_rvod_sel_b_val" type="int"> + <ipxact:name>hssi_pipe_gen1_2_rvod_sel_b_val</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_rvod_sel_b_val</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_rvod_sel_c_val" type="int"> + <ipxact:name>hssi_pipe_gen1_2_rvod_sel_c_val</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_rvod_sel_c_val</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_rvod_sel_d_val" type="int"> + <ipxact:name>hssi_pipe_gen1_2_rvod_sel_d_val</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_rvod_sel_d_val</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_rvod_sel_e_val" type="int"> + <ipxact:name>hssi_pipe_gen1_2_rvod_sel_e_val</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_rvod_sel_e_val</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_rx_pipe_enable" type="string"> + <ipxact:name>hssi_pipe_gen1_2_rx_pipe_enable</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_rx_pipe_enable</ipxact:displayName> + <ipxact:value>dis_pipe_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_rxdetect_bypass" type="string"> + <ipxact:name>hssi_pipe_gen1_2_rxdetect_bypass</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_rxdetect_bypass</ipxact:displayName> + <ipxact:value>dis_rxdetect_bypass</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_sup_mode" type="string"> + <ipxact:name>hssi_pipe_gen1_2_sup_mode</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_tx_pipe_enable" type="string"> + <ipxact:name>hssi_pipe_gen1_2_tx_pipe_enable</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_tx_pipe_enable</ipxact:displayName> + <ipxact:value>dis_pipe_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_txswing" type="string"> + <ipxact:name>hssi_pipe_gen1_2_txswing</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_txswing</ipxact:displayName> + <ipxact:value>dis_txswing</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_silicon_rev" type="string"> + <ipxact:name>hssi_pipe_gen1_2_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_reconfig_settings" type="string"> + <ipxact:name>hssi_pipe_gen1_2_reconfig_settings</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_reconfig_settings</ipxact:displayName> + <ipxact:value>{}</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_silicon_rev" type="string"> + <ipxact:name>pma_adapt_silicon_rev</ipxact:name> + <ipxact:displayName>pma_adapt_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_1s_ctle_bypass" type="string"> + <ipxact:name>pma_adapt_adp_1s_ctle_bypass</ipxact:name> + <ipxact:displayName>pma_adapt_adp_1s_ctle_bypass</ipxact:displayName> + <ipxact:value>radp_1s_ctle_bypass_1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_4s_ctle_bypass" type="string"> + <ipxact:name>pma_adapt_adp_4s_ctle_bypass</ipxact:name> + <ipxact:displayName>pma_adapt_adp_4s_ctle_bypass</ipxact:displayName> + <ipxact:value>radp_4s_ctle_bypass_1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_ctle_acgain_4s" type="string"> + <ipxact:name>pma_adapt_adp_ctle_acgain_4s</ipxact:name> + <ipxact:displayName>pma_adapt_adp_ctle_acgain_4s</ipxact:displayName> + <ipxact:value>radp_ctle_acgain_4s_1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_ctle_en" type="string"> + <ipxact:name>pma_adapt_adp_ctle_en</ipxact:name> + <ipxact:displayName>pma_adapt_adp_ctle_en</ipxact:displayName> + <ipxact:value>radp_ctle_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_ctle_hold_en" type="string"> + <ipxact:name>pma_adapt_adp_ctle_hold_en</ipxact:name> + <ipxact:displayName>pma_adapt_adp_ctle_hold_en</ipxact:displayName> + <ipxact:value>radp_ctle_not_held</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_ctle_scale" type="string"> + <ipxact:name>pma_adapt_adp_ctle_scale</ipxact:name> + <ipxact:displayName>pma_adapt_adp_ctle_scale</ipxact:displayName> + <ipxact:value>radp_ctle_scale_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_bw" type="string"> + <ipxact:name>pma_adapt_adp_dfe_bw</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_bw</ipxact:displayName> + <ipxact:value>radp_dfe_bw_3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_cycle" type="string"> + <ipxact:name>pma_adapt_adp_dfe_cycle</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_cycle</ipxact:displayName> + <ipxact:value>radp_dfe_cycle_6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fltap_bypass" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fltap_bypass</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fltap_bypass</ipxact:displayName> + <ipxact:value>radp_dfe_fltap_bypass_1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fltap_en" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fltap_en</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fltap_en</ipxact:displayName> + <ipxact:value>radp_dfe_fltap_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fltap_hold_en" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fltap_hold_en</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fltap_hold_en</ipxact:displayName> + <ipxact:value>radp_dfe_fltap_not_held</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fltap_load" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fltap_load</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fltap_load</ipxact:displayName> + <ipxact:value>radp_dfe_fltap_load_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fltap_position" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fltap_position</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fltap_position</ipxact:displayName> + <ipxact:value>radp_dfe_fltap_position_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap8" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap8</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap8</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap8_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap8_sgn" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap8_sgn</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap8_sgn</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap8_sgn_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap9" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap9</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap9</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap9_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap9_sgn" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap9_sgn</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap9_sgn</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap9_sgn_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap10" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap10</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap10</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap10_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap10_sgn" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap10_sgn</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap10_sgn</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap10_sgn_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap11" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap11</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap11</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap11_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap11_sgn" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap11_sgn</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap11_sgn</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap11_sgn_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap_bypass" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap_bypass</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap_bypass</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap_bypass_1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap_en" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap_en</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap_en</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap_hold_en" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap_hold_en</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap_hold_en</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap_not_held</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap_load" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap_load</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap_load</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap_load_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap1" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap1</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap1</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap1_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap2" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap2</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap2</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap2_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap2_sgn" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap2_sgn</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap2_sgn</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap2_sgn_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap3" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap3</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap3</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap3_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap3_sgn" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap3_sgn</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap3_sgn</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap3_sgn_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap4" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap4</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap4</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap4_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap4_sgn" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap4_sgn</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap4_sgn</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap4_sgn_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap5" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap5</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap5</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap5_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap5_sgn" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap5_sgn</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap5_sgn</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap5_sgn_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap6" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap6</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap6</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap6_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap6_sgn" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap6_sgn</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap6_sgn</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap6_sgn_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap7" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap7</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap7</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap7_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap7_sgn" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap7_sgn</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap7_sgn</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap7_sgn_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_mode" type="string"> + <ipxact:name>pma_adapt_adp_dfe_mode</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_mode</ipxact:displayName> + <ipxact:value>radp_dfe_mode_4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_vref_polarity" type="string"> + <ipxact:name>pma_adapt_adp_dfe_vref_polarity</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_vref_polarity</ipxact:displayName> + <ipxact:value>radp_dfe_vref_polarity_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_force_freqlock" type="string"> + <ipxact:name>pma_adapt_adp_force_freqlock</ipxact:name> + <ipxact:displayName>pma_adapt_adp_force_freqlock</ipxact:displayName> + <ipxact:value>radp_force_freqlock_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_lfeq_fb_sel" type="string"> + <ipxact:name>pma_adapt_adp_lfeq_fb_sel</ipxact:name> + <ipxact:displayName>pma_adapt_adp_lfeq_fb_sel</ipxact:displayName> + <ipxact:value>radp_lfeq_fb_sel_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_status_sel" type="string"> + <ipxact:name>pma_adapt_adp_status_sel</ipxact:name> + <ipxact:displayName>pma_adapt_adp_status_sel</ipxact:displayName> + <ipxact:value>radp_status_sel_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_vga_bypass" type="string"> + <ipxact:name>pma_adapt_adp_vga_bypass</ipxact:name> + <ipxact:displayName>pma_adapt_adp_vga_bypass</ipxact:displayName> + <ipxact:value>radp_vga_bypass_1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_vga_en" type="string"> + <ipxact:name>pma_adapt_adp_vga_en</ipxact:name> + <ipxact:displayName>pma_adapt_adp_vga_en</ipxact:displayName> + <ipxact:value>radp_vga_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_vga_polarity" type="string"> + <ipxact:name>pma_adapt_adp_vga_polarity</ipxact:name> + <ipxact:displayName>pma_adapt_adp_vga_polarity</ipxact:displayName> + <ipxact:value>radp_vga_polarity_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_vga_sel" type="string"> + <ipxact:name>pma_adapt_adp_vga_sel</ipxact:name> + <ipxact:displayName>pma_adapt_adp_vga_sel</ipxact:displayName> + <ipxact:value>radp_vga_sel_2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_vga_sweep_direction" type="string"> + <ipxact:name>pma_adapt_adp_vga_sweep_direction</ipxact:name> + <ipxact:displayName>pma_adapt_adp_vga_sweep_direction</ipxact:displayName> + <ipxact:value>radp_vga_sweep_direction_1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_vga_threshold" type="string"> + <ipxact:name>pma_adapt_adp_vga_threshold</ipxact:name> + <ipxact:displayName>pma_adapt_adp_vga_threshold</ipxact:displayName> + <ipxact:value>radp_vga_threshold_4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_vref_bw" type="string"> + <ipxact:name>pma_adapt_adp_vref_bw</ipxact:name> + <ipxact:displayName>pma_adapt_adp_vref_bw</ipxact:displayName> + <ipxact:value>radp_vref_bw_1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_vref_bypass" type="string"> + <ipxact:name>pma_adapt_adp_vref_bypass</ipxact:name> + <ipxact:displayName>pma_adapt_adp_vref_bypass</ipxact:displayName> + <ipxact:value>radp_vref_bypass_1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_vref_cycle" type="string"> + <ipxact:name>pma_adapt_adp_vref_cycle</ipxact:name> + <ipxact:displayName>pma_adapt_adp_vref_cycle</ipxact:displayName> + <ipxact:value>radp_vref_cycle_6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_vref_en" type="string"> + <ipxact:name>pma_adapt_adp_vref_en</ipxact:name> + <ipxact:displayName>pma_adapt_adp_vref_en</ipxact:displayName> + <ipxact:value>radp_vref_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_vref_hold_en" type="string"> + <ipxact:name>pma_adapt_adp_vref_hold_en</ipxact:name> + <ipxact:displayName>pma_adapt_adp_vref_hold_en</ipxact:displayName> + <ipxact:value>radp_vref_not_held</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_vref_polarity" type="string"> + <ipxact:name>pma_adapt_adp_vref_polarity</ipxact:name> + <ipxact:displayName>pma_adapt_adp_vref_polarity</ipxact:displayName> + <ipxact:value>radp_vref_polarity_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_vref_sel" type="string"> + <ipxact:name>pma_adapt_adp_vref_sel</ipxact:name> + <ipxact:displayName>pma_adapt_adp_vref_sel</ipxact:displayName> + <ipxact:value>radp_vref_sel_21</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_vref_vga_level" type="string"> + <ipxact:name>pma_adapt_adp_vref_vga_level</ipxact:name> + <ipxact:displayName>pma_adapt_adp_vref_vga_level</ipxact:displayName> + <ipxact:value>radp_vref_vga_level_13</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_datarate" type="string"> + <ipxact:name>pma_adapt_datarate</ipxact:name> + <ipxact:displayName>pma_adapt_datarate</ipxact:displayName> + <ipxact:value>10312500000 bps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_odi_en" type="string"> + <ipxact:name>pma_adapt_odi_en</ipxact:name> + <ipxact:displayName>pma_adapt_odi_en</ipxact:displayName> + <ipxact:value>rodi_en_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_odi_rstn" type="string"> + <ipxact:name>pma_adapt_odi_rstn</ipxact:name> + <ipxact:displayName>pma_adapt_odi_rstn</ipxact:displayName> + <ipxact:value>rodi_rstn_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_odi_spec_sel" type="string"> + <ipxact:name>pma_adapt_odi_spec_sel</ipxact:name> + <ipxact:displayName>pma_adapt_odi_spec_sel</ipxact:displayName> + <ipxact:value>rodi_spec_sel_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_odi_vref_sel" type="string"> + <ipxact:name>pma_adapt_odi_vref_sel</ipxact:name> + <ipxact:displayName>pma_adapt_odi_vref_sel</ipxact:displayName> + <ipxact:value>rodi_vref_sel_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_optimal" type="string"> + <ipxact:name>pma_adapt_optimal</ipxact:name> + <ipxact:displayName>pma_adapt_optimal</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_initial_settings" type="string"> + <ipxact:name>pma_adapt_initial_settings</ipxact:name> + <ipxact:displayName>pma_adapt_initial_settings</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_prot_mode" type="string"> + <ipxact:name>pma_adapt_prot_mode</ipxact:name> + <ipxact:displayName>pma_adapt_prot_mode</ipxact:displayName> + <ipxact:value>basic_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_sup_mode" type="string"> + <ipxact:name>pma_adapt_sup_mode</ipxact:name> + <ipxact:displayName>pma_adapt_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adapt_dfe_control_sel" type="string"> + <ipxact:name>pma_adapt_adapt_dfe_control_sel</ipxact:name> + <ipxact:displayName>pma_adapt_adapt_dfe_control_sel</ipxact:displayName> + <ipxact:value>r_adapt_dfe_control_sel_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_ctle_adapt_bw" type="string"> + <ipxact:name>pma_adapt_adp_ctle_adapt_bw</ipxact:name> + <ipxact:displayName>pma_adapt_adp_ctle_adapt_bw</ipxact:displayName> + <ipxact:value>radp_ctle_adapt_bw_3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_spec_sign" type="string"> + <ipxact:name>pma_adapt_adp_dfe_spec_sign</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_spec_sign</ipxact:displayName> + <ipxact:value>radp_dfe_spec_sign_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_ctle_force_spec_sign" type="string"> + <ipxact:name>pma_adapt_adp_ctle_force_spec_sign</ipxact:name> + <ipxact:displayName>pma_adapt_adp_ctle_force_spec_sign</ipxact:displayName> + <ipxact:value>radp_ctle_force_spec_sign_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_odi_mode" type="string"> + <ipxact:name>pma_adapt_odi_mode</ipxact:name> + <ipxact:displayName>pma_adapt_odi_mode</ipxact:displayName> + <ipxact:value>rodi_mode_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_ctle_threshold" type="string"> + <ipxact:name>pma_adapt_adp_ctle_threshold</ipxact:name> + <ipxact:displayName>pma_adapt_adp_ctle_threshold</ipxact:displayName> + <ipxact:value>radp_ctle_threshold_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_ctle_window" type="string"> + <ipxact:name>pma_adapt_adp_ctle_window</ipxact:name> + <ipxact:displayName>pma_adapt_adp_ctle_window</ipxact:displayName> + <ipxact:value>radp_ctle_window_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_ctle_threshold_en" type="string"> + <ipxact:name>pma_adapt_adp_ctle_threshold_en</ipxact:name> + <ipxact:displayName>pma_adapt_adp_ctle_threshold_en</ipxact:displayName> + <ipxact:value>radp_ctle_threshold_en_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_ctle_spec_sign" type="string"> + <ipxact:name>pma_adapt_adp_ctle_spec_sign</ipxact:name> + <ipxact:displayName>pma_adapt_adp_ctle_spec_sign</ipxact:displayName> + <ipxact:value>radp_ctle_spec_sign_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_odi_control_sel" type="string"> + <ipxact:name>pma_adapt_adp_odi_control_sel</ipxact:name> + <ipxact:displayName>pma_adapt_adp_odi_control_sel</ipxact:displayName> + <ipxact:value>radp_odi_control_sel_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_spec_avg_window" type="string"> + <ipxact:name>pma_adapt_adp_spec_avg_window</ipxact:name> + <ipxact:displayName>pma_adapt_adp_spec_avg_window</ipxact:displayName> + <ipxact:value>radp_spec_avg_window_4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_ctle_adapt_cycle_window" type="string"> + <ipxact:name>pma_adapt_adp_ctle_adapt_cycle_window</ipxact:name> + <ipxact:displayName>pma_adapt_adp_ctle_adapt_cycle_window</ipxact:displayName> + <ipxact:value>radp_ctle_adapt_cycle_window_7</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_odi_dfe_spec_en" type="string"> + <ipxact:name>pma_adapt_odi_dfe_spec_en</ipxact:name> + <ipxact:displayName>pma_adapt_odi_dfe_spec_en</ipxact:displayName> + <ipxact:value>rodi_dfe_spec_en_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_clkout_div_sel" type="string"> + <ipxact:name>pma_adapt_adp_dfe_clkout_div_sel</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_clkout_div_sel</ipxact:displayName> + <ipxact:value>radp_dfe_clkout_div_sel_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_ctle_load_value" type="string"> + <ipxact:name>pma_adapt_adp_ctle_load_value</ipxact:name> + <ipxact:displayName>pma_adapt_adp_ctle_load_value</ipxact:displayName> + <ipxact:value>radp_ctle_load_value_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_rrx_pcie_eqz" type="string"> + <ipxact:name>pma_adapt_rrx_pcie_eqz</ipxact:name> + <ipxact:displayName>pma_adapt_rrx_pcie_eqz</ipxact:displayName> + <ipxact:value>rrx_pcie_eqz_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_bist_mode" type="string"> + <ipxact:name>pma_adapt_adp_bist_mode</ipxact:name> + <ipxact:displayName>pma_adapt_adp_bist_mode</ipxact:displayName> + <ipxact:value>radp_bist_mode_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adapt_dfe_sel" type="string"> + <ipxact:name>pma_adapt_adapt_dfe_sel</ipxact:name> + <ipxact:displayName>pma_adapt_adapt_dfe_sel</ipxact:displayName> + <ipxact:value>r_adapt_dfe_sel_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_spec_trans_filter" type="string"> + <ipxact:name>pma_adapt_adp_spec_trans_filter</ipxact:name> + <ipxact:displayName>pma_adapt_adp_spec_trans_filter</ipxact:displayName> + <ipxact:value>radp_spec_trans_filter_2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_frame_en" type="string"> + <ipxact:name>pma_adapt_adp_frame_en</ipxact:name> + <ipxact:displayName>pma_adapt_adp_frame_en</ipxact:displayName> + <ipxact:value>radp_frame_en_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_odi_count_threshold" type="string"> + <ipxact:name>pma_adapt_odi_count_threshold</ipxact:name> + <ipxact:displayName>pma_adapt_odi_count_threshold</ipxact:displayName> + <ipxact:value>rodi_count_threshold_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_bist_spec_en" type="string"> + <ipxact:name>pma_adapt_adp_bist_spec_en</ipxact:name> + <ipxact:displayName>pma_adapt_adp_bist_spec_en</ipxact:displayName> + <ipxact:value>radp_bist_spec_en_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adapt_mode" type="string"> + <ipxact:name>pma_adapt_adapt_mode</ipxact:name> + <ipxact:displayName>pma_adapt_adapt_mode</ipxact:displayName> + <ipxact:value>manual</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_ctle_adapt_oneshot" type="string"> + <ipxact:name>pma_adapt_adp_ctle_adapt_oneshot</ipxact:name> + <ipxact:displayName>pma_adapt_adp_ctle_adapt_oneshot</ipxact:displayName> + <ipxact:value>radp_ctle_adapt_oneshot_1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_bist_auxpath_en" type="string"> + <ipxact:name>pma_adapt_adp_bist_auxpath_en</ipxact:name> + <ipxact:displayName>pma_adapt_adp_bist_auxpath_en</ipxact:displayName> + <ipxact:value>radp_bist_auxpath_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_frame_out_sel" type="string"> + <ipxact:name>pma_adapt_adp_frame_out_sel</ipxact:name> + <ipxact:displayName>pma_adapt_adp_frame_out_sel</ipxact:displayName> + <ipxact:value>radp_frame_out_sel_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adapt_vga_sel" type="string"> + <ipxact:name>pma_adapt_adapt_vga_sel</ipxact:name> + <ipxact:displayName>pma_adapt_adapt_vga_sel</ipxact:displayName> + <ipxact:value>r_adapt_vga_sel_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_vref_load" type="string"> + <ipxact:name>pma_adapt_adp_vref_load</ipxact:name> + <ipxact:displayName>pma_adapt_adp_vref_load</ipxact:displayName> + <ipxact:value>radp_vref_load_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_ctle_scale_en" type="string"> + <ipxact:name>pma_adapt_adp_ctle_scale_en</ipxact:name> + <ipxact:displayName>pma_adapt_adp_ctle_scale_en</ipxact:displayName> + <ipxact:value>radp_ctle_scale_en_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_onetime_dfe" type="string"> + <ipxact:name>pma_adapt_adp_onetime_dfe</ipxact:name> + <ipxact:displayName>pma_adapt_adp_onetime_dfe</ipxact:displayName> + <ipxact:value>radp_onetime_dfe_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_force_spec_sign" type="string"> + <ipxact:name>pma_adapt_adp_dfe_force_spec_sign</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_force_spec_sign</ipxact:displayName> + <ipxact:value>radp_dfe_force_spec_sign_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_frame_odi_sel" type="string"> + <ipxact:name>pma_adapt_adp_frame_odi_sel</ipxact:name> + <ipxact:displayName>pma_adapt_adp_frame_odi_sel</ipxact:displayName> + <ipxact:value>radp_frame_odi_sel_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_bist_datapath_en" type="string"> + <ipxact:name>pma_adapt_adp_bist_datapath_en</ipxact:name> + <ipxact:displayName>pma_adapt_adp_bist_datapath_en</ipxact:displayName> + <ipxact:value>radp_bist_datapath_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_control_mux_bypass" type="string"> + <ipxact:name>pma_adapt_adp_control_mux_bypass</ipxact:name> + <ipxact:displayName>pma_adapt_adp_control_mux_bypass</ipxact:displayName> + <ipxact:value>radp_control_mux_bypass_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_ctle_vref_polarity" type="string"> + <ipxact:name>pma_adapt_adp_ctle_vref_polarity</ipxact:name> + <ipxact:displayName>pma_adapt_adp_ctle_vref_polarity</ipxact:displayName> + <ipxact:value>radp_ctle_vref_polarity_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_bist_count_rstn" type="string"> + <ipxact:name>pma_adapt_adp_bist_count_rstn</ipxact:name> + <ipxact:displayName>pma_adapt_adp_bist_count_rstn</ipxact:displayName> + <ipxact:value>radp_bist_count_rstn_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_ctle_eqz_1s_sel" type="string"> + <ipxact:name>pma_adapt_adp_ctle_eqz_1s_sel</ipxact:name> + <ipxact:displayName>pma_adapt_adp_ctle_eqz_1s_sel</ipxact:displayName> + <ipxact:value>radp_ctle_eqz_1s_sel_3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_vref_dfe_spec_en" type="string"> + <ipxact:name>pma_adapt_adp_vref_dfe_spec_en</ipxact:name> + <ipxact:displayName>pma_adapt_adp_vref_dfe_spec_en</ipxact:displayName> + <ipxact:value>radp_vref_dfe_spec_en_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_adapt_rstn" type="string"> + <ipxact:name>pma_adapt_adp_adapt_rstn</ipxact:name> + <ipxact:displayName>pma_adapt_adp_adapt_rstn</ipxact:displayName> + <ipxact:value>radp_adapt_rstn_1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_adapt_start" type="string"> + <ipxact:name>pma_adapt_adp_adapt_start</ipxact:name> + <ipxact:displayName>pma_adapt_adp_adapt_start</ipxact:displayName> + <ipxact:value>radp_adapt_start_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_odi_start" type="string"> + <ipxact:name>pma_adapt_odi_start</ipxact:name> + <ipxact:displayName>pma_adapt_odi_start</ipxact:displayName> + <ipxact:value>rodi_start_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_ctle_sweep_direction" type="string"> + <ipxact:name>pma_adapt_adp_ctle_sweep_direction</ipxact:name> + <ipxact:displayName>pma_adapt_adp_ctle_sweep_direction</ipxact:displayName> + <ipxact:value>radp_ctle_sweep_direction_1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_vga_load" type="string"> + <ipxact:name>pma_adapt_adp_vga_load</ipxact:name> + <ipxact:displayName>pma_adapt_adp_vga_load</ipxact:displayName> + <ipxact:value>radp_vga_load_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_frame_capture" type="string"> + <ipxact:name>pma_adapt_adp_frame_capture</ipxact:name> + <ipxact:displayName>pma_adapt_adp_frame_capture</ipxact:displayName> + <ipxact:value>radp_frame_capture_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_adapt_control_sel" type="string"> + <ipxact:name>pma_adapt_adp_adapt_control_sel</ipxact:name> + <ipxact:displayName>pma_adapt_adp_adapt_control_sel</ipxact:displayName> + <ipxact:value>radp_adapt_control_sel_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_bist_odi_dfe_sel" type="string"> + <ipxact:name>pma_adapt_adp_bist_odi_dfe_sel</ipxact:name> + <ipxact:displayName>pma_adapt_adp_bist_odi_dfe_sel</ipxact:displayName> + <ipxact:value>radp_bist_odi_dfe_sel_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adapt_vref_sel" type="string"> + <ipxact:name>pma_adapt_adapt_vref_sel</ipxact:name> + <ipxact:displayName>pma_adapt_adapt_vref_sel</ipxact:displayName> + <ipxact:value>r_adapt_vref_sel_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_mode" type="string"> + <ipxact:name>pma_adapt_adp_mode</ipxact:name> + <ipxact:displayName>pma_adapt_adp_mode</ipxact:displayName> + <ipxact:value>radp_mode_8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_ctle_load" type="string"> + <ipxact:name>pma_adapt_adp_ctle_load</ipxact:name> + <ipxact:displayName>pma_adapt_adp_ctle_load</ipxact:displayName> + <ipxact:value>radp_ctle_load_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_cdr_clkin_scratch0_src" type="string"> + <ipxact:name>pma_cdr_refclk_cdr_clkin_scratch0_src</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_cdr_clkin_scratch0_src</ipxact:displayName> + <ipxact:value>cdr_clkin_scratch0_src_refclk_iqclk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_cdr_clkin_scratch1_src" type="string"> + <ipxact:name>pma_cdr_refclk_cdr_clkin_scratch1_src</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_cdr_clkin_scratch1_src</ipxact:displayName> + <ipxact:value>cdr_clkin_scratch1_src_refclk_iqclk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_cdr_clkin_scratch2_src" type="string"> + <ipxact:name>pma_cdr_refclk_cdr_clkin_scratch2_src</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_cdr_clkin_scratch2_src</ipxact:displayName> + <ipxact:value>cdr_clkin_scratch2_src_refclk_iqclk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_cdr_clkin_scratch3_src" type="string"> + <ipxact:name>pma_cdr_refclk_cdr_clkin_scratch3_src</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_cdr_clkin_scratch3_src</ipxact:displayName> + <ipxact:value>cdr_clkin_scratch3_src_refclk_iqclk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_cdr_clkin_scratch4_src" type="string"> + <ipxact:name>pma_cdr_refclk_cdr_clkin_scratch4_src</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_cdr_clkin_scratch4_src</ipxact:displayName> + <ipxact:value>cdr_clkin_scratch4_src_refclk_iqclk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_powerdown_mode" type="string"> + <ipxact:name>pma_cdr_refclk_powerdown_mode</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_powerdown_mode</ipxact:displayName> + <ipxact:value>powerup</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_receiver_detect_src" type="string"> + <ipxact:name>pma_cdr_refclk_receiver_detect_src</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_receiver_detect_src</ipxact:displayName> + <ipxact:value>iqclk_src</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_xmux_refclk_src" type="string"> + <ipxact:name>pma_cdr_refclk_xmux_refclk_src</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_xmux_refclk_src</ipxact:displayName> + <ipxact:value>refclk_iqclk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_xpm_iqref_mux_iqclk_sel" type="string"> + <ipxact:name>pma_cdr_refclk_xpm_iqref_mux_iqclk_sel</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_xpm_iqref_mux_iqclk_sel</ipxact:displayName> + <ipxact:value>power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_xpm_iqref_mux_scratch0_src" type="string"> + <ipxact:name>pma_cdr_refclk_xpm_iqref_mux_scratch0_src</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_xpm_iqref_mux_scratch0_src</ipxact:displayName> + <ipxact:value>scratch0_power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_xpm_iqref_mux_scratch1_src" type="string"> + <ipxact:name>pma_cdr_refclk_xpm_iqref_mux_scratch1_src</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_xpm_iqref_mux_scratch1_src</ipxact:displayName> + <ipxact:value>scratch1_power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_xpm_iqref_mux_scratch2_src" type="string"> + <ipxact:name>pma_cdr_refclk_xpm_iqref_mux_scratch2_src</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_xpm_iqref_mux_scratch2_src</ipxact:displayName> + <ipxact:value>scratch2_power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_xpm_iqref_mux_scratch3_src" type="string"> + <ipxact:name>pma_cdr_refclk_xpm_iqref_mux_scratch3_src</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_xpm_iqref_mux_scratch3_src</ipxact:displayName> + <ipxact:value>scratch3_power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_xpm_iqref_mux_scratch4_src" type="string"> + <ipxact:name>pma_cdr_refclk_xpm_iqref_mux_scratch4_src</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_xpm_iqref_mux_scratch4_src</ipxact:displayName> + <ipxact:value>scratch4_power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_refclk_select" type="string"> + <ipxact:name>pma_cdr_refclk_refclk_select</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_refclk_select</ipxact:displayName> + <ipxact:value>ref_iqclk0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_silicon_rev" type="string"> + <ipxact:name>pma_cdr_refclk_silicon_rev</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_inclk0_logical_to_physical_mapping" type="string"> + <ipxact:name>pma_cdr_refclk_inclk0_logical_to_physical_mapping</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_inclk0_logical_to_physical_mapping</ipxact:displayName> + <ipxact:value>ref_iqclk0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_inclk1_logical_to_physical_mapping" type="string"> + <ipxact:name>pma_cdr_refclk_inclk1_logical_to_physical_mapping</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_inclk1_logical_to_physical_mapping</ipxact:displayName> + <ipxact:value>ref_iqclk0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_inclk2_logical_to_physical_mapping" type="string"> + <ipxact:name>pma_cdr_refclk_inclk2_logical_to_physical_mapping</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_inclk2_logical_to_physical_mapping</ipxact:displayName> + <ipxact:value>ref_iqclk0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_inclk3_logical_to_physical_mapping" type="string"> + <ipxact:name>pma_cdr_refclk_inclk3_logical_to_physical_mapping</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_inclk3_logical_to_physical_mapping</ipxact:displayName> + <ipxact:value>ref_iqclk0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_inclk4_logical_to_physical_mapping" type="string"> + <ipxact:name>pma_cdr_refclk_inclk4_logical_to_physical_mapping</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_inclk4_logical_to_physical_mapping</ipxact:displayName> + <ipxact:value>ref_iqclk0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_silicon_rev" type="string"> + <ipxact:name>pma_cgb_silicon_rev</ipxact:name> + <ipxact:displayName>pma_cgb_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_observe_cgb_clocks" type="string"> + <ipxact:name>pma_cgb_observe_cgb_clocks</ipxact:name> + <ipxact:displayName>pma_cgb_observe_cgb_clocks</ipxact:displayName> + <ipxact:value>observe_nothing</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_bitslip_enable" type="string"> + <ipxact:name>pma_cgb_bitslip_enable</ipxact:name> + <ipxact:displayName>pma_cgb_bitslip_enable</ipxact:displayName> + <ipxact:value>disable_bitslip</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_bonding_mode" type="string"> + <ipxact:name>pma_cgb_bonding_mode</ipxact:name> + <ipxact:displayName>pma_cgb_bonding_mode</ipxact:displayName> + <ipxact:value>x1_non_bonded</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_bonding_reset_enable" type="string"> + <ipxact:name>pma_cgb_bonding_reset_enable</ipxact:name> + <ipxact:displayName>pma_cgb_bonding_reset_enable</ipxact:displayName> + <ipxact:value>disallow_bonding_reset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_cgb_power_down" type="string"> + <ipxact:name>pma_cgb_cgb_power_down</ipxact:name> + <ipxact:displayName>pma_cgb_cgb_power_down</ipxact:displayName> + <ipxact:value>normal_cgb</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_datarate" type="string"> + <ipxact:name>pma_cgb_datarate</ipxact:name> + <ipxact:displayName>pma_cgb_datarate</ipxact:displayName> + <ipxact:value>10312500000 bps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_pcie_gen3_bitwidth" type="string"> + <ipxact:name>pma_cgb_pcie_gen3_bitwidth</ipxact:name> + <ipxact:displayName>pma_cgb_pcie_gen3_bitwidth</ipxact:displayName> + <ipxact:value>pciegen3_wide</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_prot_mode" type="string"> + <ipxact:name>pma_cgb_prot_mode</ipxact:name> + <ipxact:displayName>pma_cgb_prot_mode</ipxact:displayName> + <ipxact:value>basic_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_scratch0_x1_clock_src" type="string"> + <ipxact:name>pma_cgb_scratch0_x1_clock_src</ipxact:name> + <ipxact:displayName>pma_cgb_scratch0_x1_clock_src</ipxact:displayName> + <ipxact:value>unused</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_scratch1_x1_clock_src" type="string"> + <ipxact:name>pma_cgb_scratch1_x1_clock_src</ipxact:name> + <ipxact:displayName>pma_cgb_scratch1_x1_clock_src</ipxact:displayName> + <ipxact:value>unused</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_scratch2_x1_clock_src" type="string"> + <ipxact:name>pma_cgb_scratch2_x1_clock_src</ipxact:name> + <ipxact:displayName>pma_cgb_scratch2_x1_clock_src</ipxact:displayName> + <ipxact:value>unused</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_scratch3_x1_clock_src" type="string"> + <ipxact:name>pma_cgb_scratch3_x1_clock_src</ipxact:name> + <ipxact:displayName>pma_cgb_scratch3_x1_clock_src</ipxact:displayName> + <ipxact:value>unused</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_select_done_master_or_slave" type="string"> + <ipxact:name>pma_cgb_select_done_master_or_slave</ipxact:name> + <ipxact:displayName>pma_cgb_select_done_master_or_slave</ipxact:displayName> + <ipxact:value>choose_master_pcie_sw_done</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_ser_mode" type="string"> + <ipxact:name>pma_cgb_ser_mode</ipxact:name> + <ipxact:displayName>pma_cgb_ser_mode</ipxact:displayName> + <ipxact:value>thirty_two_bit</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_ser_powerdown" type="string"> + <ipxact:name>pma_cgb_ser_powerdown</ipxact:name> + <ipxact:displayName>pma_cgb_ser_powerdown</ipxact:displayName> + <ipxact:value>normal_poweron_ser</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_sup_mode" type="string"> + <ipxact:name>pma_cgb_sup_mode</ipxact:name> + <ipxact:displayName>pma_cgb_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_vccdreg_output" type="string"> + <ipxact:name>pma_cgb_vccdreg_output</ipxact:name> + <ipxact:displayName>pma_cgb_vccdreg_output</ipxact:displayName> + <ipxact:value>vccdreg_nominal</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_x1_clock_source_sel" type="string"> + <ipxact:name>pma_cgb_x1_clock_source_sel</ipxact:name> + <ipxact:displayName>pma_cgb_x1_clock_source_sel</ipxact:displayName> + <ipxact:value>cdr_txpll_t</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_x1_div_m_sel" type="string"> + <ipxact:name>pma_cgb_x1_div_m_sel</ipxact:name> + <ipxact:displayName>pma_cgb_x1_div_m_sel</ipxact:displayName> + <ipxact:value>divbypass</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_xn_clock_source_sel" type="string"> + <ipxact:name>pma_cgb_xn_clock_source_sel</ipxact:name> + <ipxact:displayName>pma_cgb_xn_clock_source_sel</ipxact:displayName> + <ipxact:value>sel_xn_up</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_input_select_x1" type="string"> + <ipxact:name>pma_cgb_input_select_x1</ipxact:name> + <ipxact:displayName>pma_cgb_input_select_x1</ipxact:displayName> + <ipxact:value>fpll_bot</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_input_select_gen3" type="string"> + <ipxact:name>pma_cgb_input_select_gen3</ipxact:name> + <ipxact:displayName>pma_cgb_input_select_gen3</ipxact:displayName> + <ipxact:value>unused</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_input_select_xn" type="string"> + <ipxact:name>pma_cgb_input_select_xn</ipxact:name> + <ipxact:displayName>pma_cgb_input_select_xn</ipxact:displayName> + <ipxact:value>unused</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_tx_ucontrol_reset" type="string"> + <ipxact:name>pma_cgb_tx_ucontrol_reset</ipxact:name> + <ipxact:displayName>pma_cgb_tx_ucontrol_reset</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_tx_ucontrol_en" type="string"> + <ipxact:name>pma_cgb_tx_ucontrol_en</ipxact:name> + <ipxact:displayName>pma_cgb_tx_ucontrol_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_initial_settings" type="string"> + <ipxact:name>pma_cgb_initial_settings</ipxact:name> + <ipxact:displayName>pma_cgb_initial_settings</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_tx_ucontrol_pcie" type="string"> + <ipxact:name>pma_cgb_tx_ucontrol_pcie</ipxact:name> + <ipxact:displayName>pma_cgb_tx_ucontrol_pcie</ipxact:displayName> + <ipxact:value>gen1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_dprio_cgb_vreg_boost" type="string"> + <ipxact:name>pma_cgb_dprio_cgb_vreg_boost</ipxact:name> + <ipxact:displayName>pma_cgb_dprio_cgb_vreg_boost</ipxact:displayName> + <ipxact:value>no_voltage_boost</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_silicon_rev" type="string"> + <ipxact:name>pma_rx_dfe_silicon_rev</ipxact:name> + <ipxact:displayName>pma_rx_dfe_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_atb_select" type="string"> + <ipxact:name>pma_rx_dfe_atb_select</ipxact:name> + <ipxact:displayName>pma_rx_dfe_atb_select</ipxact:displayName> + <ipxact:value>atb_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_datarate" type="string"> + <ipxact:name>pma_rx_dfe_datarate</ipxact:name> + <ipxact:displayName>pma_rx_dfe_datarate</ipxact:displayName> + <ipxact:value>10312500000 bps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_dft_en" type="string"> + <ipxact:name>pma_rx_dfe_dft_en</ipxact:name> + <ipxact:displayName>pma_rx_dfe_dft_en</ipxact:displayName> + <ipxact:value>dft_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_oc_sa_c270" type="int"> + <ipxact:name>pma_rx_dfe_oc_sa_c270</ipxact:name> + <ipxact:displayName>pma_rx_dfe_oc_sa_c270</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_oc_sa_c90" type="int"> + <ipxact:name>pma_rx_dfe_oc_sa_c90</ipxact:name> + <ipxact:displayName>pma_rx_dfe_oc_sa_c90</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_oc_sa_d0c0" type="int"> + <ipxact:name>pma_rx_dfe_oc_sa_d0c0</ipxact:name> + <ipxact:displayName>pma_rx_dfe_oc_sa_d0c0</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_oc_sa_d0c180" type="int"> + <ipxact:name>pma_rx_dfe_oc_sa_d0c180</ipxact:name> + <ipxact:displayName>pma_rx_dfe_oc_sa_d0c180</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_oc_sa_d1c0" type="int"> + <ipxact:name>pma_rx_dfe_oc_sa_d1c0</ipxact:name> + <ipxact:displayName>pma_rx_dfe_oc_sa_d1c0</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_oc_sa_d1c180" type="int"> + <ipxact:name>pma_rx_dfe_oc_sa_d1c180</ipxact:name> + <ipxact:displayName>pma_rx_dfe_oc_sa_d1c180</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_optimal" type="string"> + <ipxact:name>pma_rx_dfe_optimal</ipxact:name> + <ipxact:displayName>pma_rx_dfe_optimal</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_pdb" type="string"> + <ipxact:name>pma_rx_dfe_pdb</ipxact:name> + <ipxact:displayName>pma_rx_dfe_pdb</ipxact:displayName> + <ipxact:value>dfe_enable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_pdb_fixedtap" type="string"> + <ipxact:name>pma_rx_dfe_pdb_fixedtap</ipxact:name> + <ipxact:displayName>pma_rx_dfe_pdb_fixedtap</ipxact:displayName> + <ipxact:value>fixtap_dfe_powerdown</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_pdb_floattap" type="string"> + <ipxact:name>pma_rx_dfe_pdb_floattap</ipxact:name> + <ipxact:displayName>pma_rx_dfe_pdb_floattap</ipxact:displayName> + <ipxact:value>floattap_dfe_powerdown</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_pdb_fxtap4t7" type="string"> + <ipxact:name>pma_rx_dfe_pdb_fxtap4t7</ipxact:name> + <ipxact:displayName>pma_rx_dfe_pdb_fxtap4t7</ipxact:displayName> + <ipxact:value>fxtap4t7_powerdown</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_power_mode" type="string"> + <ipxact:name>pma_rx_dfe_power_mode</ipxact:name> + <ipxact:displayName>pma_rx_dfe_power_mode</ipxact:displayName> + <ipxact:value>mid_power</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_sel_fltapstep_dec" type="string"> + <ipxact:name>pma_rx_dfe_sel_fltapstep_dec</ipxact:name> + <ipxact:displayName>pma_rx_dfe_sel_fltapstep_dec</ipxact:displayName> + <ipxact:value>fltap_step_no_dec</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_sel_fltapstep_inc" type="string"> + <ipxact:name>pma_rx_dfe_sel_fltapstep_inc</ipxact:name> + <ipxact:displayName>pma_rx_dfe_sel_fltapstep_inc</ipxact:displayName> + <ipxact:value>fltap_step_no_inc</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_sel_fxtapstep_dec" type="string"> + <ipxact:name>pma_rx_dfe_sel_fxtapstep_dec</ipxact:name> + <ipxact:displayName>pma_rx_dfe_sel_fxtapstep_dec</ipxact:displayName> + <ipxact:value>fxtap_step_no_dec</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_sel_fxtapstep_inc" type="string"> + <ipxact:name>pma_rx_dfe_sel_fxtapstep_inc</ipxact:name> + <ipxact:displayName>pma_rx_dfe_sel_fxtapstep_inc</ipxact:displayName> + <ipxact:value>fxtap_step_no_inc</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_sel_oc_en" type="string"> + <ipxact:name>pma_rx_dfe_sel_oc_en</ipxact:name> + <ipxact:displayName>pma_rx_dfe_sel_oc_en</ipxact:displayName> + <ipxact:value>off_canc_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_sel_probe_tstmx" type="string"> + <ipxact:name>pma_rx_dfe_sel_probe_tstmx</ipxact:name> + <ipxact:displayName>pma_rx_dfe_sel_probe_tstmx</ipxact:displayName> + <ipxact:value>probe_tstmx_none</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_sup_mode" type="string"> + <ipxact:name>pma_rx_dfe_sup_mode</ipxact:name> + <ipxact:displayName>pma_rx_dfe_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_uc_rx_dfe_cal" type="string"> + <ipxact:name>pma_rx_dfe_uc_rx_dfe_cal</ipxact:name> + <ipxact:displayName>pma_rx_dfe_uc_rx_dfe_cal</ipxact:displayName> + <ipxact:value>uc_rx_dfe_cal_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_uc_rx_dfe_cal_status" type="string"> + <ipxact:name>pma_rx_dfe_uc_rx_dfe_cal_status</ipxact:name> + <ipxact:displayName>pma_rx_dfe_uc_rx_dfe_cal_status</ipxact:displayName> + <ipxact:value>uc_rx_dfe_cal_notdone</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_oc_sa_adp1" type="int"> + <ipxact:name>pma_rx_dfe_oc_sa_adp1</ipxact:name> + <ipxact:displayName>pma_rx_dfe_oc_sa_adp1</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_oc_sa_adp2" type="int"> + <ipxact:name>pma_rx_dfe_oc_sa_adp2</ipxact:name> + <ipxact:displayName>pma_rx_dfe_oc_sa_adp2</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_initial_settings" type="string"> + <ipxact:name>pma_rx_dfe_initial_settings</ipxact:name> + <ipxact:displayName>pma_rx_dfe_initial_settings</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_prot_mode" type="string"> + <ipxact:name>pma_rx_dfe_prot_mode</ipxact:name> + <ipxact:displayName>pma_rx_dfe_prot_mode</ipxact:displayName> + <ipxact:value>basic_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_silicon_rev" type="string"> + <ipxact:name>pma_rx_odi_silicon_rev</ipxact:name> + <ipxact:displayName>pma_rx_odi_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_datarate" type="string"> + <ipxact:name>pma_rx_odi_datarate</ipxact:name> + <ipxact:displayName>pma_rx_odi_datarate</ipxact:displayName> + <ipxact:value>10312500000 bps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_enable_odi" type="string"> + <ipxact:name>pma_rx_odi_enable_odi</ipxact:name> + <ipxact:displayName>pma_rx_odi_enable_odi</ipxact:displayName> + <ipxact:value>power_down_eye</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_monitor_bw_sel" type="string"> + <ipxact:name>pma_rx_odi_monitor_bw_sel</ipxact:name> + <ipxact:displayName>pma_rx_odi_monitor_bw_sel</ipxact:displayName> + <ipxact:value>bw_1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_optimal" type="string"> + <ipxact:name>pma_rx_odi_optimal</ipxact:name> + <ipxact:displayName>pma_rx_odi_optimal</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_phase_steps_64_vs_128" type="string"> + <ipxact:name>pma_rx_odi_phase_steps_64_vs_128</ipxact:name> + <ipxact:displayName>pma_rx_odi_phase_steps_64_vs_128</ipxact:displayName> + <ipxact:value>phase_steps_64</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_phase_steps_sel" type="string"> + <ipxact:name>pma_rx_odi_phase_steps_sel</ipxact:name> + <ipxact:displayName>pma_rx_odi_phase_steps_sel</ipxact:displayName> + <ipxact:value>step40</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_power_mode" type="string"> + <ipxact:name>pma_rx_odi_power_mode</ipxact:name> + <ipxact:displayName>pma_rx_odi_power_mode</ipxact:displayName> + <ipxact:value>mid_power</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_sup_mode" type="string"> + <ipxact:name>pma_rx_odi_sup_mode</ipxact:name> + <ipxact:displayName>pma_rx_odi_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_v_vert_threshold_scaling" type="string"> + <ipxact:name>pma_rx_odi_v_vert_threshold_scaling</ipxact:name> + <ipxact:displayName>pma_rx_odi_v_vert_threshold_scaling</ipxact:displayName> + <ipxact:value>scale_3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_vert_threshold" type="string"> + <ipxact:name>pma_rx_odi_vert_threshold</ipxact:name> + <ipxact:displayName>pma_rx_odi_vert_threshold</ipxact:displayName> + <ipxact:value>vert_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_oc_sa_c0" type="int"> + <ipxact:name>pma_rx_odi_oc_sa_c0</ipxact:name> + <ipxact:displayName>pma_rx_odi_oc_sa_c0</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_initial_settings" type="string"> + <ipxact:name>pma_rx_odi_initial_settings</ipxact:name> + <ipxact:displayName>pma_rx_odi_initial_settings</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_v_vert_sel" type="string"> + <ipxact:name>pma_rx_odi_v_vert_sel</ipxact:name> + <ipxact:displayName>pma_rx_odi_v_vert_sel</ipxact:displayName> + <ipxact:value>plus</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_sel_oc_en" type="string"> + <ipxact:name>pma_rx_odi_sel_oc_en</ipxact:name> + <ipxact:displayName>pma_rx_odi_sel_oc_en</ipxact:displayName> + <ipxact:value>off_canc_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_clk_dcd_bypass" type="string"> + <ipxact:name>pma_rx_odi_clk_dcd_bypass</ipxact:name> + <ipxact:displayName>pma_rx_odi_clk_dcd_bypass</ipxact:displayName> + <ipxact:value>no_bypass</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_invert_dfe_vref" type="string"> + <ipxact:name>pma_rx_odi_invert_dfe_vref</ipxact:name> + <ipxact:displayName>pma_rx_odi_invert_dfe_vref</ipxact:displayName> + <ipxact:value>no_inversion</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_step_ctrl_sel" type="string"> + <ipxact:name>pma_rx_odi_step_ctrl_sel</ipxact:name> + <ipxact:displayName>pma_rx_odi_step_ctrl_sel</ipxact:displayName> + <ipxact:value>dprio_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_prot_mode" type="string"> + <ipxact:name>pma_rx_odi_prot_mode</ipxact:name> + <ipxact:displayName>pma_rx_odi_prot_mode</ipxact:displayName> + <ipxact:value>basic_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_oc_sa_c180" type="int"> + <ipxact:name>pma_rx_odi_oc_sa_c180</ipxact:name> + <ipxact:displayName>pma_rx_odi_oc_sa_c180</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_silicon_rev" type="string"> + <ipxact:name>pma_rx_buf_silicon_rev</ipxact:name> + <ipxact:displayName>pma_rx_buf_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_bypass_eqz_stages_234" type="string"> + <ipxact:name>pma_rx_buf_bypass_eqz_stages_234</ipxact:name> + <ipxact:displayName>pma_rx_buf_bypass_eqz_stages_234</ipxact:displayName> + <ipxact:value>bypass_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_cdrclk_to_cgb" type="string"> + <ipxact:name>pma_rx_buf_cdrclk_to_cgb</ipxact:name> + <ipxact:displayName>pma_rx_buf_cdrclk_to_cgb</ipxact:displayName> + <ipxact:value>cdrclk_2cgb_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_datarate" type="string"> + <ipxact:name>pma_rx_buf_datarate</ipxact:name> + <ipxact:displayName>pma_rx_buf_datarate</ipxact:displayName> + <ipxact:value>10312500000 bps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_diag_lp_en" type="string"> + <ipxact:name>pma_rx_buf_diag_lp_en</ipxact:name> + <ipxact:displayName>pma_rx_buf_diag_lp_en</ipxact:displayName> + <ipxact:value>dlp_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_eq_bw_sel" type="string"> + <ipxact:name>pma_rx_buf_eq_bw_sel</ipxact:name> + <ipxact:displayName>pma_rx_buf_eq_bw_sel</ipxact:displayName> + <ipxact:value>eq_bw_3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_input_vcm_sel" type="string"> + <ipxact:name>pma_rx_buf_input_vcm_sel</ipxact:name> + <ipxact:displayName>pma_rx_buf_input_vcm_sel</ipxact:displayName> + <ipxact:value>high_vcm</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_link_rx" type="string"> + <ipxact:name>pma_rx_buf_link_rx</ipxact:name> + <ipxact:displayName>pma_rx_buf_link_rx</ipxact:displayName> + <ipxact:value>sr</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_offset_cal_pd" type="string"> + <ipxact:name>pma_rx_buf_offset_cal_pd</ipxact:name> + <ipxact:displayName>pma_rx_buf_offset_cal_pd</ipxact:displayName> + <ipxact:value>eqz1_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_offset_cancellation_ctrl" type="string"> + <ipxact:name>pma_rx_buf_offset_cancellation_ctrl</ipxact:name> + <ipxact:displayName>pma_rx_buf_offset_cancellation_ctrl</ipxact:displayName> + <ipxact:value>volt_0mv</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_offset_pd" type="string"> + <ipxact:name>pma_rx_buf_offset_pd</ipxact:name> + <ipxact:displayName>pma_rx_buf_offset_pd</ipxact:displayName> + <ipxact:value>oc_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_optimal" type="string"> + <ipxact:name>pma_rx_buf_optimal</ipxact:name> + <ipxact:displayName>pma_rx_buf_optimal</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_pdb_rx" type="string"> + <ipxact:name>pma_rx_buf_pdb_rx</ipxact:name> + <ipxact:displayName>pma_rx_buf_pdb_rx</ipxact:displayName> + <ipxact:value>normal_rx_on</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_power_mode_rx" type="string"> + <ipxact:name>pma_rx_buf_power_mode_rx</ipxact:name> + <ipxact:displayName>pma_rx_buf_power_mode_rx</ipxact:displayName> + <ipxact:value>mid_power</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_prot_mode" type="string"> + <ipxact:name>pma_rx_buf_prot_mode</ipxact:name> + <ipxact:displayName>pma_rx_buf_prot_mode</ipxact:displayName> + <ipxact:value>basic_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_qpi_enable" type="string"> + <ipxact:name>pma_rx_buf_qpi_enable</ipxact:name> + <ipxact:displayName>pma_rx_buf_qpi_enable</ipxact:displayName> + <ipxact:value>non_qpi_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_rx_atb_select" type="string"> + <ipxact:name>pma_rx_buf_rx_atb_select</ipxact:name> + <ipxact:displayName>pma_rx_buf_rx_atb_select</ipxact:displayName> + <ipxact:value>atb_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_rx_refclk_divider" type="string"> + <ipxact:name>pma_rx_buf_rx_refclk_divider</ipxact:name> + <ipxact:displayName>pma_rx_buf_rx_refclk_divider</ipxact:displayName> + <ipxact:value>bypass_divider</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_rx_sel_bias_source" type="string"> + <ipxact:name>pma_rx_buf_rx_sel_bias_source</ipxact:name> + <ipxact:displayName>pma_rx_buf_rx_sel_bias_source</ipxact:displayName> + <ipxact:value>bias_vcmdrv</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_sup_mode" type="string"> + <ipxact:name>pma_rx_buf_sup_mode</ipxact:name> + <ipxact:displayName>pma_rx_buf_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_term_sel" type="string"> + <ipxact:name>pma_rx_buf_term_sel</ipxact:name> + <ipxact:displayName>pma_rx_buf_term_sel</ipxact:displayName> + <ipxact:value>r_r1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_vccela_supply_voltage" type="string"> + <ipxact:name>pma_rx_buf_vccela_supply_voltage</ipxact:name> + <ipxact:displayName>pma_rx_buf_vccela_supply_voltage</ipxact:displayName> + <ipxact:value>vccela_1p1v</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_vcm_current_add" type="string"> + <ipxact:name>pma_rx_buf_vcm_current_add</ipxact:name> + <ipxact:displayName>pma_rx_buf_vcm_current_add</ipxact:displayName> + <ipxact:value>vcm_current_default</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_vcm_sel" type="string"> + <ipxact:name>pma_rx_buf_vcm_sel</ipxact:name> + <ipxact:displayName>pma_rx_buf_vcm_sel</ipxact:displayName> + <ipxact:value>vcm_setting_03</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_eq_dc_gain_trim" type="string"> + <ipxact:name>pma_rx_buf_eq_dc_gain_trim</ipxact:name> + <ipxact:displayName>pma_rx_buf_eq_dc_gain_trim</ipxact:displayName> + <ipxact:value>stg2_gain7</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_offset_cancellation_coarse" type="string"> + <ipxact:name>pma_rx_buf_offset_cancellation_coarse</ipxact:name> + <ipxact:displayName>pma_rx_buf_offset_cancellation_coarse</ipxact:displayName> + <ipxact:value>coarse_setting_00</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_bodybias_select" type="string"> + <ipxact:name>pma_rx_buf_bodybias_select</ipxact:name> + <ipxact:displayName>pma_rx_buf_bodybias_select</ipxact:displayName> + <ipxact:value>bodybias_sel1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_bodybias_enable" type="string"> + <ipxact:name>pma_rx_buf_bodybias_enable</ipxact:name> + <ipxact:displayName>pma_rx_buf_bodybias_enable</ipxact:displayName> + <ipxact:value>bodybias_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_offset_cancellation_fine" type="string"> + <ipxact:name>pma_rx_buf_offset_cancellation_fine</ipxact:name> + <ipxact:displayName>pma_rx_buf_offset_cancellation_fine</ipxact:displayName> + <ipxact:value>fine_setting_00</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_act_isource_disable" type="string"> + <ipxact:name>pma_rx_buf_act_isource_disable</ipxact:name> + <ipxact:displayName>pma_rx_buf_act_isource_disable</ipxact:displayName> + <ipxact:value>isrc_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_one_stage_enable" type="string"> + <ipxact:name>pma_rx_buf_one_stage_enable</ipxact:name> + <ipxact:displayName>pma_rx_buf_one_stage_enable</ipxact:displayName> + <ipxact:value>s1_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_loopback_modes" type="string"> + <ipxact:name>pma_rx_buf_loopback_modes</ipxact:name> + <ipxact:displayName>pma_rx_buf_loopback_modes</ipxact:displayName> + <ipxact:value>lpbk_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_lfeq_zero_control" type="string"> + <ipxact:name>pma_rx_buf_lfeq_zero_control</ipxact:name> + <ipxact:displayName>pma_rx_buf_lfeq_zero_control</ipxact:displayName> + <ipxact:value>lfeq_setting_2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_initial_settings" type="string"> + <ipxact:name>pma_rx_buf_initial_settings</ipxact:name> + <ipxact:displayName>pma_rx_buf_initial_settings</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_lfeq_enable" type="string"> + <ipxact:name>pma_rx_buf_lfeq_enable</ipxact:name> + <ipxact:displayName>pma_rx_buf_lfeq_enable</ipxact:displayName> + <ipxact:value>non_lfeq_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_term_tri_enable" type="string"> + <ipxact:name>pma_rx_buf_term_tri_enable</ipxact:name> + <ipxact:displayName>pma_rx_buf_term_tri_enable</ipxact:displayName> + <ipxact:value>disable_tri</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_vga_bandwidth_select" type="string"> + <ipxact:name>pma_rx_buf_vga_bandwidth_select</ipxact:name> + <ipxact:displayName>pma_rx_buf_vga_bandwidth_select</ipxact:displayName> + <ipxact:value>vga_bw_1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_refclk_en" type="string"> + <ipxact:name>pma_rx_buf_refclk_en</ipxact:name> + <ipxact:displayName>pma_rx_buf_refclk_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_cgm_bias_disable" type="string"> + <ipxact:name>pma_rx_buf_cgm_bias_disable</ipxact:name> + <ipxact:displayName>pma_rx_buf_cgm_bias_disable</ipxact:displayName> + <ipxact:value>cgmbias_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_pm_tx_rx_pcie_gen" type="string"> + <ipxact:name>pma_rx_buf_pm_tx_rx_pcie_gen</ipxact:name> + <ipxact:displayName>pma_rx_buf_pm_tx_rx_pcie_gen</ipxact:displayName> + <ipxact:value>non_pcie</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_pm_tx_rx_pcie_gen_bitwidth" type="string"> + <ipxact:name>pma_rx_buf_pm_tx_rx_pcie_gen_bitwidth</ipxact:name> + <ipxact:displayName>pma_rx_buf_pm_tx_rx_pcie_gen_bitwidth</ipxact:displayName> + <ipxact:value>pcie_gen3_32b</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_pm_tx_rx_cvp_mode" type="string"> + <ipxact:name>pma_rx_buf_pm_tx_rx_cvp_mode</ipxact:name> + <ipxact:displayName>pma_rx_buf_pm_tx_rx_cvp_mode</ipxact:displayName> + <ipxact:value>cvp_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_pm_tx_rx_testmux_select" type="string"> + <ipxact:name>pma_rx_buf_pm_tx_rx_testmux_select</ipxact:name> + <ipxact:displayName>pma_rx_buf_pm_tx_rx_testmux_select</ipxact:displayName> + <ipxact:value>setting0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_xrx_path_jtag_hys" type="string"> + <ipxact:name>pma_rx_buf_xrx_path_jtag_hys</ipxact:name> + <ipxact:displayName>pma_rx_buf_xrx_path_jtag_hys</ipxact:displayName> + <ipxact:value>hys_increase_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_xrx_path_jtag_lp" type="string"> + <ipxact:name>pma_rx_buf_xrx_path_jtag_lp</ipxact:name> + <ipxact:displayName>pma_rx_buf_xrx_path_jtag_lp</ipxact:displayName> + <ipxact:value>lp_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_xrx_path_uc_rx_rstb" type="string"> + <ipxact:name>pma_rx_buf_xrx_path_uc_rx_rstb</ipxact:name> + <ipxact:displayName>pma_rx_buf_xrx_path_uc_rx_rstb</ipxact:displayName> + <ipxact:value>rx_reset_on</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_xrx_path_uc_pcie_sw" type="string"> + <ipxact:name>pma_rx_buf_xrx_path_uc_pcie_sw</ipxact:name> + <ipxact:displayName>pma_rx_buf_xrx_path_uc_pcie_sw</ipxact:displayName> + <ipxact:value>uc_pcie_gen1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_xrx_path_uc_cal_enable" type="string"> + <ipxact:name>pma_rx_buf_xrx_path_uc_cal_enable</ipxact:name> + <ipxact:displayName>pma_rx_buf_xrx_path_uc_cal_enable</ipxact:displayName> + <ipxact:value>rx_cal_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_xrx_path_uc_cru_rstb" type="string"> + <ipxact:name>pma_rx_buf_xrx_path_uc_cru_rstb</ipxact:name> + <ipxact:displayName>pma_rx_buf_xrx_path_uc_cru_rstb</ipxact:displayName> + <ipxact:value>cdr_lf_reset_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_xrx_path_sup_mode" type="string"> + <ipxact:name>pma_rx_buf_xrx_path_sup_mode</ipxact:name> + <ipxact:displayName>pma_rx_buf_xrx_path_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_power_rail_er" type="int"> + <ipxact:name>pma_rx_buf_power_rail_er</ipxact:name> + <ipxact:displayName>pma_rx_buf_power_rail_er</ipxact:displayName> + <ipxact:value>1030</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_power_rail_eht" type="int"> + <ipxact:name>pma_rx_buf_power_rail_eht</ipxact:name> + <ipxact:displayName>pma_rx_buf_power_rail_eht</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_xrx_path_gt_enabled" type="string"> + <ipxact:name>pma_rx_buf_xrx_path_gt_enabled</ipxact:name> + <ipxact:displayName>pma_rx_buf_xrx_path_gt_enabled</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_xrx_path_analog_mode" type="string"> + <ipxact:name>pma_rx_buf_xrx_path_analog_mode</ipxact:name> + <ipxact:displayName>pma_rx_buf_xrx_path_analog_mode</ipxact:displayName> + <ipxact:value>user_custom</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_xrx_path_prot_mode" type="string"> + <ipxact:name>pma_rx_buf_xrx_path_prot_mode</ipxact:name> + <ipxact:displayName>pma_rx_buf_xrx_path_prot_mode</ipxact:displayName> + <ipxact:value>basic_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_pm_speed_grade" type="string"> + <ipxact:name>pma_rx_buf_pm_speed_grade</ipxact:name> + <ipxact:displayName>pma_rx_buf_pm_speed_grade</ipxact:displayName> + <ipxact:value>e3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_power_mode" type="string"> + <ipxact:name>pma_rx_buf_power_mode</ipxact:name> + <ipxact:displayName>pma_rx_buf_power_mode</ipxact:displayName> + <ipxact:value>mid_power</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_iostandard" type="string"> + <ipxact:name>pma_rx_buf_iostandard</ipxact:name> + <ipxact:displayName>pma_rx_buf_iostandard</ipxact:displayName> + <ipxact:value>hssi_diffio</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_xrx_path_datarate" type="string"> + <ipxact:name>pma_rx_buf_xrx_path_datarate</ipxact:name> + <ipxact:displayName>pma_rx_buf_xrx_path_datarate</ipxact:displayName> + <ipxact:value>10312500000 bps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_xrx_path_datawidth" type="int"> + <ipxact:name>pma_rx_buf_xrx_path_datawidth</ipxact:name> + <ipxact:displayName>pma_rx_buf_xrx_path_datawidth</ipxact:displayName> + <ipxact:value>32</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_xrx_path_pma_rx_divclk_hz" type="string"> + <ipxact:name>pma_rx_buf_xrx_path_pma_rx_divclk_hz</ipxact:name> + <ipxact:displayName>pma_rx_buf_xrx_path_pma_rx_divclk_hz</ipxact:displayName> + <ipxact:value>322265625</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_xrx_path_optimal" type="string"> + <ipxact:name>pma_rx_buf_xrx_path_optimal</ipxact:name> + <ipxact:displayName>pma_rx_buf_xrx_path_optimal</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_link" type="string"> + <ipxact:name>pma_rx_buf_link</ipxact:name> + <ipxact:displayName>pma_rx_buf_link</ipxact:displayName> + <ipxact:value>sr</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_xrx_path_initial_settings" type="string"> + <ipxact:name>pma_rx_buf_xrx_path_initial_settings</ipxact:name> + <ipxact:displayName>pma_rx_buf_xrx_path_initial_settings</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_rx_vga_oc_en" type="string"> + <ipxact:name>pma_rx_buf_rx_vga_oc_en</ipxact:name> + <ipxact:displayName>pma_rx_buf_rx_vga_oc_en</ipxact:displayName> + <ipxact:value>vga_cal_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_sd_silicon_rev" type="string"> + <ipxact:name>pma_rx_sd_silicon_rev</ipxact:name> + <ipxact:displayName>pma_rx_sd_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_sd_link" type="string"> + <ipxact:name>pma_rx_sd_link</ipxact:name> + <ipxact:displayName>pma_rx_sd_link</ipxact:displayName> + <ipxact:value>sr</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_sd_optimal" type="string"> + <ipxact:name>pma_rx_sd_optimal</ipxact:name> + <ipxact:displayName>pma_rx_sd_optimal</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_sd_power_mode" type="string"> + <ipxact:name>pma_rx_sd_power_mode</ipxact:name> + <ipxact:displayName>pma_rx_sd_power_mode</ipxact:displayName> + <ipxact:value>mid_power</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_sd_prot_mode" type="string"> + <ipxact:name>pma_rx_sd_prot_mode</ipxact:name> + <ipxact:displayName>pma_rx_sd_prot_mode</ipxact:displayName> + <ipxact:value>basic_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_sd_sd_output_off" type="int"> + <ipxact:name>pma_rx_sd_sd_output_off</ipxact:name> + <ipxact:displayName>pma_rx_sd_sd_output_off</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_sd_sd_output_on" type="int"> + <ipxact:name>pma_rx_sd_sd_output_on</ipxact:name> + <ipxact:displayName>pma_rx_sd_sd_output_on</ipxact:displayName> + <ipxact:value>15</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_sd_sd_pdb" type="string"> + <ipxact:name>pma_rx_sd_sd_pdb</ipxact:name> + <ipxact:displayName>pma_rx_sd_sd_pdb</ipxact:displayName> + <ipxact:value>sd_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_sd_sd_threshold" type="string"> + <ipxact:name>pma_rx_sd_sd_threshold</ipxact:name> + <ipxact:displayName>pma_rx_sd_sd_threshold</ipxact:displayName> + <ipxact:value>sdlv_3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_sd_sup_mode" type="string"> + <ipxact:name>pma_rx_sd_sup_mode</ipxact:name> + <ipxact:displayName>pma_rx_sd_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_ser_silicon_rev" type="string"> + <ipxact:name>pma_tx_ser_silicon_rev</ipxact:name> + <ipxact:displayName>pma_tx_ser_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_ser_clk_divtx_deskew" type="string"> + <ipxact:name>pma_tx_ser_clk_divtx_deskew</ipxact:name> + <ipxact:displayName>pma_tx_ser_clk_divtx_deskew</ipxact:displayName> + <ipxact:value>deskew_delay8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_ser_control_clk_divtx" type="string"> + <ipxact:name>pma_tx_ser_control_clk_divtx</ipxact:name> + <ipxact:displayName>pma_tx_ser_control_clk_divtx</ipxact:displayName> + <ipxact:value>no_dft_control_clkdivtx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_ser_duty_cycle_correction_mode_ctrl" type="string"> + <ipxact:name>pma_tx_ser_duty_cycle_correction_mode_ctrl</ipxact:name> + <ipxact:displayName>pma_tx_ser_duty_cycle_correction_mode_ctrl</ipxact:displayName> + <ipxact:value>dcc_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_ser_ser_clk_divtx_user_sel" type="string"> + <ipxact:name>pma_tx_ser_ser_clk_divtx_user_sel</ipxact:name> + <ipxact:displayName>pma_tx_ser_ser_clk_divtx_user_sel</ipxact:displayName> + <ipxact:value>divtx_user_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_ser_ser_clk_mon" type="string"> + <ipxact:name>pma_tx_ser_ser_clk_mon</ipxact:name> + <ipxact:displayName>pma_tx_ser_ser_clk_mon</ipxact:displayName> + <ipxact:value>disable_clk_mon</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_ser_ser_powerdown" type="string"> + <ipxact:name>pma_tx_ser_ser_powerdown</ipxact:name> + <ipxact:displayName>pma_tx_ser_ser_powerdown</ipxact:displayName> + <ipxact:value>normal_poweron_ser</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_ser_sup_mode" type="string"> + <ipxact:name>pma_tx_ser_sup_mode</ipxact:name> + <ipxact:displayName>pma_tx_ser_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_ser_initial_settings" type="string"> + <ipxact:name>pma_tx_ser_initial_settings</ipxact:name> + <ipxact:displayName>pma_tx_ser_initial_settings</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_ser_prot_mode" type="string"> + <ipxact:name>pma_tx_ser_prot_mode</ipxact:name> + <ipxact:displayName>pma_tx_ser_prot_mode</ipxact:displayName> + <ipxact:value>basic_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_ser_bonding_mode" type="string"> + <ipxact:name>pma_tx_ser_bonding_mode</ipxact:name> + <ipxact:displayName>pma_tx_ser_bonding_mode</ipxact:displayName> + <ipxact:value>x1_non_bonded</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_silicon_rev" type="string"> + <ipxact:name>pma_tx_buf_silicon_rev</ipxact:name> + <ipxact:displayName>pma_tx_buf_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_datarate" type="string"> + <ipxact:name>pma_tx_buf_datarate</ipxact:name> + <ipxact:displayName>pma_tx_buf_datarate</ipxact:displayName> + <ipxact:value>10312500000 bps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_dft_sel" type="string"> + <ipxact:name>pma_tx_buf_dft_sel</ipxact:name> + <ipxact:displayName>pma_tx_buf_dft_sel</ipxact:displayName> + <ipxact:value>dft_disabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_duty_cycle_correction_bandwidth" type="string"> + <ipxact:name>pma_tx_buf_duty_cycle_correction_bandwidth</ipxact:name> + <ipxact:displayName>pma_tx_buf_duty_cycle_correction_bandwidth</ipxact:displayName> + <ipxact:value>dcc_bw_12</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_duty_cycle_correction_mode_ctrl" type="string"> + <ipxact:name>pma_tx_buf_duty_cycle_correction_mode_ctrl</ipxact:name> + <ipxact:displayName>pma_tx_buf_duty_cycle_correction_mode_ctrl</ipxact:displayName> + <ipxact:value>dcc_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_duty_cycle_input_polarity" type="string"> + <ipxact:name>pma_tx_buf_duty_cycle_input_polarity</ipxact:name> + <ipxact:displayName>pma_tx_buf_duty_cycle_input_polarity</ipxact:displayName> + <ipxact:value>dcc_input_pos</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_duty_cycle_setting" type="string"> + <ipxact:name>pma_tx_buf_duty_cycle_setting</ipxact:name> + <ipxact:displayName>pma_tx_buf_duty_cycle_setting</ipxact:displayName> + <ipxact:value>dcc_t32</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_duty_cycle_setting_aux" type="string"> + <ipxact:name>pma_tx_buf_duty_cycle_setting_aux</ipxact:name> + <ipxact:displayName>pma_tx_buf_duty_cycle_setting_aux</ipxact:displayName> + <ipxact:value>dcc2_t32</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_jtag_drv_sel" type="string"> + <ipxact:name>pma_tx_buf_jtag_drv_sel</ipxact:name> + <ipxact:displayName>pma_tx_buf_jtag_drv_sel</ipxact:displayName> + <ipxact:value>drv1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_jtag_lp" type="string"> + <ipxact:name>pma_tx_buf_jtag_lp</ipxact:name> + <ipxact:displayName>pma_tx_buf_jtag_lp</ipxact:displayName> + <ipxact:value>lp_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_link_tx" type="string"> + <ipxact:name>pma_tx_buf_link_tx</ipxact:name> + <ipxact:displayName>pma_tx_buf_link_tx</ipxact:displayName> + <ipxact:value>sr</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_lst" type="string"> + <ipxact:name>pma_tx_buf_lst</ipxact:name> + <ipxact:displayName>pma_tx_buf_lst</ipxact:displayName> + <ipxact:value>atb_disabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_optimal" type="string"> + <ipxact:name>pma_tx_buf_optimal</ipxact:name> + <ipxact:displayName>pma_tx_buf_optimal</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_pre_emp_sign_1st_post_tap" type="string"> + <ipxact:name>pma_tx_buf_pre_emp_sign_1st_post_tap</ipxact:name> + <ipxact:displayName>pma_tx_buf_pre_emp_sign_1st_post_tap</ipxact:displayName> + <ipxact:value>fir_post_1t_neg</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_pre_emp_sign_2nd_post_tap" type="string"> + <ipxact:name>pma_tx_buf_pre_emp_sign_2nd_post_tap</ipxact:name> + <ipxact:displayName>pma_tx_buf_pre_emp_sign_2nd_post_tap</ipxact:displayName> + <ipxact:value>fir_post_2t_neg</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_pre_emp_sign_pre_tap_1t" type="string"> + <ipxact:name>pma_tx_buf_pre_emp_sign_pre_tap_1t</ipxact:name> + <ipxact:displayName>pma_tx_buf_pre_emp_sign_pre_tap_1t</ipxact:displayName> + <ipxact:value>fir_pre_1t_neg</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_pre_emp_sign_pre_tap_2t" type="string"> + <ipxact:name>pma_tx_buf_pre_emp_sign_pre_tap_2t</ipxact:name> + <ipxact:displayName>pma_tx_buf_pre_emp_sign_pre_tap_2t</ipxact:displayName> + <ipxact:value>fir_pre_2t_neg</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_pre_emp_switching_ctrl_1st_post_tap" type="int"> + <ipxact:name>pma_tx_buf_pre_emp_switching_ctrl_1st_post_tap</ipxact:name> + <ipxact:displayName>pma_tx_buf_pre_emp_switching_ctrl_1st_post_tap</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_pre_emp_switching_ctrl_2nd_post_tap" type="int"> + <ipxact:name>pma_tx_buf_pre_emp_switching_ctrl_2nd_post_tap</ipxact:name> + <ipxact:displayName>pma_tx_buf_pre_emp_switching_ctrl_2nd_post_tap</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_pre_emp_switching_ctrl_pre_tap_1t" type="int"> + <ipxact:name>pma_tx_buf_pre_emp_switching_ctrl_pre_tap_1t</ipxact:name> + <ipxact:displayName>pma_tx_buf_pre_emp_switching_ctrl_pre_tap_1t</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_pre_emp_switching_ctrl_pre_tap_2t" type="int"> + <ipxact:name>pma_tx_buf_pre_emp_switching_ctrl_pre_tap_2t</ipxact:name> + <ipxact:displayName>pma_tx_buf_pre_emp_switching_ctrl_pre_tap_2t</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_prot_mode" type="string"> + <ipxact:name>pma_tx_buf_prot_mode</ipxact:name> + <ipxact:displayName>pma_tx_buf_prot_mode</ipxact:displayName> + <ipxact:value>basic_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_rx_det" type="string"> + <ipxact:name>pma_tx_buf_rx_det</ipxact:name> + <ipxact:displayName>pma_tx_buf_rx_det</ipxact:displayName> + <ipxact:value>mode_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_rx_det_output_sel" type="string"> + <ipxact:name>pma_tx_buf_rx_det_output_sel</ipxact:name> + <ipxact:displayName>pma_tx_buf_rx_det_output_sel</ipxact:displayName> + <ipxact:value>rx_det_pcie_out</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_rx_det_pdb" type="string"> + <ipxact:name>pma_tx_buf_rx_det_pdb</ipxact:name> + <ipxact:displayName>pma_tx_buf_rx_det_pdb</ipxact:displayName> + <ipxact:value>rx_det_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_slew_rate_ctrl" type="string"> + <ipxact:name>pma_tx_buf_slew_rate_ctrl</ipxact:name> + <ipxact:displayName>pma_tx_buf_slew_rate_ctrl</ipxact:displayName> + <ipxact:value>slew_r5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_sup_mode" type="string"> + <ipxact:name>pma_tx_buf_sup_mode</ipxact:name> + <ipxact:displayName>pma_tx_buf_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_term_code" type="string"> + <ipxact:name>pma_tx_buf_term_code</ipxact:name> + <ipxact:displayName>pma_tx_buf_term_code</ipxact:displayName> + <ipxact:value>rterm_code7</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_term_sel" type="string"> + <ipxact:name>pma_tx_buf_term_sel</ipxact:name> + <ipxact:displayName>pma_tx_buf_term_sel</ipxact:displayName> + <ipxact:value>r_r1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_tx_powerdown" type="string"> + <ipxact:name>pma_tx_buf_tx_powerdown</ipxact:name> + <ipxact:displayName>pma_tx_buf_tx_powerdown</ipxact:displayName> + <ipxact:value>normal_tx_on</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_user_fir_coeff_ctrl_sel" type="string"> + <ipxact:name>pma_tx_buf_user_fir_coeff_ctrl_sel</ipxact:name> + <ipxact:displayName>pma_tx_buf_user_fir_coeff_ctrl_sel</ipxact:displayName> + <ipxact:value>ram_ctl</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_vod_output_swing_ctrl" type="int"> + <ipxact:name>pma_tx_buf_vod_output_swing_ctrl</ipxact:name> + <ipxact:displayName>pma_tx_buf_vod_output_swing_ctrl</ipxact:displayName> + <ipxact:value>31</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_initial_settings" type="string"> + <ipxact:name>pma_tx_buf_initial_settings</ipxact:name> + <ipxact:displayName>pma_tx_buf_initial_settings</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_duty_cycle_correction_reference2" type="string"> + <ipxact:name>pma_tx_buf_duty_cycle_correction_reference2</ipxact:name> + <ipxact:displayName>pma_tx_buf_duty_cycle_correction_reference2</ipxact:displayName> + <ipxact:value>dcc_ref2_3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_ser_powerdown" type="string"> + <ipxact:name>pma_tx_buf_ser_powerdown</ipxact:name> + <ipxact:displayName>pma_tx_buf_ser_powerdown</ipxact:displayName> + <ipxact:value>normal_ser_on</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_swing_level" type="string"> + <ipxact:name>pma_tx_buf_swing_level</ipxact:name> + <ipxact:displayName>pma_tx_buf_swing_level</ipxact:displayName> + <ipxact:value>lv</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_vreg_output" type="string"> + <ipxact:name>pma_tx_buf_vreg_output</ipxact:name> + <ipxact:displayName>pma_tx_buf_vreg_output</ipxact:displayName> + <ipxact:value>vccdreg_nominal</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_duty_cycle_correction_reference1" type="string"> + <ipxact:name>pma_tx_buf_duty_cycle_correction_reference1</ipxact:name> + <ipxact:displayName>pma_tx_buf_duty_cycle_correction_reference1</ipxact:displayName> + <ipxact:value>dcc_ref1_3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_duty_cycle_correction_reset_n" type="string"> + <ipxact:name>pma_tx_buf_duty_cycle_correction_reset_n</ipxact:name> + <ipxact:displayName>pma_tx_buf_duty_cycle_correction_reset_n</ipxact:displayName> + <ipxact:value>reset_n</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_res_cal_local" type="string"> + <ipxact:name>pma_tx_buf_res_cal_local</ipxact:name> + <ipxact:displayName>pma_tx_buf_res_cal_local</ipxact:displayName> + <ipxact:value>non_local</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_term_n_tune" type="string"> + <ipxact:name>pma_tx_buf_term_n_tune</ipxact:name> + <ipxact:displayName>pma_tx_buf_term_n_tune</ipxact:displayName> + <ipxact:value>rterm_n0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_cpen_ctrl" type="string"> + <ipxact:name>pma_tx_buf_cpen_ctrl</ipxact:name> + <ipxact:displayName>pma_tx_buf_cpen_ctrl</ipxact:displayName> + <ipxact:value>cp_l0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_term_p_tune" type="string"> + <ipxact:name>pma_tx_buf_term_p_tune</ipxact:name> + <ipxact:displayName>pma_tx_buf_term_p_tune</ipxact:displayName> + <ipxact:value>rterm_p0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_calibration_en" type="string"> + <ipxact:name>pma_tx_buf_calibration_en</ipxact:name> + <ipxact:displayName>pma_tx_buf_calibration_en</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_low_power_en" type="string"> + <ipxact:name>pma_tx_buf_low_power_en</ipxact:name> + <ipxact:displayName>pma_tx_buf_low_power_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_compensation_en" type="string"> + <ipxact:name>pma_tx_buf_compensation_en</ipxact:name> + <ipxact:displayName>pma_tx_buf_compensation_en</ipxact:displayName> + <ipxact:value>enable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_dcd_detection_en" type="string"> + <ipxact:name>pma_tx_buf_dcd_detection_en</ipxact:name> + <ipxact:displayName>pma_tx_buf_dcd_detection_en</ipxact:displayName> + <ipxact:value>enable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_uc_txvod_cal" type="string"> + <ipxact:name>pma_tx_buf_uc_txvod_cal</ipxact:name> + <ipxact:displayName>pma_tx_buf_uc_txvod_cal</ipxact:displayName> + <ipxact:value>uc_tx_vod_cal_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_uc_txvod_cal_cont" type="string"> + <ipxact:name>pma_tx_buf_uc_txvod_cal_cont</ipxact:name> + <ipxact:displayName>pma_tx_buf_uc_txvod_cal_cont</ipxact:displayName> + <ipxact:value>uc_tx_vod_cal_cont_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_uc_skew_cal" type="string"> + <ipxact:name>pma_tx_buf_uc_skew_cal</ipxact:name> + <ipxact:displayName>pma_tx_buf_uc_skew_cal</ipxact:displayName> + <ipxact:value>uc_skew_cal_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_uc_dcd_cal" type="string"> + <ipxact:name>pma_tx_buf_uc_dcd_cal</ipxact:name> + <ipxact:displayName>pma_tx_buf_uc_dcd_cal</ipxact:displayName> + <ipxact:value>uc_dcd_cal_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_uc_txvod_cal_status" type="string"> + <ipxact:name>pma_tx_buf_uc_txvod_cal_status</ipxact:name> + <ipxact:displayName>pma_tx_buf_uc_txvod_cal_status</ipxact:displayName> + <ipxact:value>uc_tx_vod_cal_notdone</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_uc_skew_cal_status" type="string"> + <ipxact:name>pma_tx_buf_uc_skew_cal_status</ipxact:name> + <ipxact:displayName>pma_tx_buf_uc_skew_cal_status</ipxact:displayName> + <ipxact:value>uc_skew_cal_notdone</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_uc_dcd_cal_status" type="string"> + <ipxact:name>pma_tx_buf_uc_dcd_cal_status</ipxact:name> + <ipxact:displayName>pma_tx_buf_uc_dcd_cal_status</ipxact:displayName> + <ipxact:value>uc_dcd_cal_notdone</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_uc_gen3" type="string"> + <ipxact:name>pma_tx_buf_uc_gen3</ipxact:name> + <ipxact:displayName>pma_tx_buf_uc_gen3</ipxact:displayName> + <ipxact:value>gen3_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_uc_gen4" type="string"> + <ipxact:name>pma_tx_buf_uc_gen4</ipxact:name> + <ipxact:displayName>pma_tx_buf_uc_gen4</ipxact:displayName> + <ipxact:value>gen4_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_uc_vcc_setting" type="string"> + <ipxact:name>pma_tx_buf_uc_vcc_setting</ipxact:name> + <ipxact:displayName>pma_tx_buf_uc_vcc_setting</ipxact:displayName> + <ipxact:value>vcc_setting1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_mcgb_location_for_pcie" type="int"> + <ipxact:name>pma_tx_buf_mcgb_location_for_pcie</ipxact:name> + <ipxact:displayName>pma_tx_buf_mcgb_location_for_pcie</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_xtx_path_prot_mode" type="string"> + <ipxact:name>pma_tx_buf_xtx_path_prot_mode</ipxact:name> + <ipxact:displayName>pma_tx_buf_xtx_path_prot_mode</ipxact:displayName> + <ipxact:value>basic_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_xtx_path_optimal" type="string"> + <ipxact:name>pma_tx_buf_xtx_path_optimal</ipxact:name> + <ipxact:displayName>pma_tx_buf_xtx_path_optimal</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_xtx_path_datarate" type="string"> + <ipxact:name>pma_tx_buf_xtx_path_datarate</ipxact:name> + <ipxact:displayName>pma_tx_buf_xtx_path_datarate</ipxact:displayName> + <ipxact:value>10312500000 bps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_xtx_path_datawidth" type="int"> + <ipxact:name>pma_tx_buf_xtx_path_datawidth</ipxact:name> + <ipxact:displayName>pma_tx_buf_xtx_path_datawidth</ipxact:displayName> + <ipxact:value>32</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_xtx_path_clock_divider_ratio" type="int"> + <ipxact:name>pma_tx_buf_xtx_path_clock_divider_ratio</ipxact:name> + <ipxact:displayName>pma_tx_buf_xtx_path_clock_divider_ratio</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_xtx_path_pma_tx_divclk_hz" type="string"> + <ipxact:name>pma_tx_buf_xtx_path_pma_tx_divclk_hz</ipxact:name> + <ipxact:displayName>pma_tx_buf_xtx_path_pma_tx_divclk_hz</ipxact:displayName> + <ipxact:value>322265625</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_xtx_path_tx_pll_clk_hz" type="string"> + <ipxact:name>pma_tx_buf_xtx_path_tx_pll_clk_hz</ipxact:name> + <ipxact:displayName>pma_tx_buf_xtx_path_tx_pll_clk_hz</ipxact:displayName> + <ipxact:value>5156250000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_link" type="string"> + <ipxact:name>pma_tx_buf_link</ipxact:name> + <ipxact:displayName>pma_tx_buf_link</ipxact:displayName> + <ipxact:value>sr</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_xtx_path_swing_level" type="string"> + <ipxact:name>pma_tx_buf_xtx_path_swing_level</ipxact:name> + <ipxact:displayName>pma_tx_buf_xtx_path_swing_level</ipxact:displayName> + <ipxact:value>lv</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_xtx_path_sup_mode" type="string"> + <ipxact:name>pma_tx_buf_xtx_path_sup_mode</ipxact:name> + <ipxact:displayName>pma_tx_buf_xtx_path_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_xtx_path_initial_settings" type="string"> + <ipxact:name>pma_tx_buf_xtx_path_initial_settings</ipxact:name> + <ipxact:displayName>pma_tx_buf_xtx_path_initial_settings</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_xtx_path_calibration_en" type="string"> + <ipxact:name>pma_tx_buf_xtx_path_calibration_en</ipxact:name> + <ipxact:displayName>pma_tx_buf_xtx_path_calibration_en</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_xtx_path_bonding_mode" type="string"> + <ipxact:name>pma_tx_buf_xtx_path_bonding_mode</ipxact:name> + <ipxact:displayName>pma_tx_buf_xtx_path_bonding_mode</ipxact:displayName> + <ipxact:value>x1_non_bonded</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_pm_speed_grade" type="string"> + <ipxact:name>pma_tx_buf_pm_speed_grade</ipxact:name> + <ipxact:displayName>pma_tx_buf_pm_speed_grade</ipxact:displayName> + <ipxact:value>e3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_power_mode" type="string"> + <ipxact:name>pma_tx_buf_power_mode</ipxact:name> + <ipxact:displayName>pma_tx_buf_power_mode</ipxact:displayName> + <ipxact:value>mid_power</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_power_rail_et" type="int"> + <ipxact:name>pma_tx_buf_power_rail_et</ipxact:name> + <ipxact:displayName>pma_tx_buf_power_rail_et</ipxact:displayName> + <ipxact:value>1030</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_power_rail_eht" type="int"> + <ipxact:name>pma_tx_buf_power_rail_eht</ipxact:name> + <ipxact:displayName>pma_tx_buf_power_rail_eht</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_xtx_path_gt_enabled" type="string"> + <ipxact:name>pma_tx_buf_xtx_path_gt_enabled</ipxact:name> + <ipxact:displayName>pma_tx_buf_xtx_path_gt_enabled</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_xtx_path_analog_mode" type="string"> + <ipxact:name>pma_tx_buf_xtx_path_analog_mode</ipxact:name> + <ipxact:displayName>pma_tx_buf_xtx_path_analog_mode</ipxact:displayName> + <ipxact:value>user_custom</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_compensation_driver_en" type="string"> + <ipxact:name>pma_tx_buf_compensation_driver_en</ipxact:name> + <ipxact:displayName>pma_tx_buf_compensation_driver_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_sense_amp_offset_cal_curr_p" type="int"> + <ipxact:name>pma_tx_buf_sense_amp_offset_cal_curr_p</ipxact:name> + <ipxact:displayName>pma_tx_buf_sense_amp_offset_cal_curr_p</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_chgpmp_current_dn_trim" type="string"> + <ipxact:name>pma_tx_buf_chgpmp_current_dn_trim</ipxact:name> + <ipxact:displayName>pma_tx_buf_chgpmp_current_dn_trim</ipxact:displayName> + <ipxact:value>cp_current_trimming_dn_setting0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_duty_cycle_correction_bandwidth_dn" type="string"> + <ipxact:name>pma_tx_buf_duty_cycle_correction_bandwidth_dn</ipxact:name> + <ipxact:displayName>pma_tx_buf_duty_cycle_correction_bandwidth_dn</ipxact:displayName> + <ipxact:value>dcd_bw_dn_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_sense_amp_offset_cal_curr_n" type="string"> + <ipxact:name>pma_tx_buf_sense_amp_offset_cal_curr_n</ipxact:name> + <ipxact:displayName>pma_tx_buf_sense_amp_offset_cal_curr_n</ipxact:displayName> + <ipxact:value>sa_os_cal_in_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_chgpmp_current_up_trim" type="string"> + <ipxact:name>pma_tx_buf_chgpmp_current_up_trim</ipxact:name> + <ipxact:displayName>pma_tx_buf_chgpmp_current_up_trim</ipxact:displayName> + <ipxact:value>cp_current_trimming_up_setting0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_chgpmp_up_trim_double" type="string"> + <ipxact:name>pma_tx_buf_chgpmp_up_trim_double</ipxact:name> + <ipxact:displayName>pma_tx_buf_chgpmp_up_trim_double</ipxact:displayName> + <ipxact:value>normal_up_trim_current</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_duty_cycle_cp_comp_en" type="string"> + <ipxact:name>pma_tx_buf_duty_cycle_cp_comp_en</ipxact:name> + <ipxact:displayName>pma_tx_buf_duty_cycle_cp_comp_en</ipxact:displayName> + <ipxact:value>cp_comp_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_dcd_clk_div_ctrl" type="string"> + <ipxact:name>pma_tx_buf_dcd_clk_div_ctrl</ipxact:name> + <ipxact:displayName>pma_tx_buf_dcd_clk_div_ctrl</ipxact:displayName> + <ipxact:value>dcd_ck_div128</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_duty_cycle_detector_sa_cal" type="string"> + <ipxact:name>pma_tx_buf_duty_cycle_detector_sa_cal</ipxact:name> + <ipxact:displayName>pma_tx_buf_duty_cycle_detector_sa_cal</ipxact:displayName> + <ipxact:value>dcd_sa_cal_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_duty_cycle_detector_cp_cal" type="string"> + <ipxact:name>pma_tx_buf_duty_cycle_detector_cp_cal</ipxact:name> + <ipxact:displayName>pma_tx_buf_duty_cycle_detector_cp_cal</ipxact:displayName> + <ipxact:value>dcd_cp_cal_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_tri_driver" type="string"> + <ipxact:name>pma_tx_buf_tri_driver</ipxact:name> + <ipxact:displayName>pma_tx_buf_tri_driver</ipxact:displayName> + <ipxact:value>tri_driver_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_cdr_cp_calibration_en" type="string"> + <ipxact:name>pma_tx_buf_cdr_cp_calibration_en</ipxact:name> + <ipxact:displayName>pma_tx_buf_cdr_cp_calibration_en</ipxact:displayName> + <ipxact:value>cdr_cp_cal_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_chgpmp_dn_trim_double" type="string"> + <ipxact:name>pma_tx_buf_chgpmp_dn_trim_double</ipxact:name> + <ipxact:displayName>pma_tx_buf_chgpmp_dn_trim_double</ipxact:displayName> + <ipxact:value>normal_dn_trim_current</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_calibration_resistor_value" type="string"> + <ipxact:name>pma_tx_buf_calibration_resistor_value</ipxact:name> + <ipxact:displayName>pma_tx_buf_calibration_resistor_value</ipxact:displayName> + <ipxact:value>res_setting0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_enable_idle_tx_channel_support" type="string"> + <ipxact:name>pma_tx_buf_enable_idle_tx_channel_support</ipxact:name> + <ipxact:displayName>pma_tx_buf_enable_idle_tx_channel_support</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_silicon_rev" type="string"> + <ipxact:name>cdr_pll_silicon_rev</ipxact:name> + <ipxact:displayName>cdr_pll_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_pma_width" type="int"> + <ipxact:name>cdr_pll_pma_width</ipxact:name> + <ipxact:displayName>cdr_pll_pma_width</ipxact:displayName> + <ipxact:value>32</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_cgb_div" type="int"> + <ipxact:name>cdr_pll_cgb_div</ipxact:name> + <ipxact:displayName>cdr_pll_cgb_div</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_is_cascaded_pll" type="string"> + <ipxact:name>cdr_pll_is_cascaded_pll</ipxact:name> + <ipxact:displayName>cdr_pll_is_cascaded_pll</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_bandwidth_range_high" type="string"> + <ipxact:name>cdr_pll_bandwidth_range_high</ipxact:name> + <ipxact:displayName>cdr_pll_bandwidth_range_high</ipxact:displayName> + <ipxact:value>0 hz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_bandwidth_range_low" type="string"> + <ipxact:name>cdr_pll_bandwidth_range_low</ipxact:name> + <ipxact:displayName>cdr_pll_bandwidth_range_low</ipxact:displayName> + <ipxact:value>0 hz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_datarate" type="string"> + <ipxact:name>cdr_pll_datarate</ipxact:name> + <ipxact:displayName>cdr_pll_datarate</ipxact:displayName> + <ipxact:value>10312500000 bps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_f_max_pfd" type="string"> + <ipxact:name>cdr_pll_f_max_pfd</ipxact:name> + <ipxact:displayName>cdr_pll_f_max_pfd</ipxact:displayName> + <ipxact:value>350000000 Hz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_f_max_ref" type="string"> + <ipxact:name>cdr_pll_f_max_ref</ipxact:name> + <ipxact:displayName>cdr_pll_f_max_ref</ipxact:displayName> + <ipxact:value>800000000 Hz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_f_max_vco" type="string"> + <ipxact:name>cdr_pll_f_max_vco</ipxact:name> + <ipxact:displayName>cdr_pll_f_max_vco</ipxact:displayName> + <ipxact:value>9800000000 Hz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_f_min_gt_channel" type="string"> + <ipxact:name>cdr_pll_f_min_gt_channel</ipxact:name> + <ipxact:displayName>cdr_pll_f_min_gt_channel</ipxact:displayName> + <ipxact:value>8700000000 Hz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_f_min_pfd" type="string"> + <ipxact:name>cdr_pll_f_min_pfd</ipxact:name> + <ipxact:displayName>cdr_pll_f_min_pfd</ipxact:displayName> + <ipxact:value>50000000 Hz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_f_min_ref" type="string"> + <ipxact:name>cdr_pll_f_min_ref</ipxact:name> + <ipxact:displayName>cdr_pll_f_min_ref</ipxact:displayName> + <ipxact:value>50000000 Hz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_f_min_vco" type="string"> + <ipxact:name>cdr_pll_f_min_vco</ipxact:name> + <ipxact:displayName>cdr_pll_f_min_vco</ipxact:displayName> + <ipxact:value>4900000000 Hz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_lpd_counter" type="int"> + <ipxact:name>cdr_pll_lpd_counter</ipxact:name> + <ipxact:displayName>cdr_pll_lpd_counter</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_lpfd_counter" type="int"> + <ipxact:name>cdr_pll_lpfd_counter</ipxact:name> + <ipxact:displayName>cdr_pll_lpfd_counter</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_n_counter_scratch" type="int"> + <ipxact:name>cdr_pll_n_counter_scratch</ipxact:name> + <ipxact:displayName>cdr_pll_n_counter_scratch</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_output_clock_frequency" type="string"> + <ipxact:name>cdr_pll_output_clock_frequency</ipxact:name> + <ipxact:displayName>cdr_pll_output_clock_frequency</ipxact:displayName> + <ipxact:value>5156250000 Hz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_reference_clock_frequency" type="string"> + <ipxact:name>cdr_pll_reference_clock_frequency</ipxact:name> + <ipxact:displayName>cdr_pll_reference_clock_frequency</ipxact:displayName> + <ipxact:value>644531250 hz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_set_cdr_vco_speed" type="int"> + <ipxact:name>cdr_pll_set_cdr_vco_speed</ipxact:name> + <ipxact:displayName>cdr_pll_set_cdr_vco_speed</ipxact:displayName> + <ipxact:value>3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_set_cdr_vco_speed_fix" type="int"> + <ipxact:name>cdr_pll_set_cdr_vco_speed_fix</ipxact:name> + <ipxact:displayName>cdr_pll_set_cdr_vco_speed_fix</ipxact:displayName> + <ipxact:value>60</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_vco_freq" type="string"> + <ipxact:name>cdr_pll_vco_freq</ipxact:name> + <ipxact:displayName>cdr_pll_vco_freq</ipxact:displayName> + <ipxact:value>5156250000 Hz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_atb_select_control" type="string"> + <ipxact:name>cdr_pll_atb_select_control</ipxact:name> + <ipxact:displayName>cdr_pll_atb_select_control</ipxact:displayName> + <ipxact:value>atb_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_auto_reset_on" type="string"> + <ipxact:name>cdr_pll_auto_reset_on</ipxact:name> + <ipxact:displayName>cdr_pll_auto_reset_on</ipxact:displayName> + <ipxact:value>auto_reset_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_bbpd_data_pattern_filter_select" type="string"> + <ipxact:name>cdr_pll_bbpd_data_pattern_filter_select</ipxact:name> + <ipxact:displayName>cdr_pll_bbpd_data_pattern_filter_select</ipxact:displayName> + <ipxact:value>bbpd_data_pat_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_bw_sel" type="string"> + <ipxact:name>cdr_pll_bw_sel</ipxact:name> + <ipxact:displayName>cdr_pll_bw_sel</ipxact:displayName> + <ipxact:value>medium</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_cdr_odi_select" type="string"> + <ipxact:name>cdr_pll_cdr_odi_select</ipxact:name> + <ipxact:displayName>cdr_pll_cdr_odi_select</ipxact:displayName> + <ipxact:value>sel_cdr</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_cdr_phaselock_mode" type="string"> + <ipxact:name>cdr_pll_cdr_phaselock_mode</ipxact:name> + <ipxact:displayName>cdr_pll_cdr_phaselock_mode</ipxact:displayName> + <ipxact:value>no_ignore_lock</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_cdr_powerdown_mode" type="string"> + <ipxact:name>cdr_pll_cdr_powerdown_mode</ipxact:name> + <ipxact:displayName>cdr_pll_cdr_powerdown_mode</ipxact:displayName> + <ipxact:value>power_up</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_chgpmp_current_pd" type="string"> + <ipxact:name>cdr_pll_chgpmp_current_pd</ipxact:name> + <ipxact:displayName>cdr_pll_chgpmp_current_pd</ipxact:displayName> + <ipxact:value>cp_current_pd_setting0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_chgpmp_current_pfd" type="string"> + <ipxact:name>cdr_pll_chgpmp_current_pfd</ipxact:name> + <ipxact:displayName>cdr_pll_chgpmp_current_pfd</ipxact:displayName> + <ipxact:value>cp_current_pfd_setting2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_chgpmp_replicate" type="string"> + <ipxact:name>cdr_pll_chgpmp_replicate</ipxact:name> + <ipxact:displayName>cdr_pll_chgpmp_replicate</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_chgpmp_testmode" type="string"> + <ipxact:name>cdr_pll_chgpmp_testmode</ipxact:name> + <ipxact:displayName>cdr_pll_chgpmp_testmode</ipxact:displayName> + <ipxact:value>cp_test_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_clklow_mux_select" type="string"> + <ipxact:name>cdr_pll_clklow_mux_select</ipxact:name> + <ipxact:displayName>cdr_pll_clklow_mux_select</ipxact:displayName> + <ipxact:value>clklow_mux_cdr_fbclk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_diag_loopback_enable" type="string"> + <ipxact:name>cdr_pll_diag_loopback_enable</ipxact:name> + <ipxact:displayName>cdr_pll_diag_loopback_enable</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_disable_up_dn" type="string"> + <ipxact:name>cdr_pll_disable_up_dn</ipxact:name> + <ipxact:displayName>cdr_pll_disable_up_dn</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_fref_clklow_div" type="int"> + <ipxact:name>cdr_pll_fref_clklow_div</ipxact:name> + <ipxact:displayName>cdr_pll_fref_clklow_div</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_fref_mux_select" type="string"> + <ipxact:name>cdr_pll_fref_mux_select</ipxact:name> + <ipxact:displayName>cdr_pll_fref_mux_select</ipxact:displayName> + <ipxact:value>fref_mux_cdr_refclk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_gpon_lck2ref_control" type="string"> + <ipxact:name>cdr_pll_gpon_lck2ref_control</ipxact:name> + <ipxact:displayName>cdr_pll_gpon_lck2ref_control</ipxact:displayName> + <ipxact:value>gpon_lck2ref_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_initial_settings" type="string"> + <ipxact:name>cdr_pll_initial_settings</ipxact:name> + <ipxact:displayName>cdr_pll_initial_settings</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_lck2ref_delay_control" type="string"> + <ipxact:name>cdr_pll_lck2ref_delay_control</ipxact:name> + <ipxact:displayName>cdr_pll_lck2ref_delay_control</ipxact:displayName> + <ipxact:value>lck2ref_delay_2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_lf_resistor_pd" type="string"> + <ipxact:name>cdr_pll_lf_resistor_pd</ipxact:name> + <ipxact:displayName>cdr_pll_lf_resistor_pd</ipxact:displayName> + <ipxact:value>lf_pd_setting2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_lf_resistor_pfd" type="string"> + <ipxact:name>cdr_pll_lf_resistor_pfd</ipxact:name> + <ipxact:displayName>cdr_pll_lf_resistor_pfd</ipxact:displayName> + <ipxact:value>lf_pfd_setting2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_lf_ripple_cap" type="string"> + <ipxact:name>cdr_pll_lf_ripple_cap</ipxact:name> + <ipxact:displayName>cdr_pll_lf_ripple_cap</ipxact:displayName> + <ipxact:value>lf_no_ripple</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_loop_filter_bias_select" type="string"> + <ipxact:name>cdr_pll_loop_filter_bias_select</ipxact:name> + <ipxact:displayName>cdr_pll_loop_filter_bias_select</ipxact:displayName> + <ipxact:value>lpflt_bias_7</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_loopback_mode" type="string"> + <ipxact:name>cdr_pll_loopback_mode</ipxact:name> + <ipxact:displayName>cdr_pll_loopback_mode</ipxact:displayName> + <ipxact:value>loopback_disabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_ltd_ltr_micro_controller_select" type="string"> + <ipxact:name>cdr_pll_ltd_ltr_micro_controller_select</ipxact:name> + <ipxact:displayName>cdr_pll_ltd_ltr_micro_controller_select</ipxact:displayName> + <ipxact:value>ltd_ltr_pcs</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_m_counter" type="int"> + <ipxact:name>cdr_pll_m_counter</ipxact:name> + <ipxact:displayName>cdr_pll_m_counter</ipxact:displayName> + <ipxact:value>16</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_n_counter" type="int"> + <ipxact:name>cdr_pll_n_counter</ipxact:name> + <ipxact:displayName>cdr_pll_n_counter</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_optimal" type="string"> + <ipxact:name>cdr_pll_optimal</ipxact:name> + <ipxact:displayName>cdr_pll_optimal</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_pd_fastlock_mode" type="string"> + <ipxact:name>cdr_pll_pd_fastlock_mode</ipxact:name> + <ipxact:displayName>cdr_pll_pd_fastlock_mode</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_pd_l_counter" type="int"> + <ipxact:name>cdr_pll_pd_l_counter</ipxact:name> + <ipxact:displayName>cdr_pll_pd_l_counter</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_pfd_l_counter" type="int"> + <ipxact:name>cdr_pll_pfd_l_counter</ipxact:name> + <ipxact:displayName>cdr_pll_pfd_l_counter</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_position" type="string"> + <ipxact:name>cdr_pll_position</ipxact:name> + <ipxact:displayName>cdr_pll_position</ipxact:displayName> + <ipxact:value>position_unknown</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_power_mode" type="string"> + <ipxact:name>cdr_pll_power_mode</ipxact:name> + <ipxact:displayName>cdr_pll_power_mode</ipxact:displayName> + <ipxact:value>mid_power</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_primary_use" type="string"> + <ipxact:name>cdr_pll_primary_use</ipxact:name> + <ipxact:displayName>cdr_pll_primary_use</ipxact:displayName> + <ipxact:value>cdr</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_prot_mode" type="string"> + <ipxact:name>cdr_pll_prot_mode</ipxact:name> + <ipxact:displayName>cdr_pll_prot_mode</ipxact:displayName> + <ipxact:value>basic_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_requires_gt_capable_channel" type="string"> + <ipxact:name>cdr_pll_requires_gt_capable_channel</ipxact:name> + <ipxact:displayName>cdr_pll_requires_gt_capable_channel</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_reverse_serial_loopback" type="string"> + <ipxact:name>cdr_pll_reverse_serial_loopback</ipxact:name> + <ipxact:displayName>cdr_pll_reverse_serial_loopback</ipxact:displayName> + <ipxact:value>no_loopback</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_set_cdr_v2i_enable" type="string"> + <ipxact:name>cdr_pll_set_cdr_v2i_enable</ipxact:name> + <ipxact:displayName>cdr_pll_set_cdr_v2i_enable</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_set_cdr_vco_reset" type="string"> + <ipxact:name>cdr_pll_set_cdr_vco_reset</ipxact:name> + <ipxact:displayName>cdr_pll_set_cdr_vco_reset</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_set_cdr_vco_speed_pciegen3" type="string"> + <ipxact:name>cdr_pll_set_cdr_vco_speed_pciegen3</ipxact:name> + <ipxact:displayName>cdr_pll_set_cdr_vco_speed_pciegen3</ipxact:displayName> + <ipxact:value>cdr_vco_max_speedbin_pciegen3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_side" type="string"> + <ipxact:name>cdr_pll_side</ipxact:name> + <ipxact:displayName>cdr_pll_side</ipxact:displayName> + <ipxact:value>side_unknown</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_pm_speed_grade" type="string"> + <ipxact:name>cdr_pll_pm_speed_grade</ipxact:name> + <ipxact:displayName>cdr_pll_pm_speed_grade</ipxact:displayName> + <ipxact:value>e3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_sup_mode" type="string"> + <ipxact:name>cdr_pll_sup_mode</ipxact:name> + <ipxact:displayName>cdr_pll_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_top_or_bottom" type="string"> + <ipxact:name>cdr_pll_top_or_bottom</ipxact:name> + <ipxact:displayName>cdr_pll_top_or_bottom</ipxact:displayName> + <ipxact:value>tb_unknown</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_tx_pll_prot_mode" type="string"> + <ipxact:name>cdr_pll_tx_pll_prot_mode</ipxact:name> + <ipxact:displayName>cdr_pll_tx_pll_prot_mode</ipxact:displayName> + <ipxact:value>txpll_unused</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_txpll_hclk_driver_enable" type="string"> + <ipxact:name>cdr_pll_txpll_hclk_driver_enable</ipxact:name> + <ipxact:displayName>cdr_pll_txpll_hclk_driver_enable</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_vco_overrange_voltage" type="string"> + <ipxact:name>cdr_pll_vco_overrange_voltage</ipxact:name> + <ipxact:displayName>cdr_pll_vco_overrange_voltage</ipxact:displayName> + <ipxact:value>vco_overrange_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_vco_underrange_voltage" type="string"> + <ipxact:name>cdr_pll_vco_underrange_voltage</ipxact:name> + <ipxact:displayName>cdr_pll_vco_underrange_voltage</ipxact:displayName> + <ipxact:value>vco_underange_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_fb_select" type="string"> + <ipxact:name>cdr_pll_fb_select</ipxact:name> + <ipxact:displayName>cdr_pll_fb_select</ipxact:displayName> + <ipxact:value>direct_fb</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_uc_ro_cal" type="string"> + <ipxact:name>cdr_pll_uc_ro_cal</ipxact:name> + <ipxact:displayName>cdr_pll_uc_ro_cal</ipxact:displayName> + <ipxact:value>uc_ro_cal_on</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_uc_ro_cal_status" type="string"> + <ipxact:name>cdr_pll_uc_ro_cal_status</ipxact:name> + <ipxact:displayName>cdr_pll_uc_ro_cal_status</ipxact:displayName> + <ipxact:value>uc_ro_cal_notdone</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_iqclk_mux_sel" type="string"> + <ipxact:name>cdr_pll_iqclk_mux_sel</ipxact:name> + <ipxact:displayName>cdr_pll_iqclk_mux_sel</ipxact:displayName> + <ipxact:value>power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_uc_cru_rstb" type="string"> + <ipxact:name>cdr_pll_uc_cru_rstb</ipxact:name> + <ipxact:displayName>cdr_pll_uc_cru_rstb</ipxact:displayName> + <ipxact:value>cdr_lf_reset_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_pcie_gen" type="string"> + <ipxact:name>cdr_pll_pcie_gen</ipxact:name> + <ipxact:displayName>cdr_pll_pcie_gen</ipxact:displayName> + <ipxact:value>non_pcie</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_analog_mode" type="string"> + <ipxact:name>cdr_pll_analog_mode</ipxact:name> + <ipxact:displayName>cdr_pll_analog_mode</ipxact:displayName> + <ipxact:value>user_custom</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_f_max_m_counter" type="string"> + <ipxact:name>cdr_pll_f_max_m_counter</ipxact:name> + <ipxact:displayName>cdr_pll_f_max_m_counter</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_chgpmp_vccreg" type="string"> + <ipxact:name>cdr_pll_chgpmp_vccreg</ipxact:name> + <ipxact:displayName>cdr_pll_chgpmp_vccreg</ipxact:displayName> + <ipxact:value>vreg_fw0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_set_cdr_input_freq_range" type="int"> + <ipxact:name>cdr_pll_set_cdr_input_freq_range</ipxact:name> + <ipxact:displayName>cdr_pll_set_cdr_input_freq_range</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_chgpmp_current_dn_trim" type="string"> + <ipxact:name>cdr_pll_chgpmp_current_dn_trim</ipxact:name> + <ipxact:displayName>cdr_pll_chgpmp_current_dn_trim</ipxact:displayName> + <ipxact:value>cp_current_trimming_dn_setting0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_chgpmp_up_pd_trim_double" type="string"> + <ipxact:name>cdr_pll_chgpmp_up_pd_trim_double</ipxact:name> + <ipxact:displayName>cdr_pll_chgpmp_up_pd_trim_double</ipxact:displayName> + <ipxact:value>normal_up_trim_current</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_chgpmp_current_up_pd" type="string"> + <ipxact:name>cdr_pll_chgpmp_current_up_pd</ipxact:name> + <ipxact:displayName>cdr_pll_chgpmp_current_up_pd</ipxact:displayName> + <ipxact:value>cp_current_pd_up_setting3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_f_max_cmu_out_freq" type="string"> + <ipxact:name>cdr_pll_f_max_cmu_out_freq</ipxact:name> + <ipxact:displayName>cdr_pll_f_max_cmu_out_freq</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_chgpmp_current_up_trim" type="string"> + <ipxact:name>cdr_pll_chgpmp_current_up_trim</ipxact:name> + <ipxact:displayName>cdr_pll_chgpmp_current_up_trim</ipxact:displayName> + <ipxact:value>cp_current_trimming_up_setting0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_chgpmp_dn_pd_trim_double" type="string"> + <ipxact:name>cdr_pll_chgpmp_dn_pd_trim_double</ipxact:name> + <ipxact:displayName>cdr_pll_chgpmp_dn_pd_trim_double</ipxact:displayName> + <ipxact:value>normal_dn_trim_current</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_cal_vco_count_length" type="string"> + <ipxact:name>cdr_pll_cal_vco_count_length</ipxact:name> + <ipxact:displayName>cdr_pll_cal_vco_count_length</ipxact:displayName> + <ipxact:value>sel_8b_count</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_chgpmp_current_dn_pd" type="string"> + <ipxact:name>cdr_pll_chgpmp_current_dn_pd</ipxact:name> + <ipxact:displayName>cdr_pll_chgpmp_current_dn_pd</ipxact:displayName> + <ipxact:value>cp_current_pd_dn_setting3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_enable_idle_rx_channel_support" type="string"> + <ipxact:name>cdr_pll_enable_idle_rx_channel_support</ipxact:name> + <ipxact:displayName>cdr_pll_enable_idle_rx_channel_support</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_deser_silicon_rev" type="string"> + <ipxact:name>pma_rx_deser_silicon_rev</ipxact:name> + <ipxact:displayName>pma_rx_deser_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_deser_clkdiv_source" type="string"> + <ipxact:name>pma_rx_deser_clkdiv_source</ipxact:name> + <ipxact:displayName>pma_rx_deser_clkdiv_source</ipxact:displayName> + <ipxact:value>vco_bypass_normal</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_deser_clkdivrx_user_mode" type="string"> + <ipxact:name>pma_rx_deser_clkdivrx_user_mode</ipxact:name> + <ipxact:displayName>pma_rx_deser_clkdivrx_user_mode</ipxact:displayName> + <ipxact:value>clkdivrx_user_disabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_deser_datarate" type="string"> + <ipxact:name>pma_rx_deser_datarate</ipxact:name> + <ipxact:displayName>pma_rx_deser_datarate</ipxact:displayName> + <ipxact:value>10312500000 bps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_deser_deser_factor" type="int"> + <ipxact:name>pma_rx_deser_deser_factor</ipxact:name> + <ipxact:displayName>pma_rx_deser_deser_factor</ipxact:displayName> + <ipxact:value>32</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_deser_deser_powerdown" type="string"> + <ipxact:name>pma_rx_deser_deser_powerdown</ipxact:name> + <ipxact:displayName>pma_rx_deser_deser_powerdown</ipxact:displayName> + <ipxact:value>deser_power_up</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_deser_force_adaptation_outputs" type="string"> + <ipxact:name>pma_rx_deser_force_adaptation_outputs</ipxact:name> + <ipxact:displayName>pma_rx_deser_force_adaptation_outputs</ipxact:displayName> + <ipxact:value>normal_outputs</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_deser_force_clkdiv_for_testing" type="string"> + <ipxact:name>pma_rx_deser_force_clkdiv_for_testing</ipxact:name> + <ipxact:displayName>pma_rx_deser_force_clkdiv_for_testing</ipxact:displayName> + <ipxact:value>normal_clkdiv</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_deser_optimal" type="string"> + <ipxact:name>pma_rx_deser_optimal</ipxact:name> + <ipxact:displayName>pma_rx_deser_optimal</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_deser_sdclk_enable" type="string"> + <ipxact:name>pma_rx_deser_sdclk_enable</ipxact:name> + <ipxact:displayName>pma_rx_deser_sdclk_enable</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_deser_sup_mode" type="string"> + <ipxact:name>pma_rx_deser_sup_mode</ipxact:name> + <ipxact:displayName>pma_rx_deser_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_deser_rst_n_adapt_odi" type="string"> + <ipxact:name>pma_rx_deser_rst_n_adapt_odi</ipxact:name> + <ipxact:displayName>pma_rx_deser_rst_n_adapt_odi</ipxact:displayName> + <ipxact:value>no_rst_adapt_odi</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_deser_bitslip_bypass" type="string"> + <ipxact:name>pma_rx_deser_bitslip_bypass</ipxact:name> + <ipxact:displayName>pma_rx_deser_bitslip_bypass</ipxact:displayName> + <ipxact:value>bs_bypass_yes</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_deser_prot_mode" type="string"> + <ipxact:name>pma_rx_deser_prot_mode</ipxact:name> + <ipxact:displayName>pma_rx_deser_prot_mode</ipxact:displayName> + <ipxact:value>basic_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_deser_pcie_gen" type="string"> + <ipxact:name>pma_rx_deser_pcie_gen</ipxact:name> + <ipxact:displayName>pma_rx_deser_pcie_gen</ipxact:displayName> + <ipxact:value>non_pcie</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_deser_pcie_gen_bitwidth" type="string"> + <ipxact:name>pma_rx_deser_pcie_gen_bitwidth</ipxact:name> + <ipxact:displayName>pma_rx_deser_pcie_gen_bitwidth</ipxact:displayName> + <ipxact:value>pcie_gen3_32b</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_deser_tdr_mode" type="string"> + <ipxact:name>pma_rx_deser_tdr_mode</ipxact:name> + <ipxact:displayName>pma_rx_deser_tdr_mode</ipxact:displayName> + <ipxact:value>select_bbpd_data</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="data_rate_bps" type="string"> + <ipxact:name>data_rate_bps</ipxact:name> + <ipxact:displayName>data_rate_bps</ipxact:displayName> + <ipxact:value>10312500000 bps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_protocol_mode" type="string"> + <ipxact:name>l_protocol_mode</ipxact:name> + <ipxact:displayName>l_protocol_mode</ipxact:displayName> + <ipxact:value>teng_baser_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pcs_speedgrade" type="string"> + <ipxact:name>pcs_speedgrade</ipxact:name> + <ipxact:displayName>pcs_speedgrade</ipxact:displayName> + <ipxact:value>e3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_speedgrade" type="string"> + <ipxact:name>pma_speedgrade</ipxact:name> + <ipxact:displayName>pma_speedgrade</ipxact:displayName> + <ipxact:value>e3</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_module_parameters> + <altera:altera_system_parameters> + <ipxact:parameters> + <ipxact:parameter parameterId="device" type="string"> + <ipxact:name>device</ipxact:name> + <ipxact:displayName>Device</ipxact:displayName> + <ipxact:value>10AX115U3F45E2SG</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="deviceFamily" type="string"> + <ipxact:name>deviceFamily</ipxact:name> + <ipxact:displayName>Device family</ipxact:displayName> + <ipxact:value>Arria 10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="deviceSpeedGrade" type="string"> + <ipxact:name>deviceSpeedGrade</ipxact:name> + <ipxact:displayName>Device Speed Grade</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="generationId" type="int"> + <ipxact:name>generationId</ipxact:name> + <ipxact:displayName>Generation Id</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="bonusData" type="string"> + <ipxact:name>bonusData</ipxact:name> + <ipxact:displayName>bonusData</ipxact:displayName> + <ipxact:value>bonusData +{ + element xcvr_native_a10_0 + { + datum _sortIndex + { + value = "0"; + type = "int"; + } + } +} +</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hideFromIPCatalog" type="bit"> + <ipxact:name>hideFromIPCatalog</ipxact:name> + <ipxact:displayName>Hide from IP Catalog</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="lockedInterfaceDefinition" type="string"> + <ipxact:name>lockedInterfaceDefinition</ipxact:name> + <ipxact:displayName>lockedInterfaceDefinition</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="systemInfos" type="string"> + <ipxact:name>systemInfos</ipxact:name> + <ipxact:displayName>systemInfos</ipxact:displayName> + <ipxact:value><systemInfosDefinition> + <connPtSystemInfos/> +</systemInfosDefinition></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_system_parameters> + <altera:altera_interface_boundary> + <altera:interface_mapping altera:name="reconfig_avmm" altera:internal="xcvr_native_a10_0.reconfig_avmm" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="reconfig_address" altera:internal="reconfig_address"></altera:port_mapping> + <altera:port_mapping altera:name="reconfig_read" altera:internal="reconfig_read"></altera:port_mapping> + <altera:port_mapping altera:name="reconfig_readdata" altera:internal="reconfig_readdata"></altera:port_mapping> + <altera:port_mapping altera:name="reconfig_waitrequest" altera:internal="reconfig_waitrequest"></altera:port_mapping> + <altera:port_mapping altera:name="reconfig_write" altera:internal="reconfig_write"></altera:port_mapping> + <altera:port_mapping altera:name="reconfig_writedata" altera:internal="reconfig_writedata"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="reconfig_clk" altera:internal="xcvr_native_a10_0.reconfig_clk" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="reconfig_clk" altera:internal="reconfig_clk"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="reconfig_reset" altera:internal="xcvr_native_a10_0.reconfig_reset" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="reconfig_reset" altera:internal="reconfig_reset"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_analogreset" altera:internal="xcvr_native_a10_0.rx_analogreset" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_analogreset" altera:internal="rx_analogreset"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_cal_busy" altera:internal="xcvr_native_a10_0.rx_cal_busy" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_cal_busy" altera:internal="rx_cal_busy"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_cdr_refclk0" altera:internal="xcvr_native_a10_0.rx_cdr_refclk0" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_cdr_refclk0" altera:internal="rx_cdr_refclk0"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_clkout" altera:internal="xcvr_native_a10_0.rx_clkout" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_clkout" altera:internal="rx_clkout"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_control" altera:internal="xcvr_native_a10_0.rx_control" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_control" altera:internal="rx_control"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_coreclkin" altera:internal="xcvr_native_a10_0.rx_coreclkin" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_coreclkin" altera:internal="rx_coreclkin"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_digitalreset" altera:internal="xcvr_native_a10_0.rx_digitalreset" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_digitalreset" altera:internal="rx_digitalreset"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_enh_blk_lock" altera:internal="xcvr_native_a10_0.rx_enh_blk_lock" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_enh_blk_lock" altera:internal="rx_enh_blk_lock"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_enh_data_valid" altera:internal="xcvr_native_a10_0.rx_enh_data_valid" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_enh_data_valid" altera:internal="rx_enh_data_valid"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_enh_fifo_del" altera:internal="xcvr_native_a10_0.rx_enh_fifo_del" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_enh_fifo_del" altera:internal="rx_enh_fifo_del"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_enh_fifo_empty" altera:internal="xcvr_native_a10_0.rx_enh_fifo_empty" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_enh_fifo_empty" altera:internal="rx_enh_fifo_empty"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_enh_fifo_full" altera:internal="xcvr_native_a10_0.rx_enh_fifo_full" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_enh_fifo_full" altera:internal="rx_enh_fifo_full"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_enh_fifo_insert" altera:internal="xcvr_native_a10_0.rx_enh_fifo_insert" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_enh_fifo_insert" altera:internal="rx_enh_fifo_insert"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_enh_highber" altera:internal="xcvr_native_a10_0.rx_enh_highber" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_enh_highber" altera:internal="rx_enh_highber"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_is_lockedtodata" altera:internal="xcvr_native_a10_0.rx_is_lockedtodata" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_is_lockedtodata" altera:internal="rx_is_lockedtodata"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_is_lockedtoref" altera:internal="xcvr_native_a10_0.rx_is_lockedtoref" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_is_lockedtoref" altera:internal="rx_is_lockedtoref"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_parallel_data" altera:internal="xcvr_native_a10_0.rx_parallel_data" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_parallel_data" altera:internal="rx_parallel_data"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_prbs_done" altera:internal="xcvr_native_a10_0.rx_prbs_done" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_prbs_done" altera:internal="rx_prbs_done"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_prbs_err" altera:internal="xcvr_native_a10_0.rx_prbs_err" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_prbs_err" altera:internal="rx_prbs_err"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_prbs_err_clr" altera:internal="xcvr_native_a10_0.rx_prbs_err_clr" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_prbs_err_clr" altera:internal="rx_prbs_err_clr"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_serial_data" altera:internal="xcvr_native_a10_0.rx_serial_data" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_serial_data" altera:internal="rx_serial_data"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_seriallpbken" altera:internal="xcvr_native_a10_0.rx_seriallpbken" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_seriallpbken" altera:internal="rx_seriallpbken"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_analogreset" altera:internal="xcvr_native_a10_0.tx_analogreset" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_analogreset" altera:internal="tx_analogreset"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_cal_busy" altera:internal="xcvr_native_a10_0.tx_cal_busy" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_cal_busy" altera:internal="tx_cal_busy"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_clkout" altera:internal="xcvr_native_a10_0.tx_clkout" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_clkout" altera:internal="tx_clkout"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_control" altera:internal="xcvr_native_a10_0.tx_control" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_control" altera:internal="tx_control"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_coreclkin" altera:internal="xcvr_native_a10_0.tx_coreclkin" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_coreclkin" altera:internal="tx_coreclkin"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_digitalreset" altera:internal="xcvr_native_a10_0.tx_digitalreset" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_digitalreset" altera:internal="tx_digitalreset"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_enh_data_valid" altera:internal="xcvr_native_a10_0.tx_enh_data_valid" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_enh_data_valid" altera:internal="tx_enh_data_valid"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_enh_fifo_empty" altera:internal="xcvr_native_a10_0.tx_enh_fifo_empty" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_enh_fifo_empty" altera:internal="tx_enh_fifo_empty"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_enh_fifo_full" altera:internal="xcvr_native_a10_0.tx_enh_fifo_full" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_enh_fifo_full" altera:internal="tx_enh_fifo_full"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_enh_fifo_pempty" altera:internal="xcvr_native_a10_0.tx_enh_fifo_pempty" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_enh_fifo_pempty" altera:internal="tx_enh_fifo_pempty"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_enh_fifo_pfull" altera:internal="xcvr_native_a10_0.tx_enh_fifo_pfull" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_enh_fifo_pfull" altera:internal="tx_enh_fifo_pfull"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_err_ins" altera:internal="xcvr_native_a10_0.tx_err_ins" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_err_ins" altera:internal="tx_err_ins"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_parallel_data" altera:internal="xcvr_native_a10_0.tx_parallel_data" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_parallel_data" altera:internal="tx_parallel_data"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_serial_clk0" altera:internal="xcvr_native_a10_0.tx_serial_clk0" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_serial_clk0" altera:internal="tx_serial_clk0"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_serial_data" altera:internal="xcvr_native_a10_0.tx_serial_data" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_serial_data" altera:internal="tx_serial_data"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="unused_rx_control" altera:internal="xcvr_native_a10_0.unused_rx_control" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="unused_rx_control" altera:internal="unused_rx_control"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="unused_rx_parallel_data" altera:internal="xcvr_native_a10_0.unused_rx_parallel_data" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="unused_rx_parallel_data" altera:internal="unused_rx_parallel_data"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="unused_tx_control" altera:internal="xcvr_native_a10_0.unused_tx_control" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="unused_tx_control" altera:internal="unused_tx_control"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="unused_tx_parallel_data" altera:internal="xcvr_native_a10_0.unused_tx_parallel_data" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="unused_tx_parallel_data" altera:internal="unused_tx_parallel_data"></altera:port_mapping> + </altera:interface_mapping> + </altera:altera_interface_boundary> + <altera:altera_has_warnings>false</altera:altera_has_warnings> + <altera:altera_has_errors>false</altera:altera_has_errors> + </ipxact:vendorExtensions> +</ipxact:component> \ No newline at end of file diff --git a/libraries/technology/ip_arria10_e2sg/phy_10gbase_r_3/ip_arria10_e2sg_phy_10gbase_r_3.qsys b/libraries/technology/ip_arria10_e2sg/phy_10gbase_r_3/ip_arria10_e2sg_phy_10gbase_r_3.qsys deleted file mode 100644 index a54c573db2..0000000000 --- a/libraries/technology/ip_arria10_e2sg/phy_10gbase_r_3/ip_arria10_e2sg_phy_10gbase_r_3.qsys +++ /dev/null @@ -1,627 +0,0 @@ -<?xml version="1.0" encoding="UTF-8"?> -<system name="ip_arria10_e2sg_phy_10gbase_r_3"> - <component - name="$${FILENAME}" - displayName="$${FILENAME}" - version="1.0" - description="" - tags="AUTHORSHIP=Intel Corporation /// INTERNAL_COMPONENT=true" - categories="System" - tool="QsysStandard" /> - <parameter name="bonusData"><![CDATA[bonusData -{ - element $system - { - } - element xcvr_native_a10_0 - { - datum _sortIndex - { - value = "0"; - type = "int"; - } - } -} -]]></parameter> - <parameter name="device" value="10AX115U3F45E2SG" /> - <parameter name="deviceFamily" value="Arria 10" /> - <parameter name="deviceSpeedGrade" value="2" /> - <parameter name="fabricMode" value="QSYS" /> - <parameter name="generateLegacySim" value="false" /> - <parameter name="generationId" value="0" /> - <parameter name="globalResetBus" value="false" /> - <parameter name="hdlLanguage" value="VERILOG" /> - <parameter name="hideFromIPCatalog" value="true" /> - <parameter name="lockedInterfaceDefinition" value="" /> - <parameter name="sopcBorderPoints" value="false" /> - <parameter name="systemHash" value="0" /> - <parameter name="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos/> -</systemInfosDefinition>]]></parameter> - <parameter name="systemScripts" value="" /> - <parameter name="testBenchDutName" value="" /> - <parameter name="timeStamp" value="0" /> - <parameter name="useTestBenchNamingPattern" value="false" /> - <instanceScript></instanceScript> - <interface - name="reconfig_avmm" - internal="xcvr_native_a10_0.reconfig_avmm" - type="conduit" - dir="end"> - <port name="reconfig_address" internal="reconfig_address" /> - <port name="reconfig_read" internal="reconfig_read" /> - <port name="reconfig_readdata" internal="reconfig_readdata" /> - <port name="reconfig_waitrequest" internal="reconfig_waitrequest" /> - <port name="reconfig_write" internal="reconfig_write" /> - <port name="reconfig_writedata" internal="reconfig_writedata" /> - </interface> - <interface - name="reconfig_clk" - internal="xcvr_native_a10_0.reconfig_clk" - type="conduit" - dir="end"> - <port name="reconfig_clk" internal="reconfig_clk" /> - </interface> - <interface - name="reconfig_reset" - internal="xcvr_native_a10_0.reconfig_reset" - type="conduit" - dir="end"> - <port name="reconfig_reset" internal="reconfig_reset" /> - </interface> - <interface - name="rx_analogreset" - internal="xcvr_native_a10_0.rx_analogreset" - type="conduit" - dir="end"> - <port name="rx_analogreset" internal="rx_analogreset" /> - </interface> - <interface - name="rx_cal_busy" - internal="xcvr_native_a10_0.rx_cal_busy" - type="conduit" - dir="end"> - <port name="rx_cal_busy" internal="rx_cal_busy" /> - </interface> - <interface - name="rx_cdr_refclk0" - internal="xcvr_native_a10_0.rx_cdr_refclk0" - type="conduit" - dir="end"> - <port name="rx_cdr_refclk0" internal="rx_cdr_refclk0" /> - </interface> - <interface - name="rx_clkout" - internal="xcvr_native_a10_0.rx_clkout" - type="conduit" - dir="end"> - <port name="rx_clkout" internal="rx_clkout" /> - </interface> - <interface - name="rx_control" - internal="xcvr_native_a10_0.rx_control" - type="conduit" - dir="end"> - <port name="rx_control" internal="rx_control" /> - </interface> - <interface - name="rx_coreclkin" - internal="xcvr_native_a10_0.rx_coreclkin" - type="conduit" - dir="end"> - <port name="rx_coreclkin" internal="rx_coreclkin" /> - </interface> - <interface - name="rx_digitalreset" - internal="xcvr_native_a10_0.rx_digitalreset" - type="conduit" - dir="end"> - <port name="rx_digitalreset" internal="rx_digitalreset" /> - </interface> - <interface - name="rx_enh_blk_lock" - internal="xcvr_native_a10_0.rx_enh_blk_lock" - type="conduit" - dir="end"> - <port name="rx_enh_blk_lock" internal="rx_enh_blk_lock" /> - </interface> - <interface - name="rx_enh_data_valid" - internal="xcvr_native_a10_0.rx_enh_data_valid" - type="conduit" - dir="end"> - <port name="rx_enh_data_valid" internal="rx_enh_data_valid" /> - </interface> - <interface - name="rx_enh_fifo_del" - internal="xcvr_native_a10_0.rx_enh_fifo_del" - type="conduit" - dir="end"> - <port name="rx_enh_fifo_del" internal="rx_enh_fifo_del" /> - </interface> - <interface - name="rx_enh_fifo_empty" - internal="xcvr_native_a10_0.rx_enh_fifo_empty" - type="conduit" - dir="end"> - <port name="rx_enh_fifo_empty" internal="rx_enh_fifo_empty" /> - </interface> - <interface - name="rx_enh_fifo_full" - internal="xcvr_native_a10_0.rx_enh_fifo_full" - type="conduit" - dir="end"> - <port name="rx_enh_fifo_full" internal="rx_enh_fifo_full" /> - </interface> - <interface - name="rx_enh_fifo_insert" - internal="xcvr_native_a10_0.rx_enh_fifo_insert" - type="conduit" - dir="end"> - <port name="rx_enh_fifo_insert" internal="rx_enh_fifo_insert" /> - </interface> - <interface - name="rx_enh_highber" - internal="xcvr_native_a10_0.rx_enh_highber" - type="conduit" - dir="end"> - <port name="rx_enh_highber" internal="rx_enh_highber" /> - </interface> - <interface - name="rx_is_lockedtodata" - internal="xcvr_native_a10_0.rx_is_lockedtodata" - type="conduit" - dir="end"> - <port name="rx_is_lockedtodata" internal="rx_is_lockedtodata" /> - </interface> - <interface - name="rx_is_lockedtoref" - internal="xcvr_native_a10_0.rx_is_lockedtoref" - type="conduit" - dir="end"> - <port name="rx_is_lockedtoref" internal="rx_is_lockedtoref" /> - </interface> - <interface - name="rx_parallel_data" - internal="xcvr_native_a10_0.rx_parallel_data" - type="conduit" - dir="end"> - <port name="rx_parallel_data" internal="rx_parallel_data" /> - </interface> - <interface - name="rx_prbs_done" - internal="xcvr_native_a10_0.rx_prbs_done" - type="conduit" - dir="end"> - <port name="rx_prbs_done" internal="rx_prbs_done" /> - </interface> - <interface - name="rx_prbs_err" - internal="xcvr_native_a10_0.rx_prbs_err" - type="conduit" - dir="end"> - <port name="rx_prbs_err" internal="rx_prbs_err" /> - </interface> - <interface - name="rx_prbs_err_clr" - internal="xcvr_native_a10_0.rx_prbs_err_clr" - type="conduit" - dir="end"> - <port name="rx_prbs_err_clr" internal="rx_prbs_err_clr" /> - </interface> - <interface - name="rx_serial_data" - internal="xcvr_native_a10_0.rx_serial_data" - type="conduit" - dir="end"> - <port name="rx_serial_data" internal="rx_serial_data" /> - </interface> - <interface - name="rx_seriallpbken" - internal="xcvr_native_a10_0.rx_seriallpbken" - type="conduit" - dir="end"> - <port name="rx_seriallpbken" internal="rx_seriallpbken" /> - </interface> - <interface - name="tx_analogreset" - internal="xcvr_native_a10_0.tx_analogreset" - type="conduit" - dir="end"> - <port name="tx_analogreset" internal="tx_analogreset" /> - </interface> - <interface - name="tx_cal_busy" - internal="xcvr_native_a10_0.tx_cal_busy" - type="conduit" - dir="end"> - <port name="tx_cal_busy" internal="tx_cal_busy" /> - </interface> - <interface - name="tx_clkout" - internal="xcvr_native_a10_0.tx_clkout" - type="conduit" - dir="end"> - <port name="tx_clkout" internal="tx_clkout" /> - </interface> - <interface - name="tx_control" - internal="xcvr_native_a10_0.tx_control" - type="conduit" - dir="end"> - <port name="tx_control" internal="tx_control" /> - </interface> - <interface - name="tx_coreclkin" - internal="xcvr_native_a10_0.tx_coreclkin" - type="conduit" - dir="end"> - <port name="tx_coreclkin" internal="tx_coreclkin" /> - </interface> - <interface - name="tx_digitalreset" - internal="xcvr_native_a10_0.tx_digitalreset" - type="conduit" - dir="end"> - <port name="tx_digitalreset" internal="tx_digitalreset" /> - </interface> - <interface - name="tx_enh_data_valid" - internal="xcvr_native_a10_0.tx_enh_data_valid" - type="conduit" - dir="end"> - <port name="tx_enh_data_valid" internal="tx_enh_data_valid" /> - </interface> - <interface - name="tx_enh_fifo_empty" - internal="xcvr_native_a10_0.tx_enh_fifo_empty" - type="conduit" - dir="end"> - <port name="tx_enh_fifo_empty" internal="tx_enh_fifo_empty" /> - </interface> - <interface - name="tx_enh_fifo_full" - internal="xcvr_native_a10_0.tx_enh_fifo_full" - type="conduit" - dir="end"> - <port name="tx_enh_fifo_full" internal="tx_enh_fifo_full" /> - </interface> - <interface - name="tx_enh_fifo_pempty" - internal="xcvr_native_a10_0.tx_enh_fifo_pempty" - type="conduit" - dir="end"> - <port name="tx_enh_fifo_pempty" internal="tx_enh_fifo_pempty" /> - </interface> - <interface - name="tx_enh_fifo_pfull" - internal="xcvr_native_a10_0.tx_enh_fifo_pfull" - type="conduit" - dir="end"> - <port name="tx_enh_fifo_pfull" internal="tx_enh_fifo_pfull" /> - </interface> - <interface - name="tx_err_ins" - internal="xcvr_native_a10_0.tx_err_ins" - type="conduit" - dir="end"> - <port name="tx_err_ins" internal="tx_err_ins" /> - </interface> - <interface - name="tx_parallel_data" - internal="xcvr_native_a10_0.tx_parallel_data" - type="conduit" - dir="end"> - <port name="tx_parallel_data" internal="tx_parallel_data" /> - </interface> - <interface name="tx_pma_clkout" internal="xcvr_native_a10_0.tx_pma_clkout" /> - <interface - name="tx_pma_div_clkout" - internal="xcvr_native_a10_0.tx_pma_div_clkout" /> - <interface - name="tx_serial_clk0" - internal="xcvr_native_a10_0.tx_serial_clk0" - type="conduit" - dir="end"> - <port name="tx_serial_clk0" internal="tx_serial_clk0" /> - </interface> - <interface - name="tx_serial_data" - internal="xcvr_native_a10_0.tx_serial_data" - type="conduit" - dir="end"> - <port name="tx_serial_data" internal="tx_serial_data" /> - </interface> - <interface - name="unused_rx_control" - internal="xcvr_native_a10_0.unused_rx_control" - type="conduit" - dir="end"> - <port name="unused_rx_control" internal="unused_rx_control" /> - </interface> - <interface - name="unused_rx_parallel_data" - internal="xcvr_native_a10_0.unused_rx_parallel_data" - type="conduit" - dir="end"> - <port name="unused_rx_parallel_data" internal="unused_rx_parallel_data" /> - </interface> - <interface - name="unused_tx_control" - internal="xcvr_native_a10_0.unused_tx_control" - type="conduit" - dir="end"> - <port name="unused_tx_control" internal="unused_tx_control" /> - </interface> - <interface - name="unused_tx_parallel_data" - internal="xcvr_native_a10_0.unused_tx_parallel_data" - type="conduit" - dir="end"> - <port name="unused_tx_parallel_data" internal="unused_tx_parallel_data" /> - </interface> - <module - name="xcvr_native_a10_0" - kind="altera_xcvr_native_a10" - version="19.1" - enabled="1" - autoexport="1"> - <parameter name="anlg_enable_rx_default_ovr" value="0" /> - <parameter name="anlg_enable_tx_default_ovr" value="0" /> - <parameter name="anlg_link" value="sr" /> - <parameter name="anlg_rx_adp_ctle_acgain_4s">radp_ctle_acgain_4s_1</parameter> - <parameter name="anlg_rx_adp_ctle_eqz_1s_sel">radp_ctle_eqz_1s_sel_3</parameter> - <parameter name="anlg_rx_adp_dfe_fxtap1" value="radp_dfe_fxtap1_0" /> - <parameter name="anlg_rx_adp_dfe_fxtap10" value="radp_dfe_fxtap10_0" /> - <parameter name="anlg_rx_adp_dfe_fxtap11" value="radp_dfe_fxtap11_0" /> - <parameter name="anlg_rx_adp_dfe_fxtap2" value="radp_dfe_fxtap2_0" /> - <parameter name="anlg_rx_adp_dfe_fxtap3" value="radp_dfe_fxtap3_0" /> - <parameter name="anlg_rx_adp_dfe_fxtap4" value="radp_dfe_fxtap4_0" /> - <parameter name="anlg_rx_adp_dfe_fxtap5" value="radp_dfe_fxtap5_0" /> - <parameter name="anlg_rx_adp_dfe_fxtap6" value="radp_dfe_fxtap6_0" /> - <parameter name="anlg_rx_adp_dfe_fxtap7" value="radp_dfe_fxtap7_0" /> - <parameter name="anlg_rx_adp_dfe_fxtap8" value="radp_dfe_fxtap8_0" /> - <parameter name="anlg_rx_adp_dfe_fxtap9" value="radp_dfe_fxtap9_0" /> - <parameter name="anlg_rx_adp_vga_sel" value="radp_vga_sel_2" /> - <parameter name="anlg_rx_eq_dc_gain_trim" value="stg2_gain7" /> - <parameter name="anlg_rx_one_stage_enable" value="s1_mode" /> - <parameter name="anlg_rx_term_sel" value="r_r1" /> - <parameter name="anlg_tx_analog_mode" value="user_custom" /> - <parameter name="anlg_tx_compensation_en" value="enable" /> - <parameter name="anlg_tx_pre_emp_sign_1st_post_tap" value="fir_post_1t_neg" /> - <parameter name="anlg_tx_pre_emp_sign_2nd_post_tap" value="fir_post_2t_neg" /> - <parameter name="anlg_tx_pre_emp_sign_pre_tap_1t" value="fir_pre_1t_neg" /> - <parameter name="anlg_tx_pre_emp_sign_pre_tap_2t" value="fir_pre_2t_neg" /> - <parameter name="anlg_tx_pre_emp_switching_ctrl_1st_post_tap" value="0" /> - <parameter name="anlg_tx_pre_emp_switching_ctrl_2nd_post_tap" value="0" /> - <parameter name="anlg_tx_pre_emp_switching_ctrl_pre_tap_1t" value="0" /> - <parameter name="anlg_tx_pre_emp_switching_ctrl_pre_tap_2t" value="0" /> - <parameter name="anlg_tx_slew_rate_ctrl" value="slew_r7" /> - <parameter name="anlg_tx_term_sel" value="r_r1" /> - <parameter name="anlg_tx_vod_output_swing_ctrl" value="0" /> - <parameter name="anlg_voltage" value="1_1V" /> - <parameter name="base_device" value="NIGHTFURY5" /> - <parameter name="bonded_mode" value="not_bonded" /> - <parameter name="cdr_refclk_cnt" value="1" /> - <parameter name="cdr_refclk_select" value="0" /> - <parameter name="channels" value="3" /> - <parameter name="design_environment" value="NATIVE" /> - <parameter name="device" value="10AX115U3F45E2SG" /> - <parameter name="device_family" value="Arria 10" /> - <parameter name="disable_continuous_dfe" value="false" /> - <parameter name="duplex_mode" value="duplex" /> - <parameter name="enable_analog_settings" value="0" /> - <parameter name="enable_hard_reset" value="0" /> - <parameter name="enable_hip" value="0" /> - <parameter name="enable_parallel_loopback" value="0" /> - <parameter name="enable_pcie_data_mask_option" value="0" /> - <parameter name="enable_pcie_dfe_ip" value="false" /> - <parameter name="enable_port_krfec_rx_enh_frame" value="0" /> - <parameter name="enable_port_krfec_rx_enh_frame_diag_status" value="0" /> - <parameter name="enable_port_krfec_tx_enh_frame" value="0" /> - <parameter name="enable_port_pipe_rx_polarity" value="0" /> - <parameter name="enable_port_rx_analog_reset_ack" value="0" /> - <parameter name="enable_port_rx_enh_bitslip" value="0" /> - <parameter name="enable_port_rx_enh_blk_lock" value="1" /> - <parameter name="enable_port_rx_enh_clr_errblk_count" value="0" /> - <parameter name="enable_port_rx_enh_clr_errblk_count_c10" value="0" /> - <parameter name="enable_port_rx_enh_crc32_err" value="0" /> - <parameter name="enable_port_rx_enh_data_valid" value="1" /> - <parameter name="enable_port_rx_enh_fifo_align_clr" value="0" /> - <parameter name="enable_port_rx_enh_fifo_align_val" value="0" /> - <parameter name="enable_port_rx_enh_fifo_cnt" value="0" /> - <parameter name="enable_port_rx_enh_fifo_del" value="1" /> - <parameter name="enable_port_rx_enh_fifo_empty" value="1" /> - <parameter name="enable_port_rx_enh_fifo_full" value="1" /> - <parameter name="enable_port_rx_enh_fifo_insert" value="1" /> - <parameter name="enable_port_rx_enh_fifo_pempty" value="0" /> - <parameter name="enable_port_rx_enh_fifo_pfull" value="0" /> - <parameter name="enable_port_rx_enh_fifo_rd_en" value="0" /> - <parameter name="enable_port_rx_enh_frame" value="0" /> - <parameter name="enable_port_rx_enh_frame_diag_status" value="0" /> - <parameter name="enable_port_rx_enh_frame_lock" value="0" /> - <parameter name="enable_port_rx_enh_highber" value="1" /> - <parameter name="enable_port_rx_enh_highber_clr_cnt" value="0" /> - <parameter name="enable_port_rx_is_lockedtodata" value="1" /> - <parameter name="enable_port_rx_is_lockedtoref" value="1" /> - <parameter name="enable_port_rx_pma_clkout" value="0" /> - <parameter name="enable_port_rx_pma_clkslip" value="0" /> - <parameter name="enable_port_rx_pma_div_clkout" value="0" /> - <parameter name="enable_port_rx_pma_iqtxrx_clkout" value="0" /> - <parameter name="enable_port_rx_pma_qpipulldn" value="0" /> - <parameter name="enable_port_rx_polinv" value="0" /> - <parameter name="enable_port_rx_seriallpbken" value="1" /> - <parameter name="enable_port_rx_seriallpbken_tx" value="1" /> - <parameter name="enable_port_rx_signaldetect" value="0" /> - <parameter name="enable_port_rx_std_bitrev_ena" value="0" /> - <parameter name="enable_port_rx_std_bitslip" value="0" /> - <parameter name="enable_port_rx_std_bitslipboundarysel" value="0" /> - <parameter name="enable_port_rx_std_byterev_ena" value="0" /> - <parameter name="enable_port_rx_std_pcfifo_empty" value="0" /> - <parameter name="enable_port_rx_std_pcfifo_full" value="0" /> - <parameter name="enable_port_rx_std_rmfifo_empty" value="0" /> - <parameter name="enable_port_rx_std_rmfifo_full" value="0" /> - <parameter name="enable_port_rx_std_signaldetect" value="0" /> - <parameter name="enable_port_rx_std_wa_a1a2size" value="0" /> - <parameter name="enable_port_rx_std_wa_patternalign" value="0" /> - <parameter name="enable_port_tx_analog_reset_ack" value="0" /> - <parameter name="enable_port_tx_enh_bitslip" value="0" /> - <parameter name="enable_port_tx_enh_fifo_cnt" value="0" /> - <parameter name="enable_port_tx_enh_fifo_empty" value="1" /> - <parameter name="enable_port_tx_enh_fifo_full" value="1" /> - <parameter name="enable_port_tx_enh_fifo_pempty" value="1" /> - <parameter name="enable_port_tx_enh_fifo_pfull" value="1" /> - <parameter name="enable_port_tx_enh_frame" value="0" /> - <parameter name="enable_port_tx_enh_frame_burst_en" value="0" /> - <parameter name="enable_port_tx_enh_frame_diag_status" value="0" /> - <parameter name="enable_port_tx_pma_clkout" value="0" /> - <parameter name="enable_port_tx_pma_div_clkout" value="0" /> - <parameter name="enable_port_tx_pma_elecidle" value="0" /> - <parameter name="enable_port_tx_pma_iqtxrx_clkout" value="0" /> - <parameter name="enable_port_tx_pma_qpipulldn" value="0" /> - <parameter name="enable_port_tx_pma_qpipullup" value="0" /> - <parameter name="enable_port_tx_pma_rxfound" value="0" /> - <parameter name="enable_port_tx_pma_txdetectrx" value="0" /> - <parameter name="enable_port_tx_polinv" value="0" /> - <parameter name="enable_port_tx_std_bitslipboundarysel" value="0" /> - <parameter name="enable_port_tx_std_pcfifo_empty" value="0" /> - <parameter name="enable_port_tx_std_pcfifo_full" value="0" /> - <parameter name="enable_ports_adaptation" value="0" /> - <parameter name="enable_ports_pipe_g3_analog" value="0" /> - <parameter name="enable_ports_pipe_hclk" value="0" /> - <parameter name="enable_ports_pipe_rx_elecidle" value="0" /> - <parameter name="enable_ports_pipe_sw" value="0" /> - <parameter name="enable_ports_rx_manual_cdr_mode" value="0" /> - <parameter name="enable_ports_rx_manual_ppm" value="0" /> - <parameter name="enable_ports_rx_prbs" value="1" /> - <parameter name="enable_simple_interface" value="1" /> - <parameter name="enable_skp_ports" value="0" /> - <parameter name="enable_split_interface" value="0" /> - <parameter name="enable_transparent_pcs" value="0" /> - <parameter name="enable_upi_pipeline_options" value="0" /> - <parameter name="enh_low_latency_enable" value="0" /> - <parameter name="enh_pcs_pma_width" value="32" /> - <parameter name="enh_pld_pcs_width" value="66" /> - <parameter name="enh_rx_64b66b_enable" value="1" /> - <parameter name="enh_rx_bitslip_enable" value="0" /> - <parameter name="enh_rx_blksync_enable" value="1" /> - <parameter name="enh_rx_crcchk_enable" value="0" /> - <parameter name="enh_rx_descram_enable" value="1" /> - <parameter name="enh_rx_dispchk_enable" value="0" /> - <parameter name="enh_rx_frmsync_enable" value="0" /> - <parameter name="enh_rx_frmsync_mfrm_length" value="2048" /> - <parameter name="enh_rx_krfec_err_mark_enable" value="0" /> - <parameter name="enh_rx_krfec_err_mark_type" value="10G" /> - <parameter name="enh_rx_polinv_enable" value="0" /> - <parameter name="enh_rxfifo_align_del" value="0" /> - <parameter name="enh_rxfifo_control_del" value="0" /> - <parameter name="enh_rxfifo_mode" value="10GBase-R" /> - <parameter name="enh_rxfifo_pempty" value="2" /> - <parameter name="enh_rxfifo_pfull" value="23" /> - <parameter name="enh_rxtxfifo_double_width" value="0" /> - <parameter name="enh_tx_64b66b_enable" value="1" /> - <parameter name="enh_tx_bitslip_enable" value="0" /> - <parameter name="enh_tx_crcerr_enable" value="0" /> - <parameter name="enh_tx_crcgen_enable" value="0" /> - <parameter name="enh_tx_dispgen_enable" value="0" /> - <parameter name="enh_tx_frmgen_burst_enable" value="0" /> - <parameter name="enh_tx_frmgen_enable" value="0" /> - <parameter name="enh_tx_frmgen_mfrm_length" value="2048" /> - <parameter name="enh_tx_krfec_burst_err_enable" value="0" /> - <parameter name="enh_tx_krfec_burst_err_len" value="1" /> - <parameter name="enh_tx_polinv_enable" value="0" /> - <parameter name="enh_tx_randomdispbit_enable" value="0" /> - <parameter name="enh_tx_scram_enable" value="1" /> - <parameter name="enh_tx_scram_seed" value="288230376151711743" /> - <parameter name="enh_tx_sh_err" value="0" /> - <parameter name="enh_txfifo_mode" value="Phase compensation" /> - <parameter name="enh_txfifo_pempty" value="2" /> - <parameter name="enh_txfifo_pfull" value="11" /> - <parameter name="generate_add_hdl_instance_example" value="0" /> - <parameter name="generate_docs" value="1" /> - <parameter name="message_level" value="error" /> - <parameter name="number_physical_bonding_clocks" value="1" /> - <parameter name="pcie_rate_match" value="Bypass" /> - <parameter name="pcs_direct_width" value="8" /> - <parameter name="pcs_tx_delay1_ctrl" value="delay1_path0" /> - <parameter name="pcs_tx_delay1_data_sel" value="one_ff_delay" /> - <parameter name="pcs_tx_delay2_ctrl" value="delay2_path0" /> - <parameter name="pll_select" value="0" /> - <parameter name="plls" value="1" /> - <parameter name="pma_mode" value="basic" /> - <parameter name="protocol_mode" value="teng_baser_mode" /> - <parameter name="rcfg_enable" value="1" /> - <parameter name="rcfg_enable_avmm_busy_port" value="0" /> - <parameter name="rcfg_file_prefix">altera_xcvr_native_a10</parameter> - <parameter name="rcfg_h_file_enable" value="1" /> - <parameter name="rcfg_iface_enable" value="0" /> - <parameter name="rcfg_jtag_enable" value="1" /> - <parameter name="rcfg_mif_file_enable" value="1" /> - <parameter name="rcfg_multi_enable" value="0" /> - <parameter name="rcfg_profile_cnt" value="2" /> - <parameter name="rcfg_profile_data0" value="" /> - <parameter name="rcfg_profile_data1" value="" /> - <parameter name="rcfg_profile_data2" value="" /> - <parameter name="rcfg_profile_data3" value="" /> - <parameter name="rcfg_profile_data4" value="" /> - <parameter name="rcfg_profile_data5" value="" /> - <parameter name="rcfg_profile_data6" value="" /> - <parameter name="rcfg_profile_data7" value="" /> - <parameter name="rcfg_profile_select" value="1" /> - <parameter name="rcfg_reduced_files_enable" value="0" /> - <parameter name="rcfg_separate_avmm_busy" value="0" /> - <parameter name="rcfg_shared" value="1" /> - <parameter name="rcfg_sv_file_enable" value="1" /> - <parameter name="rx_pma_ctle_adaptation_mode" value="manual" /> - <parameter name="rx_pma_dfe_adaptation_mode" value="disabled" /> - <parameter name="rx_pma_dfe_fixed_taps" value="3" /> - <parameter name="rx_pma_div_clkout_divider" value="0" /> - <parameter name="rx_ppm_detect_threshold" value="1000" /> - <parameter name="set_capability_reg_enable" value="1" /> - <parameter name="set_cdr_refclk_freq" value="644.531250" /> - <parameter name="set_csr_soft_logic_enable" value="1" /> - <parameter name="set_data_rate" value="10312.5" /> - <parameter name="set_disconnect_analog_resets" value="0" /> - <parameter name="set_embedded_debug_enable" value="0" /> - <parameter name="set_enable_calibration" value="0" /> - <parameter name="set_hip_cal_en" value="0" /> - <parameter name="set_odi_soft_logic_enable" value="0" /> - <parameter name="set_pcs_bonding_master" value="Auto" /> - <parameter name="set_prbs_soft_logic_enable" value="1" /> - <parameter name="set_rcfg_emb_strm_enable" value="0" /> - <parameter name="set_user_identifier" value="0" /> - <parameter name="sim_reduced_counters" value="false" /> - <parameter name="std_data_mask_count_multi" value="0" /> - <parameter name="std_low_latency_bypass_enable" value="0" /> - <parameter name="std_pcs_pma_width" value="10" /> - <parameter name="std_rx_8b10b_enable" value="0" /> - <parameter name="std_rx_bitrev_enable" value="0" /> - <parameter name="std_rx_byte_deser_mode" value="Disabled" /> - <parameter name="std_rx_byterev_enable" value="0" /> - <parameter name="std_rx_pcfifo_mode" value="low_latency" /> - <parameter name="std_rx_polinv_enable" value="0" /> - <parameter name="std_rx_rmfifo_mode" value="disabled" /> - <parameter name="std_rx_rmfifo_pattern_n" value="0" /> - <parameter name="std_rx_rmfifo_pattern_p" value="0" /> - <parameter name="std_rx_word_aligner_fast_sync_status_enable" value="0" /> - <parameter name="std_rx_word_aligner_mode" value="bitslip" /> - <parameter name="std_rx_word_aligner_pattern" value="0" /> - <parameter name="std_rx_word_aligner_pattern_len" value="7" /> - <parameter name="std_rx_word_aligner_renumber" value="3" /> - <parameter name="std_rx_word_aligner_rgnumber" value="3" /> - <parameter name="std_rx_word_aligner_rknumber" value="3" /> - <parameter name="std_rx_word_aligner_rvnumber" value="0" /> - <parameter name="std_tx_8b10b_disp_ctrl_enable" value="0" /> - <parameter name="std_tx_8b10b_enable" value="0" /> - <parameter name="std_tx_bitrev_enable" value="0" /> - <parameter name="std_tx_bitslip_enable" value="0" /> - <parameter name="std_tx_byte_ser_mode" value="Disabled" /> - <parameter name="std_tx_byterev_enable" value="0" /> - <parameter name="std_tx_pcfifo_mode" value="low_latency" /> - <parameter name="std_tx_polinv_enable" value="0" /> - <parameter name="support_mode" value="user_mode" /> - <parameter name="tx_pma_clk_div" value="1" /> - <parameter name="tx_pma_div_clkout_divider" value="33" /> - <parameter name="validation_rule_select" value="" /> - </module> -</system> diff --git a/libraries/technology/ip_arria10_e2sg/phy_10gbase_r_4/hdllib.cfg b/libraries/technology/ip_arria10_e2sg/phy_10gbase_r_4/hdllib.cfg index 2b6b7c7bee..25b4449878 100644 --- a/libraries/technology/ip_arria10_e2sg/phy_10gbase_r_4/hdllib.cfg +++ b/libraries/technology/ip_arria10_e2sg/phy_10gbase_r_4/hdllib.cfg @@ -20,5 +20,5 @@ quartus_qip_files = [generate_ip_libs] qsys-generate_ip_files = - ip_arria10_e2sg_phy_10gbase_r_4.qsys + ip_arria10_e2sg_phy_10gbase_r_4.ip diff --git a/libraries/technology/ip_arria10_e2sg/phy_10gbase_r_4/ip_arria10_e2sg_phy_10gbase_r_4.ip b/libraries/technology/ip_arria10_e2sg/phy_10gbase_r_4/ip_arria10_e2sg_phy_10gbase_r_4.ip new file mode 100644 index 0000000000..7223c13a70 --- /dev/null +++ b/libraries/technology/ip_arria10_e2sg/phy_10gbase_r_4/ip_arria10_e2sg_phy_10gbase_r_4.ip @@ -0,0 +1,10738 @@ +<?xml version="1.0" ?> +<ipxact:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact2014/extensions" xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"> + <ipxact:vendor>Intel Corporation</ipxact:vendor> + <ipxact:library>ip_arria10_e2sg_phy_10gbase_r_4</ipxact:library> + <ipxact:name>xcvr_native_a10_0</ipxact:name> + <ipxact:version>19.1</ipxact:version> + <ipxact:busInterfaces> + <ipxact:busInterface> + <ipxact:name>tx_analogreset</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>tx_analogreset</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_analogreset</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_digitalreset</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>tx_digitalreset</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_digitalreset</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_analogreset</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_analogreset</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_analogreset</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_digitalreset</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_digitalreset</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_digitalreset</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_cal_busy</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>tx_cal_busy</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_cal_busy</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_cal_busy</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_cal_busy</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_cal_busy</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_serial_clk0</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_serial_clk0</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_cdr_refclk0</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_cdr_refclk0</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_serial_data</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>tx_serial_data</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_serial_data</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_serial_data</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_serial_data</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_serial_data</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_seriallpbken</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_seriallpbken</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_seriallpbken</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_is_lockedtoref</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_is_lockedtoref</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_is_lockedtoref</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_is_lockedtodata</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_is_lockedtodata</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_is_lockedtodata</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_coreclkin</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_coreclkin</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_coreclkin</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_coreclkin</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_clkout</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_clkout</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_clkout</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_clkout</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_parallel_data</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>tx_parallel_data</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_parallel_data</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_control</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>tx_control</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_control</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_err_ins</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>tx_err_ins</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_err_ins</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>unused_tx_parallel_data</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>unused_tx_parallel_data</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>unused_tx_parallel_data</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>unused_tx_control</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>unused_tx_control</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>unused_tx_control</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_parallel_data</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_parallel_data</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_parallel_data</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_control</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_control</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_control</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>unused_rx_parallel_data</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>unused_rx_parallel_data</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>unused_rx_parallel_data</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>unused_rx_control</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>unused_rx_control</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>unused_rx_control</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_prbs_err_clr</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_prbs_err_clr</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_prbs_err_clr</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_prbs_done</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_prbs_done</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_prbs_done</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_prbs_err</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_prbs_err</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_prbs_err</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_enh_data_valid</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>tx_enh_data_valid</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_enh_data_valid</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_enh_fifo_full</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>tx_enh_fifo_full</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_enh_fifo_full</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_enh_fifo_pfull</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>tx_enh_fifo_pfull</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_enh_fifo_pfull</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_enh_fifo_empty</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>tx_enh_fifo_empty</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_enh_fifo_empty</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_enh_fifo_pempty</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>tx_enh_fifo_pempty</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_enh_fifo_pempty</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_enh_data_valid</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_enh_data_valid</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_enh_data_valid</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_enh_fifo_full</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_enh_fifo_full</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_enh_fifo_full</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_enh_fifo_empty</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_enh_fifo_empty</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_enh_fifo_empty</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_enh_fifo_del</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_enh_fifo_del</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_enh_fifo_del</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_enh_fifo_insert</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_enh_fifo_insert</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_enh_fifo_insert</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_enh_highber</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_enh_highber</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_enh_highber</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_enh_blk_lock</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_enh_blk_lock</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_enh_blk_lock</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>reconfig_clk</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>reconfig_clk</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>reconfig_reset</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>reset</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>reconfig_reset</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>reconfig_avmm</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>write</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>reconfig_write</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>read</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>reconfig_read</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>address</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>reconfig_address</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>writedata</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>reconfig_writedata</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>readdata</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>reconfig_readdata</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>waitrequest</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>reconfig_waitrequest</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + </ipxact:busInterfaces> + <ipxact:model> + <ipxact:views> + <ipxact:view> + <ipxact:name>QUARTUS_SYNTH</ipxact:name> + <ipxact:envIdentifier>:quartus.altera.com:</ipxact:envIdentifier> + <ipxact:componentInstantiationRef>QUARTUS_SYNTH</ipxact:componentInstantiationRef> + </ipxact:view> + </ipxact:views> + <ipxact:instantiations> + <ipxact:componentInstantiation> + <ipxact:name>QUARTUS_SYNTH</ipxact:name> + <ipxact:moduleName>altera_xcvr_native_a10</ipxact:moduleName> + <ipxact:fileSetRef> + <ipxact:localName>QUARTUS_SYNTH</ipxact:localName> + </ipxact:fileSetRef> + </ipxact:componentInstantiation> + </ipxact:instantiations> + <ipxact:ports> + <ipxact:port> + <ipxact:name>tx_analogreset</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>3</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_digitalreset</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>3</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_analogreset</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>3</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_digitalreset</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>3</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_cal_busy</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>3</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_cal_busy</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>3</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_serial_clk0</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>3</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_cdr_refclk0</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_serial_data</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>3</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_serial_data</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>3</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_seriallpbken</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>3</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_is_lockedtoref</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>3</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_is_lockedtodata</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>3</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_coreclkin</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>3</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_coreclkin</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>3</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_clkout</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>3</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_clkout</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>3</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_parallel_data</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>255</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_control</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>31</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_err_ins</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>3</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>unused_tx_parallel_data</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>255</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>unused_tx_control</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>35</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_parallel_data</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>255</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_control</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>31</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>unused_rx_parallel_data</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>255</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>unused_rx_control</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>47</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_prbs_err_clr</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>3</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_prbs_done</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>3</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_prbs_err</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>3</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_enh_data_valid</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>3</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_enh_fifo_full</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>3</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_enh_fifo_pfull</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>3</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_enh_fifo_empty</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>3</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_enh_fifo_pempty</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>3</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_enh_data_valid</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>3</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_enh_fifo_full</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>3</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_enh_fifo_empty</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>3</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_enh_fifo_del</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>3</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_enh_fifo_insert</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>3</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_enh_highber</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>3</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_enh_blk_lock</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>3</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>reconfig_clk</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>reconfig_reset</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>reconfig_write</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>reconfig_read</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>reconfig_address</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>11</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>reconfig_writedata</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>31</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>reconfig_readdata</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>31</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>reconfig_waitrequest</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + </ipxact:ports> + </ipxact:model> + <ipxact:vendorExtensions> + <altera:entity_info> + <ipxact:vendor>Intel Corporation</ipxact:vendor> + <ipxact:library>ip_arria10_e2sg_phy_10gbase_r_4</ipxact:library> + <ipxact:name>altera_xcvr_native_a10</ipxact:name> + <ipxact:version>19.1</ipxact:version> + </altera:entity_info> + <altera:altera_module_parameters> + <ipxact:parameters> + <ipxact:parameter parameterId="device_family" type="string"> + <ipxact:name>device_family</ipxact:name> + <ipxact:displayName>device_family</ipxact:displayName> + <ipxact:value>Arria 10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="device" type="string"> + <ipxact:name>device</ipxact:name> + <ipxact:displayName>device</ipxact:displayName> + <ipxact:value>10AX115U3F45E2SG</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="base_device" type="string"> + <ipxact:name>base_device</ipxact:name> + <ipxact:displayName>base_device</ipxact:displayName> + <ipxact:value>NIGHTFURY5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="design_environment" type="string"> + <ipxact:name>design_environment</ipxact:name> + <ipxact:displayName>design_environment</ipxact:displayName> + <ipxact:value>NATIVE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="device_revision" type="string"> + <ipxact:name>device_revision</ipxact:name> + <ipxact:displayName>device_revision</ipxact:displayName> + <ipxact:value>20nm5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="message_level" type="string"> + <ipxact:name>message_level</ipxact:name> + <ipxact:displayName>Message level for rule violations</ipxact:displayName> + <ipxact:value>error</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_voltage" type="string"> + <ipxact:name>anlg_voltage</ipxact:name> + <ipxact:displayName>VCCR_GXB and VCCT_GXB supply voltage for the Transceiver</ipxact:displayName> + <ipxact:value>1_0V</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_link" type="string"> + <ipxact:name>anlg_link</ipxact:name> + <ipxact:displayName>Tranceiver Link Type</ipxact:displayName> + <ipxact:value>sr</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="support_mode" type="string"> + <ipxact:name>support_mode</ipxact:name> + <ipxact:displayName>Protocol support mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="protocol_mode" type="string"> + <ipxact:name>protocol_mode</ipxact:name> + <ipxact:displayName>Transceiver configuration rules</ipxact:displayName> + <ipxact:value>teng_baser_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_mode" type="string"> + <ipxact:name>pma_mode</ipxact:name> + <ipxact:displayName>PMA configuration rules</ipxact:displayName> + <ipxact:value>basic</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="duplex_mode" type="string"> + <ipxact:name>duplex_mode</ipxact:name> + <ipxact:displayName>Transceiver mode</ipxact:displayName> + <ipxact:value>duplex</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="channels" type="int"> + <ipxact:name>channels</ipxact:name> + <ipxact:displayName>Number of data channels</ipxact:displayName> + <ipxact:value>4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_data_rate" type="string"> + <ipxact:name>set_data_rate</ipxact:name> + <ipxact:displayName>Data rate</ipxact:displayName> + <ipxact:value>10312.5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_iface_enable" type="int"> + <ipxact:name>rcfg_iface_enable</ipxact:name> + <ipxact:displayName>Enable datapath and interface reconfiguration</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_simple_interface" type="int"> + <ipxact:name>enable_simple_interface</ipxact:name> + <ipxact:displayName>Enable simplified data interface</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_split_interface" type="int"> + <ipxact:name>enable_split_interface</ipxact:name> + <ipxact:displayName>Provide separate interface for each channel</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_enable_calibration" type="int"> + <ipxact:name>set_enable_calibration</ipxact:name> + <ipxact:displayName>Enable calibration</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_calibration" type="int"> + <ipxact:name>enable_calibration</ipxact:name> + <ipxact:displayName>enable_calibration</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_disconnect_analog_resets" type="int"> + <ipxact:name>set_disconnect_analog_resets</ipxact:name> + <ipxact:displayName>Disconnect analog resets</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_analog_resets" type="int"> + <ipxact:name>enable_analog_resets</ipxact:name> + <ipxact:displayName>Enable analog resets</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_reset_sequence" type="int"> + <ipxact:name>enable_reset_sequence</ipxact:name> + <ipxact:displayName>Enable reset sequence</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_transparent_pcs" type="int"> + <ipxact:name>enable_transparent_pcs</ipxact:name> + <ipxact:displayName>Enable transparent PCS</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_parallel_loopback" type="int"> + <ipxact:name>enable_parallel_loopback</ipxact:name> + <ipxact:displayName>Enable parallel loopback</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_upi_pipeline_options" type="int"> + <ipxact:name>enable_upi_pipeline_options</ipxact:name> + <ipxact:displayName>Enable UPI Pipeline Options</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pcs_tx_delay1_ctrl" type="string"> + <ipxact:name>pcs_tx_delay1_ctrl</ipxact:name> + <ipxact:displayName>Delay1 setting</ipxact:displayName> + <ipxact:value>delay1_path0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pcs_tx_delay1_data_sel" type="string"> + <ipxact:name>pcs_tx_delay1_data_sel</ipxact:name> + <ipxact:displayName>Delay1 mode</ipxact:displayName> + <ipxact:value>one_ff_delay</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pcs_tx_delay2_ctrl" type="string"> + <ipxact:name>pcs_tx_delay2_ctrl</ipxact:name> + <ipxact:displayName>Delay2 setting</ipxact:displayName> + <ipxact:value>delay2_path0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="bonded_mode" type="string"> + <ipxact:name>bonded_mode</ipxact:name> + <ipxact:displayName>TX channel bonding mode</ipxact:displayName> + <ipxact:value>not_bonded</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_pcs_bonding_master" type="string"> + <ipxact:name>set_pcs_bonding_master</ipxact:name> + <ipxact:displayName>PCS TX channel bonding master</ipxact:displayName> + <ipxact:value>Auto</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pcs_bonding_master" type="int"> + <ipxact:name>pcs_bonding_master</ipxact:name> + <ipxact:displayName>Actual PCS TX channel bonding master</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="tx_pma_clk_div" type="int"> + <ipxact:name>tx_pma_clk_div</ipxact:name> + <ipxact:displayName>TX local clock division factor</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="plls" type="int"> + <ipxact:name>plls</ipxact:name> + <ipxact:displayName>Number of TX PLL clock inputs per channel</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_select" type="int"> + <ipxact:name>pll_select</ipxact:name> + <ipxact:displayName>Initial TX PLL clock input selection</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_analog_reset_ack" type="int"> + <ipxact:name>enable_port_tx_analog_reset_ack</ipxact:name> + <ipxact:displayName>Enable tx_analog_reset_ack port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_pma_clkout" type="int"> + <ipxact:name>enable_port_tx_pma_clkout</ipxact:name> + <ipxact:displayName>Enable tx_pma_clkout port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_pma_div_clkout" type="int"> + <ipxact:name>enable_port_tx_pma_div_clkout</ipxact:name> + <ipxact:displayName>Enable tx_pma_div_clkout port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="tx_pma_div_clkout_divider" type="string"> + <ipxact:name>tx_pma_div_clkout_divider</ipxact:name> + <ipxact:displayName>tx_pma_div_clkout division factor</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_pma_iqtxrx_clkout" type="int"> + <ipxact:name>enable_port_tx_pma_iqtxrx_clkout</ipxact:name> + <ipxact:displayName>Enable tx_pma_iqtxrx_clkout port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_pma_elecidle" type="int"> + <ipxact:name>enable_port_tx_pma_elecidle</ipxact:name> + <ipxact:displayName>Enable tx_pma_elecidle port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_pma_qpipullup" type="int"> + <ipxact:name>enable_port_tx_pma_qpipullup</ipxact:name> + <ipxact:displayName>Enable tx_pma_qpipullup port (QPI)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_pma_qpipulldn" type="int"> + <ipxact:name>enable_port_tx_pma_qpipulldn</ipxact:name> + <ipxact:displayName>Enable tx_pma_qpipulldn port (QPI)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_pma_txdetectrx" type="int"> + <ipxact:name>enable_port_tx_pma_txdetectrx</ipxact:name> + <ipxact:displayName>Enable tx_pma_txdetectrx port (QPI)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_pma_rxfound" type="int"> + <ipxact:name>enable_port_tx_pma_rxfound</ipxact:name> + <ipxact:displayName>Enable tx_pma_rxfound port (QPI)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_seriallpbken_tx" type="int"> + <ipxact:name>enable_port_rx_seriallpbken_tx</ipxact:name> + <ipxact:displayName>Enable rx_seriallpbken port</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="number_physical_bonding_clocks" type="int"> + <ipxact:name>number_physical_bonding_clocks</ipxact:name> + <ipxact:displayName>Number of physical bonding clock ports to use.</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_refclk_cnt" type="int"> + <ipxact:name>cdr_refclk_cnt</ipxact:name> + <ipxact:displayName>Number of CDR reference clocks</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_refclk_select" type="int"> + <ipxact:name>cdr_refclk_select</ipxact:name> + <ipxact:displayName>Selected CDR reference clock</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_cdr_refclk_freq" type="string"> + <ipxact:name>set_cdr_refclk_freq</ipxact:name> + <ipxact:displayName>Selected CDR reference clock frequency</ipxact:displayName> + <ipxact:value>644.531250</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rx_ppm_detect_threshold" type="string"> + <ipxact:name>rx_ppm_detect_threshold</ipxact:name> + <ipxact:displayName>PPM detector threshold</ipxact:displayName> + <ipxact:value>1000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rx_pma_ctle_adaptation_mode" type="string"> + <ipxact:name>rx_pma_ctle_adaptation_mode</ipxact:name> + <ipxact:displayName>CTLE mode</ipxact:displayName> + <ipxact:value>manual</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rx_pma_dfe_adaptation_mode" type="string"> + <ipxact:name>rx_pma_dfe_adaptation_mode</ipxact:name> + <ipxact:displayName>DFE mode</ipxact:displayName> + <ipxact:value>disabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rx_pma_dfe_fixed_taps" type="int"> + <ipxact:name>rx_pma_dfe_fixed_taps</ipxact:name> + <ipxact:displayName>Number of fixed dfe taps</ipxact:displayName> + <ipxact:value>3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_ports_adaptation" type="int"> + <ipxact:name>enable_ports_adaptation</ipxact:name> + <ipxact:displayName>Enable adaptation control ports</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_analog_reset_ack" type="int"> + <ipxact:name>enable_port_rx_analog_reset_ack</ipxact:name> + <ipxact:displayName>Enable rx_analog_reset_ack port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_pma_clkout" type="int"> + <ipxact:name>enable_port_rx_pma_clkout</ipxact:name> + <ipxact:displayName>Enable rx_pma_clkout port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_pma_div_clkout" type="int"> + <ipxact:name>enable_port_rx_pma_div_clkout</ipxact:name> + <ipxact:displayName>Enable rx_pma_div_clkout port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rx_pma_div_clkout_divider" type="string"> + <ipxact:name>rx_pma_div_clkout_divider</ipxact:name> + <ipxact:displayName>rx_pma_div_clkout division factor</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_pma_iqtxrx_clkout" type="int"> + <ipxact:name>enable_port_rx_pma_iqtxrx_clkout</ipxact:name> + <ipxact:displayName>Enable rx_pma_iqtxrx_clkout port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_pma_clkslip" type="int"> + <ipxact:name>enable_port_rx_pma_clkslip</ipxact:name> + <ipxact:displayName>Enable rx_pma_clkslip port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_pma_qpipulldn" type="int"> + <ipxact:name>enable_port_rx_pma_qpipulldn</ipxact:name> + <ipxact:displayName>Enable rx_pma_qpipulldn port (QPI)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_is_lockedtodata" type="int"> + <ipxact:name>enable_port_rx_is_lockedtodata</ipxact:name> + <ipxact:displayName>Enable rx_is_lockedtodata port</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_is_lockedtoref" type="int"> + <ipxact:name>enable_port_rx_is_lockedtoref</ipxact:name> + <ipxact:displayName>Enable rx_is_lockedtoref port</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_ports_rx_manual_cdr_mode" type="int"> + <ipxact:name>enable_ports_rx_manual_cdr_mode</ipxact:name> + <ipxact:displayName>Enable rx_set_locktodata and rx_set_locktoref ports</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_ports_rx_manual_ppm" type="int"> + <ipxact:name>enable_ports_rx_manual_ppm</ipxact:name> + <ipxact:displayName>Enable rx_fref and rx_clklow ports</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_signaldetect" type="int"> + <ipxact:name>enable_port_rx_signaldetect</ipxact:name> + <ipxact:displayName>Enable rx_signaldetect port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_seriallpbken" type="int"> + <ipxact:name>enable_port_rx_seriallpbken</ipxact:name> + <ipxact:displayName>Enable rx_seriallpbken port</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_ports_rx_prbs" type="int"> + <ipxact:name>enable_ports_rx_prbs</ipxact:name> + <ipxact:displayName>Enable PRBS verifier control and status ports</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_pcs_pma_width" type="int"> + <ipxact:name>std_pcs_pma_width</ipxact:name> + <ipxact:displayName>Standard PCS / PMA interface width</ipxact:displayName> + <ipxact:value>10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="display_std_tx_pld_pcs_width" type="int"> + <ipxact:name>display_std_tx_pld_pcs_width</ipxact:name> + <ipxact:displayName>FPGA fabric / Standard TX PCS interface width</ipxact:displayName> + <ipxact:value>10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="display_std_rx_pld_pcs_width" type="int"> + <ipxact:name>display_std_rx_pld_pcs_width</ipxact:name> + <ipxact:displayName>FPGA fabric / Standard RX PCS interface width</ipxact:displayName> + <ipxact:value>10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_low_latency_bypass_enable" type="int"> + <ipxact:name>std_low_latency_bypass_enable</ipxact:name> + <ipxact:displayName>Enable 'Standard PCS' low latency mode</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_hip" type="int"> + <ipxact:name>enable_hip</ipxact:name> + <ipxact:displayName>Enable PCIe hard IP support</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_skp_ports" type="int"> + <ipxact:name>enable_skp_ports</ipxact:name> + <ipxact:displayName>Enable SKP ports for Gen3</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_hard_reset" type="int"> + <ipxact:name>enable_hard_reset</ipxact:name> + <ipxact:displayName>Enable hard reset controller (HIP)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_hip_cal_en" type="int"> + <ipxact:name>set_hip_cal_en</ipxact:name> + <ipxact:displayName>Enable PCIe hard IP calibration</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hip_cal_en" type="string"> + <ipxact:name>hip_cal_en</ipxact:name> + <ipxact:displayName>hip_cal_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_pcie_data_mask_option" type="int"> + <ipxact:name>enable_pcie_data_mask_option</ipxact:name> + <ipxact:displayName>Enable PCIe data mask count multiplier control</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_data_mask_count_multi" type="int"> + <ipxact:name>std_data_mask_count_multi</ipxact:name> + <ipxact:displayName>PCIe data mask count multiplier</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_tx_pcfifo_mode" type="string"> + <ipxact:name>std_tx_pcfifo_mode</ipxact:name> + <ipxact:displayName>TX FIFO mode</ipxact:displayName> + <ipxact:value>low_latency</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_rx_pcfifo_mode" type="string"> + <ipxact:name>std_rx_pcfifo_mode</ipxact:name> + <ipxact:displayName>RX FIFO mode</ipxact:displayName> + <ipxact:value>low_latency</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_std_pcfifo_full" type="int"> + <ipxact:name>enable_port_tx_std_pcfifo_full</ipxact:name> + <ipxact:displayName>Enable tx_std_pcfifo_full port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_std_pcfifo_empty" type="int"> + <ipxact:name>enable_port_tx_std_pcfifo_empty</ipxact:name> + <ipxact:displayName>Enable tx_std_pcfifo_empty port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_std_pcfifo_full" type="int"> + <ipxact:name>enable_port_rx_std_pcfifo_full</ipxact:name> + <ipxact:displayName>Enable rx_std_pcfifo_full port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_std_pcfifo_empty" type="int"> + <ipxact:name>enable_port_rx_std_pcfifo_empty</ipxact:name> + <ipxact:displayName>Enable rx_std_pcfifo_empty port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_tx_byte_ser_mode" type="string"> + <ipxact:name>std_tx_byte_ser_mode</ipxact:name> + <ipxact:displayName>TX byte serializer mode</ipxact:displayName> + <ipxact:value>Disabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_rx_byte_deser_mode" type="string"> + <ipxact:name>std_rx_byte_deser_mode</ipxact:name> + <ipxact:displayName>RX byte deserializer mode</ipxact:displayName> + <ipxact:value>Disabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_tx_8b10b_enable" type="int"> + <ipxact:name>std_tx_8b10b_enable</ipxact:name> + <ipxact:displayName>Enable TX 8B/10B encoder</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_tx_8b10b_disp_ctrl_enable" type="int"> + <ipxact:name>std_tx_8b10b_disp_ctrl_enable</ipxact:name> + <ipxact:displayName>Enable TX 8B/10B disparity control</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_rx_8b10b_enable" type="int"> + <ipxact:name>std_rx_8b10b_enable</ipxact:name> + <ipxact:displayName>Enable RX 8B/10B decoder</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_rx_rmfifo_mode" type="string"> + <ipxact:name>std_rx_rmfifo_mode</ipxact:name> + <ipxact:displayName>RX rate match FIFO mode</ipxact:displayName> + <ipxact:value>disabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_rx_rmfifo_pattern_n" type="int"> + <ipxact:name>std_rx_rmfifo_pattern_n</ipxact:name> + <ipxact:displayName>RX rate match insert/delete -ve pattern (hex)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_rx_rmfifo_pattern_p" type="int"> + <ipxact:name>std_rx_rmfifo_pattern_p</ipxact:name> + <ipxact:displayName>RX rate match insert/delete +ve pattern (hex)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_std_rmfifo_full" type="int"> + <ipxact:name>enable_port_rx_std_rmfifo_full</ipxact:name> + <ipxact:displayName>Enable rx_std_rmfifo_full port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_std_rmfifo_empty" type="int"> + <ipxact:name>enable_port_rx_std_rmfifo_empty</ipxact:name> + <ipxact:displayName>Enable rx_std_rmfifo_empty port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pcie_rate_match" type="string"> + <ipxact:name>pcie_rate_match</ipxact:name> + <ipxact:displayName>PCI Express Gen 3 rate match FIFO mode</ipxact:displayName> + <ipxact:value>Bypass</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_tx_bitslip_enable" type="int"> + <ipxact:name>std_tx_bitslip_enable</ipxact:name> + <ipxact:displayName>Enable TX bitslip</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_std_bitslipboundarysel" type="int"> + <ipxact:name>enable_port_tx_std_bitslipboundarysel</ipxact:name> + <ipxact:displayName>Enable tx_std_bitslipboundarysel port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_rx_word_aligner_mode" type="string"> + <ipxact:name>std_rx_word_aligner_mode</ipxact:name> + <ipxact:displayName>RX word aligner mode</ipxact:displayName> + <ipxact:value>bitslip</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_rx_word_aligner_pattern_len" type="int"> + <ipxact:name>std_rx_word_aligner_pattern_len</ipxact:name> + <ipxact:displayName>RX word aligner pattern length</ipxact:displayName> + <ipxact:value>7</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_rx_word_aligner_pattern" type="longint"> + <ipxact:name>std_rx_word_aligner_pattern</ipxact:name> + <ipxact:displayName>RX word aligner pattern (hex)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_rx_word_aligner_rknumber" type="int"> + <ipxact:name>std_rx_word_aligner_rknumber</ipxact:name> + <ipxact:displayName>Number of word alignment patterns to achieve sync</ipxact:displayName> + <ipxact:value>3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_rx_word_aligner_renumber" type="int"> + <ipxact:name>std_rx_word_aligner_renumber</ipxact:name> + <ipxact:displayName>Number of invalid data words to lose sync</ipxact:displayName> + <ipxact:value>3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_rx_word_aligner_rgnumber" type="int"> + <ipxact:name>std_rx_word_aligner_rgnumber</ipxact:name> + <ipxact:displayName>Number of valid data words to decrement error count</ipxact:displayName> + <ipxact:value>3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_rx_word_aligner_rvnumber" type="int"> + <ipxact:name>std_rx_word_aligner_rvnumber</ipxact:name> + <ipxact:displayName>Number of valid data patterns required to achieve word alignment</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_rx_word_aligner_fast_sync_status_enable" type="int"> + <ipxact:name>std_rx_word_aligner_fast_sync_status_enable</ipxact:name> + <ipxact:displayName>Enable fast sync status reporting for deterministic latency SM</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_std_wa_patternalign" type="int"> + <ipxact:name>enable_port_rx_std_wa_patternalign</ipxact:name> + <ipxact:displayName>Enable rx_std_wa_patternalign port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_std_wa_a1a2size" type="int"> + <ipxact:name>enable_port_rx_std_wa_a1a2size</ipxact:name> + <ipxact:displayName>Enable rx_std_wa_a1a2size port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_std_bitslipboundarysel" type="int"> + <ipxact:name>enable_port_rx_std_bitslipboundarysel</ipxact:name> + <ipxact:displayName>Enable rx_std_bitslipboundarysel port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_std_bitslip" type="int"> + <ipxact:name>enable_port_rx_std_bitslip</ipxact:name> + <ipxact:displayName>Enable rx_bitslip port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_tx_bitrev_enable" type="int"> + <ipxact:name>std_tx_bitrev_enable</ipxact:name> + <ipxact:displayName>Enable TX bit reversal</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_tx_byterev_enable" type="int"> + <ipxact:name>std_tx_byterev_enable</ipxact:name> + <ipxact:displayName>Enable TX byte reversal</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_tx_polinv_enable" type="int"> + <ipxact:name>std_tx_polinv_enable</ipxact:name> + <ipxact:displayName>Enable TX polarity inversion</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_polinv" type="int"> + <ipxact:name>enable_port_tx_polinv</ipxact:name> + <ipxact:displayName>Enable tx_polinv port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_rx_bitrev_enable" type="int"> + <ipxact:name>std_rx_bitrev_enable</ipxact:name> + <ipxact:displayName>Enable RX bit reversal</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_std_bitrev_ena" type="int"> + <ipxact:name>enable_port_rx_std_bitrev_ena</ipxact:name> + <ipxact:displayName>Enable rx_std_bitrev_ena port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_rx_byterev_enable" type="int"> + <ipxact:name>std_rx_byterev_enable</ipxact:name> + <ipxact:displayName>Enable RX byte reversal</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_std_byterev_ena" type="int"> + <ipxact:name>enable_port_rx_std_byterev_ena</ipxact:name> + <ipxact:displayName>Enable rx_std_byterev_ena port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_rx_polinv_enable" type="int"> + <ipxact:name>std_rx_polinv_enable</ipxact:name> + <ipxact:displayName>Enable RX polarity inversion</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_polinv" type="int"> + <ipxact:name>enable_port_rx_polinv</ipxact:name> + <ipxact:displayName>Enable rx_polinv port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_std_signaldetect" type="int"> + <ipxact:name>enable_port_rx_std_signaldetect</ipxact:name> + <ipxact:displayName>Enable rx_std_signaldetect port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_ports_pipe_sw" type="int"> + <ipxact:name>enable_ports_pipe_sw</ipxact:name> + <ipxact:displayName>Enable PCIe dynamic datarate switch ports</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_ports_pipe_hclk" type="int"> + <ipxact:name>enable_ports_pipe_hclk</ipxact:name> + <ipxact:displayName>Enable PCIe pipe_hclk_in and pipe_hclk_out ports</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_ports_pipe_g3_analog" type="int"> + <ipxact:name>enable_ports_pipe_g3_analog</ipxact:name> + <ipxact:displayName>Enable PCIe Gen 3 analog control ports</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_ports_pipe_rx_elecidle" type="int"> + <ipxact:name>enable_ports_pipe_rx_elecidle</ipxact:name> + <ipxact:displayName>Enable PCIe electrical idle control and status ports</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_pipe_rx_polarity" type="int"> + <ipxact:name>enable_port_pipe_rx_polarity</ipxact:name> + <ipxact:displayName>Enable PCIe pipe_rx_polarity port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_pcs_pma_width" type="int"> + <ipxact:name>enh_pcs_pma_width</ipxact:name> + <ipxact:displayName>Enhanced PCS / PMA interface width</ipxact:displayName> + <ipxact:value>32</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_pld_pcs_width" type="int"> + <ipxact:name>enh_pld_pcs_width</ipxact:name> + <ipxact:displayName>FPGA fabric / Enhanced PCS interface width</ipxact:displayName> + <ipxact:value>66</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_low_latency_enable" type="int"> + <ipxact:name>enh_low_latency_enable</ipxact:name> + <ipxact:displayName>Enable 'Enhanced PCS' low latency mode</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_rxtxfifo_double_width" type="int"> + <ipxact:name>enh_rxtxfifo_double_width</ipxact:name> + <ipxact:displayName>Enable RX/TX FIFO double width mode</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_txfifo_mode" type="string"> + <ipxact:name>enh_txfifo_mode</ipxact:name> + <ipxact:displayName>TX FIFO mode</ipxact:displayName> + <ipxact:value>Phase compensation</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_txfifo_pfull" type="int"> + <ipxact:name>enh_txfifo_pfull</ipxact:name> + <ipxact:displayName>TX FIFO partially full threshold</ipxact:displayName> + <ipxact:value>11</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_txfifo_pempty" type="int"> + <ipxact:name>enh_txfifo_pempty</ipxact:name> + <ipxact:displayName>TX FIFO partially empty threshold</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_enh_fifo_full" type="int"> + <ipxact:name>enable_port_tx_enh_fifo_full</ipxact:name> + <ipxact:displayName>Enable tx_enh_fifo_full port</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_enh_fifo_pfull" type="int"> + <ipxact:name>enable_port_tx_enh_fifo_pfull</ipxact:name> + <ipxact:displayName>Enable tx_enh_fifo_pfull port</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_enh_fifo_empty" type="int"> + <ipxact:name>enable_port_tx_enh_fifo_empty</ipxact:name> + <ipxact:displayName>Enable tx_enh_fifo_empty port</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_enh_fifo_pempty" type="int"> + <ipxact:name>enable_port_tx_enh_fifo_pempty</ipxact:name> + <ipxact:displayName>Enable tx_enh_fifo_pempty port</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_enh_fifo_cnt" type="int"> + <ipxact:name>enable_port_tx_enh_fifo_cnt</ipxact:name> + <ipxact:displayName>Enable tx_enh_fifo_cnt port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_rxfifo_mode" type="string"> + <ipxact:name>enh_rxfifo_mode</ipxact:name> + <ipxact:displayName>RX FIFO mode</ipxact:displayName> + <ipxact:value>10GBase-R</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_rxfifo_pfull" type="int"> + <ipxact:name>enh_rxfifo_pfull</ipxact:name> + <ipxact:displayName>RX FIFO partially full threshold</ipxact:displayName> + <ipxact:value>23</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_rxfifo_pempty" type="int"> + <ipxact:name>enh_rxfifo_pempty</ipxact:name> + <ipxact:displayName>RX FIFO partially empty threshold</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_rxfifo_align_del" type="int"> + <ipxact:name>enh_rxfifo_align_del</ipxact:name> + <ipxact:displayName>Enable RX FIFO alignment word deletion (Interlaken)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_rxfifo_control_del" type="int"> + <ipxact:name>enh_rxfifo_control_del</ipxact:name> + <ipxact:displayName>Enable RX FIFO control word deletion (Interlaken)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_data_valid" type="int"> + <ipxact:name>enable_port_rx_enh_data_valid</ipxact:name> + <ipxact:displayName>Enable rx_enh_data_valid port</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_fifo_full" type="int"> + <ipxact:name>enable_port_rx_enh_fifo_full</ipxact:name> + <ipxact:displayName>Enable rx_enh_fifo_full port</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_fifo_pfull" type="int"> + <ipxact:name>enable_port_rx_enh_fifo_pfull</ipxact:name> + <ipxact:displayName>Enable rx_enh_fifo_pfull port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_fifo_empty" type="int"> + <ipxact:name>enable_port_rx_enh_fifo_empty</ipxact:name> + <ipxact:displayName>Enable rx_enh_fifo_empty port</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_fifo_pempty" type="int"> + <ipxact:name>enable_port_rx_enh_fifo_pempty</ipxact:name> + <ipxact:displayName>Enable rx_enh_fifo_pempty port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_fifo_cnt" type="int"> + <ipxact:name>enable_port_rx_enh_fifo_cnt</ipxact:name> + <ipxact:displayName>Enable rx_enh_fifo_cnt port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_fifo_del" type="int"> + <ipxact:name>enable_port_rx_enh_fifo_del</ipxact:name> + <ipxact:displayName>Enable rx_enh_fifo_del port (10GBASE-R)</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_fifo_insert" type="int"> + <ipxact:name>enable_port_rx_enh_fifo_insert</ipxact:name> + <ipxact:displayName>Enable rx_enh_fifo_insert port (10GBASE-R)</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_fifo_rd_en" type="int"> + <ipxact:name>enable_port_rx_enh_fifo_rd_en</ipxact:name> + <ipxact:displayName>Enable rx_enh_fifo_rd_en port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_fifo_align_val" type="int"> + <ipxact:name>enable_port_rx_enh_fifo_align_val</ipxact:name> + <ipxact:displayName>Enable rx_enh_fifo_align_val port (Interlaken)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_fifo_align_clr" type="int"> + <ipxact:name>enable_port_rx_enh_fifo_align_clr</ipxact:name> + <ipxact:displayName>Enable rx_enh_fifo_align_clr port (Interlaken)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_tx_frmgen_enable" type="int"> + <ipxact:name>enh_tx_frmgen_enable</ipxact:name> + <ipxact:displayName>Enable Interlaken frame generator</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_tx_frmgen_mfrm_length" type="int"> + <ipxact:name>enh_tx_frmgen_mfrm_length</ipxact:name> + <ipxact:displayName>Frame generator metaframe length</ipxact:displayName> + <ipxact:value>2048</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_tx_frmgen_burst_enable" type="int"> + <ipxact:name>enh_tx_frmgen_burst_enable</ipxact:name> + <ipxact:displayName>Enable frame generator burst control</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_enh_frame" type="int"> + <ipxact:name>enable_port_tx_enh_frame</ipxact:name> + <ipxact:displayName>Enable tx_enh_frame port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_enh_frame_diag_status" type="int"> + <ipxact:name>enable_port_tx_enh_frame_diag_status</ipxact:name> + <ipxact:displayName>Enable tx_enh_frame_diag_status port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_enh_frame_burst_en" type="int"> + <ipxact:name>enable_port_tx_enh_frame_burst_en</ipxact:name> + <ipxact:displayName>Enable tx_enh_frame_burst_en port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_rx_frmsync_enable" type="int"> + <ipxact:name>enh_rx_frmsync_enable</ipxact:name> + <ipxact:displayName>Enable Interlaken frame synchronizer</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_rx_frmsync_mfrm_length" type="int"> + <ipxact:name>enh_rx_frmsync_mfrm_length</ipxact:name> + <ipxact:displayName>Frame synchronizer metaframe length</ipxact:displayName> + <ipxact:value>2048</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_frame" type="int"> + <ipxact:name>enable_port_rx_enh_frame</ipxact:name> + <ipxact:displayName>Enable rx_enh_frame port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_frame_lock" type="int"> + <ipxact:name>enable_port_rx_enh_frame_lock</ipxact:name> + <ipxact:displayName>Enable rx_enh_frame_lock port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_frame_diag_status" type="int"> + <ipxact:name>enable_port_rx_enh_frame_diag_status</ipxact:name> + <ipxact:displayName>Enable rx_enh_frame_diag_status port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_tx_crcgen_enable" type="int"> + <ipxact:name>enh_tx_crcgen_enable</ipxact:name> + <ipxact:displayName>Enable Interlaken TX CRC-32 generator</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_tx_crcerr_enable" type="int"> + <ipxact:name>enh_tx_crcerr_enable</ipxact:name> + <ipxact:displayName>Enable Interlaken TX CRC-32 generator error insertion</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_rx_crcchk_enable" type="int"> + <ipxact:name>enh_rx_crcchk_enable</ipxact:name> + <ipxact:displayName>Enable Interlaken RX CRC-32 checker</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_crc32_err" type="int"> + <ipxact:name>enable_port_rx_enh_crc32_err</ipxact:name> + <ipxact:displayName>Enable rx_enh_crc32_err port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_highber" type="int"> + <ipxact:name>enable_port_rx_enh_highber</ipxact:name> + <ipxact:displayName>Enable rx_enh_highber port (10GBASE-R)</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_highber_clr_cnt" type="int"> + <ipxact:name>enable_port_rx_enh_highber_clr_cnt</ipxact:name> + <ipxact:displayName>Enable rx_enh_highber_clr_cnt port (10GBASE-R)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_clr_errblk_count" type="int"> + <ipxact:name>enable_port_rx_enh_clr_errblk_count</ipxact:name> + <ipxact:displayName>Enable rx_enh_clr_errblk_count port (10GBASE-R & FEC)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_clr_errblk_count_c10" type="int"> + <ipxact:name>enable_port_rx_enh_clr_errblk_count_c10</ipxact:name> + <ipxact:displayName>Enable rx_enh_clr_errblk_count port (10GBASE-R)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_tx_64b66b_enable" type="int"> + <ipxact:name>enh_tx_64b66b_enable</ipxact:name> + <ipxact:displayName>Enable TX 64b/66b encoder</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_rx_64b66b_enable" type="int"> + <ipxact:name>enh_rx_64b66b_enable</ipxact:name> + <ipxact:displayName>Enable RX 64b/66b decoder</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_tx_sh_err" type="int"> + <ipxact:name>enh_tx_sh_err</ipxact:name> + <ipxact:displayName>Enable TX sync header error insertion</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_tx_scram_enable" type="int"> + <ipxact:name>enh_tx_scram_enable</ipxact:name> + <ipxact:displayName>Enable TX scrambler (10GBASE-R/Interlaken)</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_tx_scram_seed" type="longint"> + <ipxact:name>enh_tx_scram_seed</ipxact:name> + <ipxact:displayName>TX scrambler seed (10GBASE-R/Interlaken)</ipxact:displayName> + <ipxact:value>288230376151711743</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_rx_descram_enable" type="int"> + <ipxact:name>enh_rx_descram_enable</ipxact:name> + <ipxact:displayName>Enable RX descrambler (10GBASE-R/Interlaken)</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_tx_dispgen_enable" type="int"> + <ipxact:name>enh_tx_dispgen_enable</ipxact:name> + <ipxact:displayName>Enable Interlaken TX disparity generator</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_rx_dispchk_enable" type="int"> + <ipxact:name>enh_rx_dispchk_enable</ipxact:name> + <ipxact:displayName>Enable Interlaken RX disparity checker</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_tx_randomdispbit_enable" type="int"> + <ipxact:name>enh_tx_randomdispbit_enable</ipxact:name> + <ipxact:displayName>Enable Interlaken TX random disparity bit</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_rx_blksync_enable" type="int"> + <ipxact:name>enh_rx_blksync_enable</ipxact:name> + <ipxact:displayName>Enable RX block synchronizer</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_blk_lock" type="int"> + <ipxact:name>enable_port_rx_enh_blk_lock</ipxact:name> + <ipxact:displayName>Enable rx_enh_blk_lock port</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_tx_bitslip_enable" type="int"> + <ipxact:name>enh_tx_bitslip_enable</ipxact:name> + <ipxact:displayName>Enable TX data bitslip</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_tx_polinv_enable" type="int"> + <ipxact:name>enh_tx_polinv_enable</ipxact:name> + <ipxact:displayName>Enable TX data polarity inversion</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_rx_bitslip_enable" type="int"> + <ipxact:name>enh_rx_bitslip_enable</ipxact:name> + <ipxact:displayName>Enable RX data bitslip</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_rx_polinv_enable" type="int"> + <ipxact:name>enh_rx_polinv_enable</ipxact:name> + <ipxact:displayName>Enable RX data polarity inversion</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_enh_bitslip" type="int"> + <ipxact:name>enable_port_tx_enh_bitslip</ipxact:name> + <ipxact:displayName>Enable tx_enh_bitslip port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_bitslip" type="int"> + <ipxact:name>enable_port_rx_enh_bitslip</ipxact:name> + <ipxact:displayName>Enable rx_bitslip port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_rx_krfec_err_mark_enable" type="int"> + <ipxact:name>enh_rx_krfec_err_mark_enable</ipxact:name> + <ipxact:displayName>Enable RX KR-FEC error marking</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_rx_krfec_err_mark_type" type="string"> + <ipxact:name>enh_rx_krfec_err_mark_type</ipxact:name> + <ipxact:displayName>Error marking type</ipxact:displayName> + <ipxact:value>10G</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_tx_krfec_burst_err_enable" type="int"> + <ipxact:name>enh_tx_krfec_burst_err_enable</ipxact:name> + <ipxact:displayName>Enable KR-FEC TX error insertion</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_tx_krfec_burst_err_len" type="int"> + <ipxact:name>enh_tx_krfec_burst_err_len</ipxact:name> + <ipxact:displayName>KR-FEC TX error insertion spacing</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_krfec_tx_enh_frame" type="int"> + <ipxact:name>enable_port_krfec_tx_enh_frame</ipxact:name> + <ipxact:displayName>Enable tx_enh_frame port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_krfec_rx_enh_frame" type="int"> + <ipxact:name>enable_port_krfec_rx_enh_frame</ipxact:name> + <ipxact:displayName>Enable rx_enh_frame port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_krfec_rx_enh_frame_diag_status" type="int"> + <ipxact:name>enable_port_krfec_rx_enh_frame_diag_status</ipxact:name> + <ipxact:displayName>Enable rx_enh_frame_diag_status port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pcs_direct_width" type="int"> + <ipxact:name>pcs_direct_width</ipxact:name> + <ipxact:displayName>PCS Direct interface width</ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="generate_docs" type="int"> + <ipxact:name>generate_docs</ipxact:name> + <ipxact:displayName>Generate parameter documentation file</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="generate_add_hdl_instance_example" type="int"> + <ipxact:name>generate_add_hdl_instance_example</ipxact:name> + <ipxact:displayName>Generate '_hw.tcl' 'add_hdl_instance' example file</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="validation_rule_select" type="string"> + <ipxact:name>validation_rule_select</ipxact:name> + <ipxact:displayName>View validation rule for parameter</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_advanced_options" type="int"> + <ipxact:name>enable_advanced_options</ipxact:name> + <ipxact:displayName>enable_advanced_options</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_physical_bonding_clocks" type="int"> + <ipxact:name>enable_physical_bonding_clocks</ipxact:name> + <ipxact:displayName>enable_physical_bonding_clocks</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_debug_options" type="int"> + <ipxact:name>enable_debug_options</ipxact:name> + <ipxact:displayName>enable_debug_options</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_advanced_avmm_options" type="int"> + <ipxact:name>enable_advanced_avmm_options</ipxact:name> + <ipxact:displayName>enable_advanced_avmm_options</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_odi_accelerator" type="int"> + <ipxact:name>enable_odi_accelerator</ipxact:name> + <ipxact:displayName>enable_odi_accelerator</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_advanced_upi_options" type="int"> + <ipxact:name>enable_advanced_upi_options</ipxact:name> + <ipxact:displayName>enable_advanced_upi_options</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_channels" type="int"> + <ipxact:name>l_channels</ipxact:name> + <ipxact:displayName>l_channels</ipxact:displayName> + <ipxact:value>4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="tx_enable" type="int"> + <ipxact:name>tx_enable</ipxact:name> + <ipxact:displayName>tx_enable</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="datapath_select" type="string"> + <ipxact:name>datapath_select</ipxact:name> + <ipxact:displayName>datapath_select</ipxact:displayName> + <ipxact:value>Enhanced</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rx_enable" type="int"> + <ipxact:name>rx_enable</ipxact:name> + <ipxact:displayName>rx_enable</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_split_iface" type="int"> + <ipxact:name>l_split_iface</ipxact:name> + <ipxact:displayName>l_split_iface</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_pcs_pma_width" type="int"> + <ipxact:name>l_pcs_pma_width</ipxact:name> + <ipxact:displayName>l_pcs_pma_width</ipxact:displayName> + <ipxact:value>32</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_tx_pld_pcs_width" type="int"> + <ipxact:name>l_tx_pld_pcs_width</ipxact:name> + <ipxact:displayName>l_tx_pld_pcs_width</ipxact:displayName> + <ipxact:value>66</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_rx_pld_pcs_width" type="int"> + <ipxact:name>l_rx_pld_pcs_width</ipxact:name> + <ipxact:displayName>l_rx_pld_pcs_width</ipxact:displayName> + <ipxact:value>66</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_pll_settings" type="string"> + <ipxact:name>l_pll_settings</ipxact:name> + <ipxact:displayName>l_pll_settings</ipxact:displayName> + <ipxact:value>343.750000 {refclk 343.750000 m 15 n 1 lpfd 1 lpd 1 fvco 5156.25} 687.500000 {refclk 687.500000 m 15 n 2 lpfd 1 lpd 1 fvco 5156.25} 322.265625 {refclk 322.265625 m 16 n 1 lpfd 1 lpd 1 fvco 5156.25} 644.531250 {refclk 644.531250 m 16 n 2 lpfd 1 lpd 1 fvco 5156.25} 303.308824 {refclk 303.308824 m 17 n 1 lpfd 1 lpd 1 fvco 5156.25} 606.617647 {refclk 606.617647 m 17 n 2 lpfd 1 lpd 1 fvco 5156.25} 286.458333 {refclk 286.458333 m 18 n 1 lpfd 1 lpd 1 fvco 5156.25} 572.916667 {refclk 572.916667 m 18 n 2 lpfd 1 lpd 1 fvco 5156.25} 271.381579 {refclk 271.381579 m 19 n 1 lpfd 1 lpd 1 fvco 5156.25} 542.763158 {refclk 542.763158 m 19 n 2 lpfd 1 lpd 1 fvco 5156.25} 257.812500 {refclk 257.812500 m 20 n 1 lpfd 1 lpd 1 fvco 5156.25} 515.625000 {refclk 515.625000 m 20 n 2 lpfd 1 lpd 1 fvco 5156.25} 245.535714 {refclk 245.535714 m 21 n 1 lpfd 1 lpd 1 fvco 5156.25} 491.071429 {refclk 491.071429 m 21 n 2 lpfd 1 lpd 1 fvco 5156.25} 234.375000 {refclk 234.375000 m 22 n 1 lpfd 1 lpd 1 fvco 5156.25} 468.750000 {refclk 468.750000 m 22 n 2 lpfd 1 lpd 1 fvco 5156.25} 224.184783 {refclk 224.184783 m 23 n 1 lpfd 1 lpd 1 fvco 5156.25} 448.369565 {refclk 448.369565 m 23 n 2 lpfd 1 lpd 1 fvco 5156.25} 214.843750 {refclk 214.843750 m 24 n 1 lpfd 1 lpd 1 fvco 5156.25} 429.687500 {refclk 429.687500 m 24 n 2 lpfd 1 lpd 1 fvco 5156.25} 206.250000 {refclk 206.250000 m 25 n 1 lpfd 1 lpd 1 fvco 5156.25} 412.500000 {refclk 412.500000 m 25 n 2 lpfd 1 lpd 1 fvco 5156.25} 198.317308 {refclk 198.317308 m 26 n 1 lpfd 1 lpd 1 fvco 5156.25} 396.634615 {refclk 396.634615 m 26 n 2 lpfd 1 lpd 1 fvco 5156.25} 793.269231 {refclk 793.269231 m 26 n 4 lpfd 1 lpd 1 fvco 5156.25} 190.972222 {refclk 190.972222 m 27 n 1 lpfd 1 lpd 1 fvco 5156.25} 381.944444 {refclk 381.944444 m 27 n 2 lpfd 1 lpd 1 fvco 5156.25} 763.888889 {refclk 763.888889 m 27 n 4 lpfd 1 lpd 1 fvco 5156.25} 184.151786 {refclk 184.151786 m 28 n 1 lpfd 1 lpd 1 fvco 5156.25} 368.303571 {refclk 368.303571 m 28 n 2 lpfd 1 lpd 1 fvco 5156.25} 736.607143 {refclk 736.607143 m 28 n 4 lpfd 1 lpd 1 fvco 5156.25} 177.801724 {refclk 177.801724 m 29 n 1 lpfd 1 lpd 1 fvco 5156.25} 355.603448 {refclk 355.603448 m 29 n 2 lpfd 1 lpd 1 fvco 5156.25} 711.206897 {refclk 711.206897 m 29 n 4 lpfd 1 lpd 1 fvco 5156.25} 171.875000 {refclk 171.875000 m 30 n 1 lpfd 1 lpd 1 fvco 5156.25} 166.330645 {refclk 166.330645 m 31 n 1 lpfd 1 lpd 1 fvco 5156.25} 332.661290 {refclk 332.661290 m 31 n 2 lpfd 1 lpd 1 fvco 5156.25} 665.322581 {refclk 665.322581 m 31 n 4 lpfd 1 lpd 1 fvco 5156.25} 161.132812 {refclk 161.132812 m 32 n 1 lpfd 1 lpd 1 fvco 5156.25} 156.250000 {refclk 156.250000 m 33 n 1 lpfd 1 lpd 1 fvco 5156.25} 312.500000 {refclk 312.500000 m 33 n 2 lpfd 1 lpd 1 fvco 5156.25} 625.000000 {refclk 625.000000 m 33 n 4 lpfd 1 lpd 1 fvco 5156.25} 151.654412 {refclk 151.654412 m 34 n 1 lpfd 1 lpd 1 fvco 5156.25} 147.321429 {refclk 147.321429 m 35 n 1 lpfd 1 lpd 1 fvco 5156.25} 294.642857 {refclk 294.642857 m 35 n 2 lpfd 1 lpd 1 fvco 5156.25} 589.285714 {refclk 589.285714 m 35 n 4 lpfd 1 lpd 1 fvco 5156.25} 143.229167 {refclk 143.229167 m 36 n 1 lpfd 1 lpd 1 fvco 5156.25} 139.358108 {refclk 139.358108 m 37 n 1 lpfd 1 lpd 1 fvco 5156.25} 278.716216 {refclk 278.716216 m 37 n 2 lpfd 1 lpd 1 fvco 5156.25} 557.432432 {refclk 557.432432 m 37 n 4 lpfd 1 lpd 1 fvco 5156.25} 135.690789 {refclk 135.690789 m 38 n 1 lpfd 1 lpd 1 fvco 5156.25} 132.211538 {refclk 132.211538 m 39 n 1 lpfd 1 lpd 1 fvco 5156.25} 264.423077 {refclk 264.423077 m 39 n 2 lpfd 1 lpd 1 fvco 5156.25} 528.846154 {refclk 528.846154 m 39 n 4 lpfd 1 lpd 1 fvco 5156.25} 128.906250 {refclk 128.906250 m 40 n 1 lpfd 1 lpd 1 fvco 5156.25} 125.762195 {refclk 125.762195 m 41 n 1 lpfd 1 lpd 1 fvco 5156.25} 251.524390 {refclk 251.524390 m 41 n 2 lpfd 1 lpd 1 fvco 5156.25} 503.048780 {refclk 503.048780 m 41 n 4 lpfd 1 lpd 1 fvco 5156.25} 122.767857 {refclk 122.767857 m 42 n 1 lpfd 1 lpd 1 fvco 5156.25} 119.912791 {refclk 119.912791 m 43 n 1 lpfd 1 lpd 1 fvco 5156.25} 239.825581 {refclk 239.825581 m 43 n 2 lpfd 1 lpd 1 fvco 5156.25} 479.651163 {refclk 479.651163 m 43 n 4 lpfd 1 lpd 1 fvco 5156.25} 117.187500 {refclk 117.187500 m 44 n 1 lpfd 1 lpd 1 fvco 5156.25} 114.583333 {refclk 114.583333 m 45 n 1 lpfd 1 lpd 1 fvco 5156.25} 229.166667 {refclk 229.166667 m 45 n 2 lpfd 1 lpd 1 fvco 5156.25} 458.333333 {refclk 458.333333 m 45 n 4 lpfd 1 lpd 1 fvco 5156.25} 112.092391 {refclk 112.092391 m 46 n 1 lpfd 1 lpd 1 fvco 5156.25} 109.707447 {refclk 109.707447 m 47 n 1 lpfd 1 lpd 1 fvco 5156.25} 219.414894 {refclk 219.414894 m 47 n 2 lpfd 1 lpd 1 fvco 5156.25} 438.829787 {refclk 438.829787 m 47 n 4 lpfd 1 lpd 1 fvco 5156.25} 107.421875 {refclk 107.421875 m 48 n 1 lpfd 1 lpd 1 fvco 5156.25} 105.229592 {refclk 105.229592 m 49 n 1 lpfd 1 lpd 1 fvco 5156.25} 210.459184 {refclk 210.459184 m 49 n 2 lpfd 1 lpd 1 fvco 5156.25} 420.918367 {refclk 420.918367 m 49 n 4 lpfd 1 lpd 1 fvco 5156.25} 103.125000 {refclk 103.125000 m 50 n 1 lpfd 1 lpd 1 fvco 5156.25} 101.102941 {refclk 101.102941 m 51 n 1 lpfd 1 lpd 1 fvco 5156.25} 202.205882 {refclk 202.205882 m 51 n 2 lpfd 1 lpd 1 fvco 5156.25} 404.411765 {refclk 404.411765 m 51 n 4 lpfd 1 lpd 1 fvco 5156.25} 99.158654 {refclk 99.158654 m 52 n 1 lpfd 1 lpd 1 fvco 5156.25} 97.287736 {refclk 97.287736 m 53 n 1 lpfd 1 lpd 1 fvco 5156.25} 194.575472 {refclk 194.575472 m 53 n 2 lpfd 1 lpd 1 fvco 5156.25} 389.150943 {refclk 389.150943 m 53 n 4 lpfd 1 lpd 1 fvco 5156.25} 778.301887 {refclk 778.301887 m 53 n 8 lpfd 1 lpd 1 fvco 5156.25} 95.486111 {refclk 95.486111 m 54 n 1 lpfd 1 lpd 1 fvco 5156.25} 93.750000 {refclk 93.750000 m 55 n 1 lpfd 1 lpd 1 fvco 5156.25} 187.500000 {refclk 187.500000 m 55 n 2 lpfd 1 lpd 1 fvco 5156.25} 375.000000 {refclk 375.000000 m 55 n 4 lpfd 1 lpd 1 fvco 5156.25} 750.000000 {refclk 750.000000 m 55 n 8 lpfd 1 lpd 1 fvco 5156.25} 92.075893 {refclk 92.075893 m 56 n 1 lpfd 1 lpd 1 fvco 5156.25} 90.460526 {refclk 90.460526 m 57 n 1 lpfd 1 lpd 1 fvco 5156.25} 180.921053 {refclk 180.921053 m 57 n 2 lpfd 1 lpd 1 fvco 5156.25} 361.842105 {refclk 361.842105 m 57 n 4 lpfd 1 lpd 1 fvco 5156.25} 723.684211 {refclk 723.684211 m 57 n 8 lpfd 1 lpd 1 fvco 5156.25} 88.900862 {refclk 88.900862 m 58 n 1 lpfd 1 lpd 1 fvco 5156.25} 87.394068 {refclk 87.394068 m 59 n 1 lpfd 1 lpd 1 fvco 5156.25} 174.788136 {refclk 174.788136 m 59 n 2 lpfd 1 lpd 1 fvco 5156.25} 349.576271 {refclk 349.576271 m 59 n 4 lpfd 1 lpd 1 fvco 5156.25} 699.152542 {refclk 699.152542 m 59 n 8 lpfd 1 lpd 1 fvco 5156.25} 85.937500 {refclk 85.937500 m 60 n 1 lpfd 1 lpd 1 fvco 5156.25} 84.528689 {refclk 84.528689 m 61 n 1 lpfd 1 lpd 1 fvco 5156.25} 169.057377 {refclk 169.057377 m 61 n 2 lpfd 1 lpd 1 fvco 5156.25} 338.114754 {refclk 338.114754 m 61 n 4 lpfd 1 lpd 1 fvco 5156.25} 676.229508 {refclk 676.229508 m 61 n 8 lpfd 1 lpd 1 fvco 5156.25} 83.165323 {refclk 83.165323 m 62 n 1 lpfd 1 lpd 1 fvco 5156.25} 81.845238 {refclk 81.845238 m 63 n 1 lpfd 1 lpd 1 fvco 5156.25} 163.690476 {refclk 163.690476 m 63 n 2 lpfd 1 lpd 1 fvco 5156.25} 327.380952 {refclk 327.380952 m 63 n 4 lpfd 1 lpd 1 fvco 5156.25} 654.761905 {refclk 654.761905 m 63 n 8 lpfd 1 lpd 1 fvco 5156.25} 80.566406 {refclk 80.566406 m 64 n 1 lpfd 1 lpd 1 fvco 5156.25} 79.326923 {refclk 79.326923 m 65 n 1 lpfd 1 lpd 1 fvco 5156.25} 158.653846 {refclk 158.653846 m 65 n 2 lpfd 1 lpd 1 fvco 5156.25} 317.307692 {refclk 317.307692 m 65 n 4 lpfd 1 lpd 1 fvco 5156.25} 634.615385 {refclk 634.615385 m 65 n 8 lpfd 1 lpd 1 fvco 5156.25} 78.125000 {refclk 78.125000 m 66 n 1 lpfd 1 lpd 1 fvco 5156.25} 76.958955 {refclk 76.958955 m 67 n 1 lpfd 1 lpd 1 fvco 5156.25} 153.917910 {refclk 153.917910 m 67 n 2 lpfd 1 lpd 1 fvco 5156.25} 307.835821 {refclk 307.835821 m 67 n 4 lpfd 1 lpd 1 fvco 5156.25} 615.671642 {refclk 615.671642 m 67 n 8 lpfd 1 lpd 1 fvco 5156.25} 75.827206 {refclk 75.827206 m 68 n 1 lpfd 1 lpd 1 fvco 5156.25} 74.728261 {refclk 74.728261 m 69 n 1 lpfd 1 lpd 1 fvco 5156.25} 149.456522 {refclk 149.456522 m 69 n 2 lpfd 1 lpd 1 fvco 5156.25} 298.913043 {refclk 298.913043 m 69 n 4 lpfd 1 lpd 1 fvco 5156.25} 597.826087 {refclk 597.826087 m 69 n 8 lpfd 1 lpd 1 fvco 5156.25} 73.660714 {refclk 73.660714 m 70 n 1 lpfd 1 lpd 1 fvco 5156.25} 72.623239 {refclk 72.623239 m 71 n 1 lpfd 1 lpd 1 fvco 5156.25} 145.246479 {refclk 145.246479 m 71 n 2 lpfd 1 lpd 1 fvco 5156.25} 290.492958 {refclk 290.492958 m 71 n 4 lpfd 1 lpd 1 fvco 5156.25} 580.985915 {refclk 580.985915 m 71 n 8 lpfd 1 lpd 1 fvco 5156.25} 71.614583 {refclk 71.614583 m 72 n 1 lpfd 1 lpd 1 fvco 5156.25} 70.633562 {refclk 70.633562 m 73 n 1 lpfd 1 lpd 1 fvco 5156.25} 141.267123 {refclk 141.267123 m 73 n 2 lpfd 1 lpd 1 fvco 5156.25} 282.534247 {refclk 282.534247 m 73 n 4 lpfd 1 lpd 1 fvco 5156.25} 565.068493 {refclk 565.068493 m 73 n 8 lpfd 1 lpd 1 fvco 5156.25} 69.679054 {refclk 69.679054 m 74 n 1 lpfd 1 lpd 1 fvco 5156.25} 68.750000 {refclk 68.750000 m 75 n 1 lpfd 1 lpd 1 fvco 5156.25} 137.500000 {refclk 137.500000 m 75 n 2 lpfd 1 lpd 1 fvco 5156.25} 275.000000 {refclk 275.000000 m 75 n 4 lpfd 1 lpd 1 fvco 5156.25} 550.000000 {refclk 550.000000 m 75 n 8 lpfd 1 lpd 1 fvco 5156.25} 67.845395 {refclk 67.845395 m 76 n 1 lpfd 1 lpd 1 fvco 5156.25} 66.964286 {refclk 66.964286 m 77 n 1 lpfd 1 lpd 1 fvco 5156.25} 133.928571 {refclk 133.928571 m 77 n 2 lpfd 1 lpd 1 fvco 5156.25} 267.857143 {refclk 267.857143 m 77 n 4 lpfd 1 lpd 1 fvco 5156.25} 535.714286 {refclk 535.714286 m 77 n 8 lpfd 1 lpd 1 fvco 5156.25} 66.105769 {refclk 66.105769 m 78 n 1 lpfd 1 lpd 1 fvco 5156.25} 65.268987 {refclk 65.268987 m 79 n 1 lpfd 1 lpd 1 fvco 5156.25} 130.537975 {refclk 130.537975 m 79 n 2 lpfd 1 lpd 1 fvco 5156.25} 261.075949 {refclk 261.075949 m 79 n 4 lpfd 1 lpd 1 fvco 5156.25} 522.151899 {refclk 522.151899 m 79 n 8 lpfd 1 lpd 1 fvco 5156.25} 64.453125 {refclk 64.453125 m 80 n 1 lpfd 1 lpd 1 fvco 5156.25} 63.657407 {refclk 63.657407 m 81 n 1 lpfd 1 lpd 1 fvco 5156.25} 127.314815 {refclk 127.314815 m 81 n 2 lpfd 1 lpd 1 fvco 5156.25} 254.629630 {refclk 254.629630 m 81 n 4 lpfd 1 lpd 1 fvco 5156.25} 509.259259 {refclk 509.259259 m 81 n 8 lpfd 1 lpd 1 fvco 5156.25} 62.881098 {refclk 62.881098 m 82 n 1 lpfd 1 lpd 1 fvco 5156.25} 62.123494 {refclk 62.123494 m 83 n 1 lpfd 1 lpd 1 fvco 5156.25} 124.246988 {refclk 124.246988 m 83 n 2 lpfd 1 lpd 1 fvco 5156.25} 248.493976 {refclk 248.493976 m 83 n 4 lpfd 1 lpd 1 fvco 5156.25} 496.987952 {refclk 496.987952 m 83 n 8 lpfd 1 lpd 1 fvco 5156.25} 61.383929 {refclk 61.383929 m 84 n 1 lpfd 1 lpd 1 fvco 5156.25} 60.661765 {refclk 60.661765 m 85 n 1 lpfd 1 lpd 1 fvco 5156.25} 121.323529 {refclk 121.323529 m 85 n 2 lpfd 1 lpd 1 fvco 5156.25} 242.647059 {refclk 242.647059 m 85 n 4 lpfd 1 lpd 1 fvco 5156.25} 485.294118 {refclk 485.294118 m 85 n 8 lpfd 1 lpd 1 fvco 5156.25} 59.956395 {refclk 59.956395 m 86 n 1 lpfd 1 lpd 1 fvco 5156.25} 59.267241 {refclk 59.267241 m 87 n 1 lpfd 1 lpd 1 fvco 5156.25} 118.534483 {refclk 118.534483 m 87 n 2 lpfd 1 lpd 1 fvco 5156.25} 237.068966 {refclk 237.068966 m 87 n 4 lpfd 1 lpd 1 fvco 5156.25} 474.137931 {refclk 474.137931 m 87 n 8 lpfd 1 lpd 1 fvco 5156.25} 58.593750 {refclk 58.593750 m 88 n 1 lpfd 1 lpd 1 fvco 5156.25} 57.935393 {refclk 57.935393 m 89 n 1 lpfd 1 lpd 1 fvco 5156.25} 115.870787 {refclk 115.870787 m 89 n 2 lpfd 1 lpd 1 fvco 5156.25} 231.741573 {refclk 231.741573 m 89 n 4 lpfd 1 lpd 1 fvco 5156.25} 463.483146 {refclk 463.483146 m 89 n 8 lpfd 1 lpd 1 fvco 5156.25} 57.291667 {refclk 57.291667 m 90 n 1 lpfd 1 lpd 1 fvco 5156.25} 56.662088 {refclk 56.662088 m 91 n 1 lpfd 1 lpd 1 fvco 5156.25} 113.324176 {refclk 113.324176 m 91 n 2 lpfd 1 lpd 1 fvco 5156.25} 226.648352 {refclk 226.648352 m 91 n 4 lpfd 1 lpd 1 fvco 5156.25} 453.296703 {refclk 453.296703 m 91 n 8 lpfd 1 lpd 1 fvco 5156.25} 56.046196 {refclk 56.046196 m 92 n 1 lpfd 1 lpd 1 fvco 5156.25} 55.443548 {refclk 55.443548 m 93 n 1 lpfd 1 lpd 1 fvco 5156.25} 110.887097 {refclk 110.887097 m 93 n 2 lpfd 1 lpd 1 fvco 5156.25} 221.774194 {refclk 221.774194 m 93 n 4 lpfd 1 lpd 1 fvco 5156.25} 443.548387 {refclk 443.548387 m 93 n 8 lpfd 1 lpd 1 fvco 5156.25} 54.853723 {refclk 54.853723 m 94 n 1 lpfd 1 lpd 1 fvco 5156.25} 54.276316 {refclk 54.276316 m 95 n 1 lpfd 1 lpd 1 fvco 5156.25} 108.552632 {refclk 108.552632 m 95 n 2 lpfd 1 lpd 1 fvco 5156.25} 217.105263 {refclk 217.105263 m 95 n 4 lpfd 1 lpd 1 fvco 5156.25} 434.210526 {refclk 434.210526 m 95 n 8 lpfd 1 lpd 1 fvco 5156.25} 53.710938 {refclk 53.710938 m 96 n 1 lpfd 1 lpd 1 fvco 5156.25} 53.157216 {refclk 53.157216 m 97 n 1 lpfd 1 lpd 1 fvco 5156.25} 106.314433 {refclk 106.314433 m 97 n 2 lpfd 1 lpd 1 fvco 5156.25} 212.628866 {refclk 212.628866 m 97 n 4 lpfd 1 lpd 1 fvco 5156.25} 425.257732 {refclk 425.257732 m 97 n 8 lpfd 1 lpd 1 fvco 5156.25} 52.614796 {refclk 52.614796 m 98 n 1 lpfd 1 lpd 1 fvco 5156.25} 52.083333 {refclk 52.083333 m 99 n 1 lpfd 1 lpd 1 fvco 5156.25} 104.166667 {refclk 104.166667 m 99 n 2 lpfd 1 lpd 1 fvco 5156.25} 208.333333 {refclk 208.333333 m 99 n 4 lpfd 1 lpd 1 fvco 5156.25} 416.666667 {refclk 416.666667 m 99 n 8 lpfd 1 lpd 1 fvco 5156.25} 51.562500 {refclk 51.562500 m 100 n 1 lpfd 1 lpd 1 fvco 5156.25} 51.051980 {refclk 51.051980 m 101 n 1 lpfd 1 lpd 1 fvco 5156.25} 102.103960 {refclk 102.103960 m 101 n 2 lpfd 1 lpd 1 fvco 5156.25} 204.207921 {refclk 204.207921 m 101 n 4 lpfd 1 lpd 1 fvco 5156.25} 408.415842 {refclk 408.415842 m 101 n 8 lpfd 1 lpd 1 fvco 5156.25} 50.551471 {refclk 50.551471 m 102 n 1 lpfd 1 lpd 1 fvco 5156.25} 50.060680 {refclk 50.060680 m 103 n 1 lpfd 1 lpd 1 fvco 5156.25} 100.121359 {refclk 100.121359 m 103 n 2 lpfd 1 lpd 1 fvco 5156.25} 200.242718 {refclk 200.242718 m 103 n 4 lpfd 1 lpd 1 fvco 5156.25} 400.485437 {refclk 400.485437 m 103 n 8 lpfd 1 lpd 1 fvco 5156.25} allowed_ranges {50.060680 50.551471 51.051980 51.562500 52.083333 52.614796 53.157216 53.710938 54.276316 54.853723 55.443548 56.046196 56.662088 57.291667 57.935393 58.593750 59.267241 59.956395 60.661765 61.383929 62.123494 62.881098 63.657407 64.453125 65.268987 66.105769 66.964286 67.845395 68.750000 69.679054 70.633562 71.614583 72.623239 73.660714 74.728261 75.827206 76.958955 78.125000 79.326923 80.566406 81.845238 83.165323 84.528689 85.937500 87.394068 88.900862 90.460526 92.075893 93.750000 95.486111 97.287736 99.158654 100.121359 101.102941 102.103960 103.125000 104.166667 105.229592 106.314433 107.421875 108.552632 109.707447 110.887097 112.092391 113.324176 114.583333 115.870787 117.187500 118.534483 119.912791 121.323529 122.767857 124.246988 125.762195 127.314815 128.906250 130.537975 132.211538 133.928571 135.690789 137.500000 139.358108 141.267123 143.229167 145.246479 147.321429 149.456522 151.654412 153.917910 156.250000 158.653846 161.132812 163.690476 166.330645 169.057377 171.875000 174.788136 177.801724 180.921053 184.151786 187.500000 190.972222 194.575472 198.317308 200.242718 202.205882 204.207921 206.250000 208.333333 210.459184 212.628866 214.843750 217.105263 219.414894 221.774194 224.184783 226.648352 229.166667 231.741573 234.375000 237.068966 239.825581 242.647059 245.535714 248.493976 251.524390 254.629630 257.812500 261.075949 264.423077 267.857143 271.381579 275.000000 278.716216 282.534247 286.458333 290.492958 294.642857 298.913043 303.308824 307.835821 312.500000 317.307692 322.265625 327.380952 332.661290 338.114754 343.750000 349.576271 355.603448 361.842105 368.303571 375.000000 381.944444 389.150943 396.634615 400.485437 404.411765 408.415842 412.500000 416.666667 420.918367 425.257732 429.687500 434.210526 438.829787 443.548387 448.369565 453.296703 458.333333 463.483146 468.750000 474.137931 479.651163 485.294118 491.071429 496.987952 503.048780 509.259259 515.625000 522.151899 528.846154 535.714286 542.763158 550.000000 557.432432 565.068493 572.916667 580.985915 589.285714 597.826087 606.617647 615.671642 625.000000 634.615385 644.531250 654.761905 665.322581 676.229508 687.500000 699.152542 711.206897 723.684211 736.607143 750.000000 763.888889 778.301887 793.269231}</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_pll_settings_key" type="string"> + <ipxact:name>l_pll_settings_key</ipxact:name> + <ipxact:displayName>l_pll_settings_key</ipxact:displayName> + <ipxact:value>644.531250</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_enable_pma_bonding" type="int"> + <ipxact:name>l_enable_pma_bonding</ipxact:name> + <ipxact:displayName>l_enable_pma_bonding</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_enable_reve_support" type="int"> + <ipxact:name>l_enable_reve_support</ipxact:name> + <ipxact:displayName>l_enable_reve_support</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_std" type="int"> + <ipxact:name>enable_std</ipxact:name> + <ipxact:displayName>enable_std</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_enable_std_pipe" type="int"> + <ipxact:name>l_enable_std_pipe</ipxact:name> + <ipxact:displayName>l_enable_std_pipe</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_enable_tx_std" type="int"> + <ipxact:name>l_enable_tx_std</ipxact:name> + <ipxact:displayName>l_enable_tx_std</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_enable_rx_std" type="int"> + <ipxact:name>l_enable_rx_std</ipxact:name> + <ipxact:displayName>l_enable_rx_std</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_enable_tx_std_iface" type="int"> + <ipxact:name>l_enable_tx_std_iface</ipxact:name> + <ipxact:displayName>l_enable_tx_std_iface</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_enable_rx_std_iface" type="int"> + <ipxact:name>l_enable_rx_std_iface</ipxact:name> + <ipxact:displayName>l_enable_rx_std_iface</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_std_tx_word_count" type="int"> + <ipxact:name>l_std_tx_word_count</ipxact:name> + <ipxact:displayName>l_std_tx_word_count</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_std_tx_word_width" type="int"> + <ipxact:name>l_std_tx_word_width</ipxact:name> + <ipxact:displayName>l_std_tx_word_width</ipxact:displayName> + <ipxact:value>10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_std_tx_field_width" type="int"> + <ipxact:name>l_std_tx_field_width</ipxact:name> + <ipxact:displayName>l_std_tx_field_width</ipxact:displayName> + <ipxact:value>11</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_std_rx_word_count" type="int"> + <ipxact:name>l_std_rx_word_count</ipxact:name> + <ipxact:displayName>l_std_rx_word_count</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_std_rx_word_width" type="int"> + <ipxact:name>l_std_rx_word_width</ipxact:name> + <ipxact:displayName>l_std_rx_word_width</ipxact:displayName> + <ipxact:value>10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_std_rx_field_width" type="int"> + <ipxact:name>l_std_rx_field_width</ipxact:name> + <ipxact:displayName>l_std_rx_field_width</ipxact:displayName> + <ipxact:value>16</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_std_tx_pld_pcs_width" type="int"> + <ipxact:name>l_std_tx_pld_pcs_width</ipxact:name> + <ipxact:displayName>l_std_tx_pld_pcs_width</ipxact:displayName> + <ipxact:value>10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_std_rx_pld_pcs_width" type="int"> + <ipxact:name>l_std_rx_pld_pcs_width</ipxact:name> + <ipxact:displayName>l_std_rx_pld_pcs_width</ipxact:displayName> + <ipxact:value>10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_std_data_mask_count_multi" type="int"> + <ipxact:name>l_std_data_mask_count_multi</ipxact:name> + <ipxact:displayName>l_std_data_mask_count_multi</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_enh" type="int"> + <ipxact:name>enable_enh</ipxact:name> + <ipxact:displayName>enable_enh</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_enable_tx_enh" type="int"> + <ipxact:name>l_enable_tx_enh</ipxact:name> + <ipxact:displayName>l_enable_tx_enh</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_enable_rx_enh" type="int"> + <ipxact:name>l_enable_rx_enh</ipxact:name> + <ipxact:displayName>l_enable_rx_enh</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_enable_tx_enh_iface" type="int"> + <ipxact:name>l_enable_tx_enh_iface</ipxact:name> + <ipxact:displayName>l_enable_tx_enh_iface</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_enable_rx_enh_iface" type="int"> + <ipxact:name>l_enable_rx_enh_iface</ipxact:name> + <ipxact:displayName>l_enable_rx_enh_iface</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_pcs_dir" type="int"> + <ipxact:name>enable_pcs_dir</ipxact:name> + <ipxact:displayName>enable_pcs_dir</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_enable_tx_pcs_dir" type="int"> + <ipxact:name>l_enable_tx_pcs_dir</ipxact:name> + <ipxact:displayName>l_enable_tx_pcs_dir</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_enable_rx_pcs_dir" type="int"> + <ipxact:name>l_enable_rx_pcs_dir</ipxact:name> + <ipxact:displayName>l_enable_rx_pcs_dir</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_rcfg_ifaces" type="int"> + <ipxact:name>l_rcfg_ifaces</ipxact:name> + <ipxact:displayName>l_rcfg_ifaces</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_rcfg_addr_bits" type="int"> + <ipxact:name>l_rcfg_addr_bits</ipxact:name> + <ipxact:displayName>l_rcfg_addr_bits</ipxact:displayName> + <ipxact:value>12</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="is_c10" type="int"> + <ipxact:name>is_c10</ipxact:name> + <ipxact:displayName>is_c10</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_enable" type="int"> + <ipxact:name>rcfg_enable</ipxact:name> + <ipxact:displayName>Enable dynamic reconfiguration</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_shared" type="int"> + <ipxact:name>rcfg_shared</ipxact:name> + <ipxact:displayName>Share reconfiguration interface</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_jtag_enable" type="int"> + <ipxact:name>rcfg_jtag_enable</ipxact:name> + <ipxact:displayName>Enable Native PHY Debug Master Endpoint</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_separate_avmm_busy" type="int"> + <ipxact:name>rcfg_separate_avmm_busy</ipxact:name> + <ipxact:displayName>Separate reconfig_waitrequest from the status of AVMM arbitration with PreSICE</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_enable_avmm_busy_port" type="int"> + <ipxact:name>rcfg_enable_avmm_busy_port</ipxact:name> + <ipxact:displayName>Enable avmm_busy port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adme_prot_mode" type="string"> + <ipxact:name>adme_prot_mode</ipxact:name> + <ipxact:displayName>adme_prot_mode</ipxact:displayName> + <ipxact:value>teng_baser_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adme_pma_mode" type="string"> + <ipxact:name>adme_pma_mode</ipxact:name> + <ipxact:displayName>adme_pma_mode</ipxact:displayName> + <ipxact:value>basic</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adme_tx_power_mode" type="string"> + <ipxact:name>adme_tx_power_mode</ipxact:name> + <ipxact:displayName>adme_tx_power_mode</ipxact:displayName> + <ipxact:value>mid_power</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adme_data_rate" type="string"> + <ipxact:name>adme_data_rate</ipxact:name> + <ipxact:displayName>adme_data_rate</ipxact:displayName> + <ipxact:value>10312500000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_pcie_dfe_ip" type="bit"> + <ipxact:name>enable_pcie_dfe_ip</ipxact:name> + <ipxact:displayName>Enable PICe DFE IP</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="sim_reduced_counters" type="bit"> + <ipxact:name>sim_reduced_counters</ipxact:name> + <ipxact:displayName>Enable fast sim</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="disable_continuous_dfe" type="bit"> + <ipxact:name>disable_continuous_dfe</ipxact:name> + <ipxact:displayName>Disable DFE Continuous</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_embedded_debug_enable" type="int"> + <ipxact:name>set_embedded_debug_enable</ipxact:name> + <ipxact:displayName>Enable embedded debug</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_capability_reg_enable" type="int"> + <ipxact:name>set_capability_reg_enable</ipxact:name> + <ipxact:displayName>Enable capability registers</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_user_identifier" type="int"> + <ipxact:name>set_user_identifier</ipxact:name> + <ipxact:displayName>Set user-defined IP identifier</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_csr_soft_logic_enable" type="int"> + <ipxact:name>set_csr_soft_logic_enable</ipxact:name> + <ipxact:displayName>Enable control and status registers</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_prbs_soft_logic_enable" type="int"> + <ipxact:name>set_prbs_soft_logic_enable</ipxact:name> + <ipxact:displayName>Enable PRBS soft accumulators</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_odi_soft_logic_enable" type="int"> + <ipxact:name>set_odi_soft_logic_enable</ipxact:name> + <ipxact:displayName>Enable ODI acceleration logic</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="dbg_embedded_debug_enable" type="int"> + <ipxact:name>dbg_embedded_debug_enable</ipxact:name> + <ipxact:displayName>dbg_embedded_debug_enable</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="dbg_capability_reg_enable" type="int"> + <ipxact:name>dbg_capability_reg_enable</ipxact:name> + <ipxact:displayName>dbg_capability_reg_enable</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="dbg_user_identifier" type="int"> + <ipxact:name>dbg_user_identifier</ipxact:name> + <ipxact:displayName>dbg_user_identifier</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="dbg_stat_soft_logic_enable" type="int"> + <ipxact:name>dbg_stat_soft_logic_enable</ipxact:name> + <ipxact:displayName>dbg_stat_soft_logic_enable</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="dbg_ctrl_soft_logic_enable" type="int"> + <ipxact:name>dbg_ctrl_soft_logic_enable</ipxact:name> + <ipxact:displayName>dbg_ctrl_soft_logic_enable</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="dbg_prbs_soft_logic_enable" type="int"> + <ipxact:name>dbg_prbs_soft_logic_enable</ipxact:name> + <ipxact:displayName>dbg_prbs_soft_logic_enable</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="dbg_odi_soft_logic_enable" type="int"> + <ipxact:name>dbg_odi_soft_logic_enable</ipxact:name> + <ipxact:displayName>dbg_odi_soft_logic_enable</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_file_prefix" type="string"> + <ipxact:name>rcfg_file_prefix</ipxact:name> + <ipxact:displayName>Configuration file prefix</ipxact:displayName> + <ipxact:value>altera_xcvr_native_a10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_sv_file_enable" type="int"> + <ipxact:name>rcfg_sv_file_enable</ipxact:name> + <ipxact:displayName>Generate SystemVerilog package file</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_h_file_enable" type="int"> + <ipxact:name>rcfg_h_file_enable</ipxact:name> + <ipxact:displayName>Generate C header file</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_mif_file_enable" type="int"> + <ipxact:name>rcfg_mif_file_enable</ipxact:name> + <ipxact:displayName>Generate MIF (Memory Initialization File)</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_multi_enable" type="int"> + <ipxact:name>rcfg_multi_enable</ipxact:name> + <ipxact:displayName>Enable multiple reconfiguration profiles</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_rcfg_emb_strm_enable" type="int"> + <ipxact:name>set_rcfg_emb_strm_enable</ipxact:name> + <ipxact:displayName>Enable embedded reconfiguration streamer</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_emb_strm_enable" type="int"> + <ipxact:name>rcfg_emb_strm_enable</ipxact:name> + <ipxact:displayName>rcfg_emb_strm_enable</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_reduced_files_enable" type="int"> + <ipxact:name>rcfg_reduced_files_enable</ipxact:name> + <ipxact:displayName>Generate reduced reconfiguration files</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_profile_cnt" type="int"> + <ipxact:name>rcfg_profile_cnt</ipxact:name> + <ipxact:displayName>Number of reconfiguration profiles</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_profile_select" type="int"> + <ipxact:name>rcfg_profile_select</ipxact:name> + <ipxact:displayName>Selected reconfiguration profile</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_profile_data0" type="string"> + <ipxact:name>rcfg_profile_data0</ipxact:name> + <ipxact:displayName>rcfg_profile_data0</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_profile_data1" type="string"> + <ipxact:name>rcfg_profile_data1</ipxact:name> + <ipxact:displayName>rcfg_profile_data1</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_profile_data2" type="string"> + <ipxact:name>rcfg_profile_data2</ipxact:name> + <ipxact:displayName>rcfg_profile_data2</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_profile_data3" type="string"> + <ipxact:name>rcfg_profile_data3</ipxact:name> + <ipxact:displayName>rcfg_profile_data3</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_profile_data4" type="string"> + <ipxact:name>rcfg_profile_data4</ipxact:name> + <ipxact:displayName>rcfg_profile_data4</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_profile_data5" type="string"> + <ipxact:name>rcfg_profile_data5</ipxact:name> + <ipxact:displayName>rcfg_profile_data5</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_profile_data6" type="string"> + <ipxact:name>rcfg_profile_data6</ipxact:name> + <ipxact:displayName>rcfg_profile_data6</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_profile_data7" type="string"> + <ipxact:name>rcfg_profile_data7</ipxact:name> + <ipxact:displayName>rcfg_profile_data7</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_params" type="string"> + <ipxact:name>rcfg_params</ipxact:name> + <ipxact:displayName>rcfg_params</ipxact:displayName> + <ipxact:value>anlg_voltage,anlg_link,support_mode,protocol_mode,pma_mode,duplex_mode,channels,set_data_rate,rcfg_iface_enable,enable_simple_interface,enable_split_interface,set_enable_calibration,enable_parallel_loopback,enable_upi_pipeline_options,pcs_tx_delay1_ctrl,pcs_tx_delay1_data_sel,pcs_tx_delay2_ctrl,bonded_mode,set_pcs_bonding_master,tx_pma_clk_div,plls,pll_select,enable_port_tx_analog_reset_ack,enable_port_tx_pma_clkout,enable_port_tx_pma_div_clkout,tx_pma_div_clkout_divider,enable_port_tx_pma_iqtxrx_clkout,enable_port_tx_pma_elecidle,enable_port_tx_pma_qpipullup,enable_port_tx_pma_qpipulldn,enable_port_tx_pma_txdetectrx,enable_port_tx_pma_rxfound,enable_port_rx_seriallpbken_tx,number_physical_bonding_clocks,cdr_refclk_cnt,cdr_refclk_select,set_cdr_refclk_freq,rx_ppm_detect_threshold,rx_pma_ctle_adaptation_mode,rx_pma_dfe_adaptation_mode,rx_pma_dfe_fixed_taps,enable_ports_adaptation,enable_port_rx_analog_reset_ack,enable_port_rx_pma_clkout,enable_port_rx_pma_div_clkout,rx_pma_div_clkout_divider,enable_port_rx_pma_iqtxrx_clkout,enable_port_rx_pma_clkslip,enable_port_rx_pma_qpipulldn,enable_port_rx_is_lockedtodata,enable_port_rx_is_lockedtoref,enable_ports_rx_manual_cdr_mode,enable_ports_rx_manual_ppm,enable_port_rx_signaldetect,enable_port_rx_seriallpbken,enable_ports_rx_prbs,std_pcs_pma_width,std_low_latency_bypass_enable,enable_hip,enable_hard_reset,set_hip_cal_en,std_tx_pcfifo_mode,std_rx_pcfifo_mode,enable_port_tx_std_pcfifo_full,enable_port_tx_std_pcfifo_empty,enable_port_rx_std_pcfifo_full,enable_port_rx_std_pcfifo_empty,std_tx_byte_ser_mode,std_rx_byte_deser_mode,std_tx_8b10b_enable,std_tx_8b10b_disp_ctrl_enable,std_rx_8b10b_enable,std_rx_rmfifo_mode,std_rx_rmfifo_pattern_n,std_rx_rmfifo_pattern_p,enable_port_rx_std_rmfifo_full,enable_port_rx_std_rmfifo_empty,pcie_rate_match,std_tx_bitslip_enable,enable_port_tx_std_bitslipboundarysel,std_rx_word_aligner_mode,std_rx_word_aligner_pattern_len,std_rx_word_aligner_pattern,std_rx_word_aligner_rknumber,std_rx_word_aligner_renumber,std_rx_word_aligner_rgnumber,std_rx_word_aligner_fast_sync_status_enable,enable_port_rx_std_wa_patternalign,enable_port_rx_std_wa_a1a2size,enable_port_rx_std_bitslipboundarysel,enable_port_rx_std_bitslip,std_tx_bitrev_enable,std_tx_byterev_enable,std_tx_polinv_enable,enable_port_tx_polinv,std_rx_bitrev_enable,enable_port_rx_std_bitrev_ena,std_rx_byterev_enable,enable_port_rx_std_byterev_ena,std_rx_polinv_enable,enable_port_rx_polinv,enable_port_rx_std_signaldetect,enable_ports_pipe_sw,enable_ports_pipe_hclk,enable_ports_pipe_g3_analog,enable_ports_pipe_rx_elecidle,enable_port_pipe_rx_polarity,enh_pcs_pma_width,enh_pld_pcs_width,enh_low_latency_enable,enh_rxtxfifo_double_width,enh_txfifo_mode,enh_txfifo_pfull,enh_txfifo_pempty,enable_port_tx_enh_fifo_full,enable_port_tx_enh_fifo_pfull,enable_port_tx_enh_fifo_empty,enable_port_tx_enh_fifo_pempty,enable_port_tx_enh_fifo_cnt,enh_rxfifo_mode,enh_rxfifo_pfull,enh_rxfifo_pempty,enh_rxfifo_align_del,enh_rxfifo_control_del,enable_port_rx_enh_data_valid,enable_port_rx_enh_fifo_full,enable_port_rx_enh_fifo_pfull,enable_port_rx_enh_fifo_empty,enable_port_rx_enh_fifo_pempty,enable_port_rx_enh_fifo_cnt,enable_port_rx_enh_fifo_del,enable_port_rx_enh_fifo_insert,enable_port_rx_enh_fifo_rd_en,enable_port_rx_enh_fifo_align_val,enable_port_rx_enh_fifo_align_clr,enh_tx_frmgen_enable,enh_tx_frmgen_mfrm_length,enh_tx_frmgen_burst_enable,enable_port_tx_enh_frame,enable_port_tx_enh_frame_diag_status,enable_port_tx_enh_frame_burst_en,enh_rx_frmsync_enable,enh_rx_frmsync_mfrm_length,enable_port_rx_enh_frame,enable_port_rx_enh_frame_lock,enable_port_rx_enh_frame_diag_status,enh_tx_crcgen_enable,enh_tx_crcerr_enable,enh_rx_crcchk_enable,enable_port_rx_enh_crc32_err,enable_port_rx_enh_highber,enable_port_rx_enh_highber_clr_cnt,enable_port_rx_enh_clr_errblk_count,enable_port_rx_enh_clr_errblk_count_c10,enh_tx_64b66b_enable,enh_rx_64b66b_enable,enh_tx_sh_err,enh_tx_scram_enable,enh_tx_scram_seed,enh_rx_descram_enable,enh_tx_dispgen_enable,enh_rx_dispchk_enable,enh_tx_randomdispbit_enable,enh_rx_blksync_enable,enable_port_rx_enh_blk_lock,enh_tx_bitslip_enable,enh_tx_polinv_enable,enh_rx_bitslip_enable,enh_rx_polinv_enable,enable_port_tx_enh_bitslip,enable_port_rx_enh_bitslip,enh_rx_krfec_err_mark_enable,enh_rx_krfec_err_mark_type,enh_tx_krfec_burst_err_enable,enh_tx_krfec_burst_err_len,enable_port_krfec_tx_enh_frame,enable_port_krfec_rx_enh_frame,enable_port_krfec_rx_enh_frame_diag_status,pcs_direct_width,enable_analog_settings,anlg_tx_analog_mode,anlg_enable_tx_default_ovr,anlg_tx_vod_output_swing_ctrl,anlg_tx_pre_emp_sign_pre_tap_1t,anlg_tx_pre_emp_switching_ctrl_pre_tap_1t,anlg_tx_pre_emp_sign_pre_tap_2t,anlg_tx_pre_emp_switching_ctrl_pre_tap_2t,anlg_tx_pre_emp_sign_1st_post_tap,anlg_tx_pre_emp_switching_ctrl_1st_post_tap,anlg_tx_pre_emp_sign_2nd_post_tap,anlg_tx_pre_emp_switching_ctrl_2nd_post_tap,anlg_tx_slew_rate_ctrl,anlg_tx_compensation_en,anlg_tx_term_sel,anlg_enable_rx_default_ovr,anlg_rx_one_stage_enable,anlg_rx_eq_dc_gain_trim,anlg_rx_adp_ctle_acgain_4s,anlg_rx_adp_ctle_eqz_1s_sel,anlg_rx_adp_vga_sel,anlg_rx_adp_dfe_fxtap1,anlg_rx_adp_dfe_fxtap2,anlg_rx_adp_dfe_fxtap3,anlg_rx_adp_dfe_fxtap4,anlg_rx_adp_dfe_fxtap5,anlg_rx_adp_dfe_fxtap6,anlg_rx_adp_dfe_fxtap7,anlg_rx_adp_dfe_fxtap8,anlg_rx_adp_dfe_fxtap9,anlg_rx_adp_dfe_fxtap10,anlg_rx_adp_dfe_fxtap11,anlg_rx_term_sel</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_param_labels" type="string"> + <ipxact:name>rcfg_param_labels</ipxact:name> + <ipxact:displayName>IP Parameters</ipxact:displayName> + <ipxact:value>VCCR_GXB and VCCT_GXB supply voltage for the Transceiver,Tranceiver Link Type,Protocol support mode,Transceiver configuration rules,PMA configuration rules,Transceiver mode,Number of data channels,Data rate,Enable datapath and interface reconfiguration,Enable simplified data interface,Provide separate interface for each channel,Enable calibration,Enable parallel loopback,Enable UPI Pipeline Options,Delay1 setting,Delay1 mode,Delay2 setting,TX channel bonding mode,PCS TX channel bonding master,TX local clock division factor,Number of TX PLL clock inputs per channel,Initial TX PLL clock input selection,Enable tx_analog_reset_ack port,Enable tx_pma_clkout port,Enable tx_pma_div_clkout port,tx_pma_div_clkout division factor,Enable tx_pma_iqtxrx_clkout port,Enable tx_pma_elecidle port,Enable tx_pma_qpipullup port (QPI),Enable tx_pma_qpipulldn port (QPI),Enable tx_pma_txdetectrx port (QPI),Enable tx_pma_rxfound port (QPI),Enable rx_seriallpbken port,Number of physical bonding clock ports to use.,Number of CDR reference clocks,Selected CDR reference clock,Selected CDR reference clock frequency,PPM detector threshold,CTLE mode,DFE mode,Number of fixed dfe taps,Enable adaptation control ports,Enable rx_analog_reset_ack port,Enable rx_pma_clkout port,Enable rx_pma_div_clkout port,rx_pma_div_clkout division factor,Enable rx_pma_iqtxrx_clkout port,Enable rx_pma_clkslip port,Enable rx_pma_qpipulldn port (QPI),Enable rx_is_lockedtodata port,Enable rx_is_lockedtoref port,Enable rx_set_locktodata and rx_set_locktoref ports,Enable rx_fref and rx_clklow ports,Enable rx_signaldetect port,Enable rx_seriallpbken port,Enable PRBS verifier control and status ports,Standard PCS / PMA interface width,Enable 'Standard PCS' low latency mode,Enable PCIe hard IP support,Enable hard reset controller (HIP),Enable PCIe hard IP calibration,TX FIFO mode,RX FIFO mode,Enable tx_std_pcfifo_full port,Enable tx_std_pcfifo_empty port,Enable rx_std_pcfifo_full port,Enable rx_std_pcfifo_empty port,TX byte serializer mode,RX byte deserializer mode,Enable TX 8B/10B encoder,Enable TX 8B/10B disparity control,Enable RX 8B/10B decoder,RX rate match FIFO mode,RX rate match insert/delete -ve pattern (hex),RX rate match insert/delete +ve pattern (hex),Enable rx_std_rmfifo_full port,Enable rx_std_rmfifo_empty port,PCI Express Gen 3 rate match FIFO mode,Enable TX bitslip,Enable tx_std_bitslipboundarysel port,RX word aligner mode,RX word aligner pattern length,RX word aligner pattern (hex),Number of word alignment patterns to achieve sync,Number of invalid data words to lose sync,Number of valid data words to decrement error count,Enable fast sync status reporting for deterministic latency SM,Enable rx_std_wa_patternalign port,Enable rx_std_wa_a1a2size port,Enable rx_std_bitslipboundarysel port,Enable rx_bitslip port,Enable TX bit reversal,Enable TX byte reversal,Enable TX polarity inversion,Enable tx_polinv port,Enable RX bit reversal,Enable rx_std_bitrev_ena port,Enable RX byte reversal,Enable rx_std_byterev_ena port,Enable RX polarity inversion,Enable rx_polinv port,Enable rx_std_signaldetect port,Enable PCIe dynamic datarate switch ports,Enable PCIe pipe_hclk_in and pipe_hclk_out ports,Enable PCIe Gen 3 analog control ports,Enable PCIe electrical idle control and status ports,Enable PCIe pipe_rx_polarity port,Enhanced PCS / PMA interface width,FPGA fabric / Enhanced PCS interface width,Enable 'Enhanced PCS' low latency mode,Enable RX/TX FIFO double width mode,TX FIFO mode,TX FIFO partially full threshold,TX FIFO partially empty threshold,Enable tx_enh_fifo_full port,Enable tx_enh_fifo_pfull port,Enable tx_enh_fifo_empty port,Enable tx_enh_fifo_pempty port,Enable tx_enh_fifo_cnt port,RX FIFO mode,RX FIFO partially full threshold,RX FIFO partially empty threshold,Enable RX FIFO alignment word deletion (Interlaken),Enable RX FIFO control word deletion (Interlaken),Enable rx_enh_data_valid port,Enable rx_enh_fifo_full port,Enable rx_enh_fifo_pfull port,Enable rx_enh_fifo_empty port,Enable rx_enh_fifo_pempty port,Enable rx_enh_fifo_cnt port,Enable rx_enh_fifo_del port (10GBASE-R),Enable rx_enh_fifo_insert port (10GBASE-R),Enable rx_enh_fifo_rd_en port,Enable rx_enh_fifo_align_val port (Interlaken),Enable rx_enh_fifo_align_clr port (Interlaken),Enable Interlaken frame generator,Frame generator metaframe length,Enable frame generator burst control,Enable tx_enh_frame port,Enable tx_enh_frame_diag_status port,Enable tx_enh_frame_burst_en port,Enable Interlaken frame synchronizer,Frame synchronizer metaframe length,Enable rx_enh_frame port,Enable rx_enh_frame_lock port,Enable rx_enh_frame_diag_status port,Enable Interlaken TX CRC-32 generator,Enable Interlaken TX CRC-32 generator error insertion,Enable Interlaken RX CRC-32 checker,Enable rx_enh_crc32_err port,Enable rx_enh_highber port (10GBASE-R),Enable rx_enh_highber_clr_cnt port (10GBASE-R),Enable rx_enh_clr_errblk_count port (10GBASE-R & FEC),Enable rx_enh_clr_errblk_count port (10GBASE-R),Enable TX 64b/66b encoder,Enable RX 64b/66b decoder,Enable TX sync header error insertion,Enable TX scrambler (10GBASE-R/Interlaken),TX scrambler seed (10GBASE-R/Interlaken),Enable RX descrambler (10GBASE-R/Interlaken),Enable Interlaken TX disparity generator,Enable Interlaken RX disparity checker,Enable Interlaken TX random disparity bit,Enable RX block synchronizer,Enable rx_enh_blk_lock port,Enable TX data bitslip,Enable TX data polarity inversion,Enable RX data bitslip,Enable RX data polarity inversion,Enable tx_enh_bitslip port,Enable rx_bitslip port,Enable RX KR-FEC error marking,Error marking type,Enable KR-FEC TX error insertion,KR-FEC TX error insertion spacing,Enable tx_enh_frame port,Enable rx_enh_frame port,Enable rx_enh_frame_diag_status port,PCS Direct interface width,Include PMA analog settings in configuration files,Analog Mode (Intel-recommended Default Setting Rules),Override Intel-recommended Analog Mode Default Settings,Output Swing Level (VOD),Pre-Emphasis First Pre-Tap Polarity,Pre-Emphasis First Pre-Tap Magnitude,Pre-Emphasis Second Pre-Tap Polarity,Pre-Emphasis Second Pre-Tap Magnitude,Pre-Emphasis First Post-Tap Polarity,Pre-Emphasis First Post-Tap Magnitude,Pre-Emphasis Second Post-Tap Polarity,Pre-Emphasis Second Post-Tap Magnitude,Slew Rate Control,High-Speed Compensation,On-Chip Termination,Override Intel-recommended Default Settings,CTLE (Continuous Time Linear Equalizer) mode,DC Gain Control of High Gain Mode CTLE,AC Gain Control of High Gain Mode CTLE,AC Gain Control of High Data Rate Mode CTLE,Variable Gain Amplifier (VGA) Voltage Swing Select,Decision Feedback Equalizer (DFE) Fixed Tap 1 Co-efficient,Decision Feedback Equalizer (DFE) Fixed Tap 2 Co-efficient,Decision Feedback Equalizer (DFE) Fixed Tap 3 Co-efficient,Decision Feedback Equalizer (DFE) Fixed Tap 4 Co-efficient,Decision Feedback Equalizer (DFE) Fixed Tap 5 Co-efficient,Decision Feedback Equalizer (DFE) Fixed Tap 6 Co-efficient,Decision Feedback Equalizer (DFE) Fixed Tap 7 Co-efficient,Decision Feedback Equalizer (DFE) Fixed Tap 8 Co-efficient,Decision Feedback Equalizer (DFE) Fixed Tap 9 Co-efficient,Decision Feedback Equalizer (DFE) Fixed Tap 10 Co-efficient,Decision Feedback Equalizer (DFE) Fixed Tap 11 Co-efficient,On-Chip Termination</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_param_vals0" type="string"> + <ipxact:name>rcfg_param_vals0</ipxact:name> + <ipxact:displayName>Profile 0</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_param_vals1" type="string"> + <ipxact:name>rcfg_param_vals1</ipxact:name> + <ipxact:displayName>Profile 1</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_param_vals2" type="string"> + <ipxact:name>rcfg_param_vals2</ipxact:name> + <ipxact:displayName>Profile 2</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_param_vals3" type="string"> + <ipxact:name>rcfg_param_vals3</ipxact:name> + <ipxact:displayName>Profile 3</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_param_vals4" type="string"> + <ipxact:name>rcfg_param_vals4</ipxact:name> + <ipxact:displayName>Profile 4</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_param_vals5" type="string"> + <ipxact:name>rcfg_param_vals5</ipxact:name> + <ipxact:displayName>Profile 5</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_param_vals6" type="string"> + <ipxact:name>rcfg_param_vals6</ipxact:name> + <ipxact:displayName>Profile 6</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_param_vals7" type="string"> + <ipxact:name>rcfg_param_vals7</ipxact:name> + <ipxact:displayName>Profile 7</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_rcfg_datapath_message" type="int"> + <ipxact:name>l_rcfg_datapath_message</ipxact:name> + <ipxact:displayName>l_rcfg_datapath_message</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_analog_settings" type="int"> + <ipxact:name>enable_analog_settings</ipxact:name> + <ipxact:displayName>Include PMA analog settings in configuration files</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_tx_analog_mode" type="string"> + <ipxact:name>anlg_tx_analog_mode</ipxact:name> + <ipxact:displayName>Analog Mode (Intel-recommended Default Setting Rules)</ipxact:displayName> + <ipxact:value>user_custom</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_enable_tx_default_ovr" type="int"> + <ipxact:name>anlg_enable_tx_default_ovr</ipxact:name> + <ipxact:displayName>Override Intel-recommended Analog Mode Default Settings</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_tx_vod_output_swing_ctrl" type="int"> + <ipxact:name>anlg_tx_vod_output_swing_ctrl</ipxact:name> + <ipxact:displayName>Output Swing Level (VOD)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_tx_pre_emp_sign_pre_tap_1t" type="string"> + <ipxact:name>anlg_tx_pre_emp_sign_pre_tap_1t</ipxact:name> + <ipxact:displayName>Pre-Emphasis First Pre-Tap Polarity</ipxact:displayName> + <ipxact:value>fir_pre_1t_neg</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_tx_pre_emp_switching_ctrl_pre_tap_1t" type="int"> + <ipxact:name>anlg_tx_pre_emp_switching_ctrl_pre_tap_1t</ipxact:name> + <ipxact:displayName>Pre-Emphasis First Pre-Tap Magnitude</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_tx_pre_emp_sign_pre_tap_2t" type="string"> + <ipxact:name>anlg_tx_pre_emp_sign_pre_tap_2t</ipxact:name> + <ipxact:displayName>Pre-Emphasis Second Pre-Tap Polarity</ipxact:displayName> + <ipxact:value>fir_pre_2t_neg</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_tx_pre_emp_switching_ctrl_pre_tap_2t" type="int"> + <ipxact:name>anlg_tx_pre_emp_switching_ctrl_pre_tap_2t</ipxact:name> + <ipxact:displayName>Pre-Emphasis Second Pre-Tap Magnitude</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_tx_pre_emp_sign_1st_post_tap" type="string"> + <ipxact:name>anlg_tx_pre_emp_sign_1st_post_tap</ipxact:name> + <ipxact:displayName>Pre-Emphasis First Post-Tap Polarity</ipxact:displayName> + <ipxact:value>fir_post_1t_neg</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_tx_pre_emp_switching_ctrl_1st_post_tap" type="int"> + <ipxact:name>anlg_tx_pre_emp_switching_ctrl_1st_post_tap</ipxact:name> + <ipxact:displayName>Pre-Emphasis First Post-Tap Magnitude</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_tx_pre_emp_sign_2nd_post_tap" type="string"> + <ipxact:name>anlg_tx_pre_emp_sign_2nd_post_tap</ipxact:name> + <ipxact:displayName>Pre-Emphasis Second Post-Tap Polarity</ipxact:displayName> + <ipxact:value>fir_post_2t_neg</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_tx_pre_emp_switching_ctrl_2nd_post_tap" type="int"> + <ipxact:name>anlg_tx_pre_emp_switching_ctrl_2nd_post_tap</ipxact:name> + <ipxact:displayName>Pre-Emphasis Second Post-Tap Magnitude</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_tx_slew_rate_ctrl" type="string"> + <ipxact:name>anlg_tx_slew_rate_ctrl</ipxact:name> + <ipxact:displayName>Slew Rate Control</ipxact:displayName> + <ipxact:value>slew_r7</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_tx_compensation_en" type="string"> + <ipxact:name>anlg_tx_compensation_en</ipxact:name> + <ipxact:displayName>High-Speed Compensation</ipxact:displayName> + <ipxact:value>enable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_tx_term_sel" type="string"> + <ipxact:name>anlg_tx_term_sel</ipxact:name> + <ipxact:displayName>On-Chip Termination</ipxact:displayName> + <ipxact:value>r_r1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_enable_rx_default_ovr" type="int"> + <ipxact:name>anlg_enable_rx_default_ovr</ipxact:name> + <ipxact:displayName>Override Intel-recommended Default Settings</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_rx_one_stage_enable" type="string"> + <ipxact:name>anlg_rx_one_stage_enable</ipxact:name> + <ipxact:displayName>CTLE (Continuous Time Linear Equalizer) mode</ipxact:displayName> + <ipxact:value>s1_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_rx_eq_dc_gain_trim" type="string"> + <ipxact:name>anlg_rx_eq_dc_gain_trim</ipxact:name> + <ipxact:displayName>DC Gain Control of High Gain Mode CTLE</ipxact:displayName> + <ipxact:value>stg2_gain7</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_rx_adp_ctle_acgain_4s" type="string"> + <ipxact:name>anlg_rx_adp_ctle_acgain_4s</ipxact:name> + <ipxact:displayName>AC Gain Control of High Gain Mode CTLE</ipxact:displayName> + <ipxact:value>radp_ctle_acgain_4s_1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_rx_adp_ctle_eqz_1s_sel" type="string"> + <ipxact:name>anlg_rx_adp_ctle_eqz_1s_sel</ipxact:name> + <ipxact:displayName>AC Gain Control of High Data Rate Mode CTLE</ipxact:displayName> + <ipxact:value>radp_ctle_eqz_1s_sel_3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_rx_adp_vga_sel" type="string"> + <ipxact:name>anlg_rx_adp_vga_sel</ipxact:name> + <ipxact:displayName>Variable Gain Amplifier (VGA) Voltage Swing Select</ipxact:displayName> + <ipxact:value>radp_vga_sel_2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_rx_adp_dfe_fxtap1" type="string"> + <ipxact:name>anlg_rx_adp_dfe_fxtap1</ipxact:name> + <ipxact:displayName>Decision Feedback Equalizer (DFE) Fixed Tap 1 Co-efficient</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap1_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_rx_adp_dfe_fxtap2" type="string"> + <ipxact:name>anlg_rx_adp_dfe_fxtap2</ipxact:name> + <ipxact:displayName>Decision Feedback Equalizer (DFE) Fixed Tap 2 Co-efficient</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap2_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_rx_adp_dfe_fxtap3" type="string"> + <ipxact:name>anlg_rx_adp_dfe_fxtap3</ipxact:name> + <ipxact:displayName>Decision Feedback Equalizer (DFE) Fixed Tap 3 Co-efficient</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap3_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_rx_adp_dfe_fxtap4" type="string"> + <ipxact:name>anlg_rx_adp_dfe_fxtap4</ipxact:name> + <ipxact:displayName>Decision Feedback Equalizer (DFE) Fixed Tap 4 Co-efficient</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap4_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_rx_adp_dfe_fxtap5" type="string"> + <ipxact:name>anlg_rx_adp_dfe_fxtap5</ipxact:name> + <ipxact:displayName>Decision Feedback Equalizer (DFE) Fixed Tap 5 Co-efficient</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap5_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_rx_adp_dfe_fxtap6" type="string"> + <ipxact:name>anlg_rx_adp_dfe_fxtap6</ipxact:name> + <ipxact:displayName>Decision Feedback Equalizer (DFE) Fixed Tap 6 Co-efficient</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap6_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_rx_adp_dfe_fxtap7" type="string"> + <ipxact:name>anlg_rx_adp_dfe_fxtap7</ipxact:name> + <ipxact:displayName>Decision Feedback Equalizer (DFE) Fixed Tap 7 Co-efficient</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap7_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_rx_adp_dfe_fxtap8" type="string"> + <ipxact:name>anlg_rx_adp_dfe_fxtap8</ipxact:name> + <ipxact:displayName>Decision Feedback Equalizer (DFE) Fixed Tap 8 Co-efficient</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap8_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_rx_adp_dfe_fxtap9" type="string"> + <ipxact:name>anlg_rx_adp_dfe_fxtap9</ipxact:name> + <ipxact:displayName>Decision Feedback Equalizer (DFE) Fixed Tap 9 Co-efficient</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap9_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_rx_adp_dfe_fxtap10" type="string"> + <ipxact:name>anlg_rx_adp_dfe_fxtap10</ipxact:name> + <ipxact:displayName>Decision Feedback Equalizer (DFE) Fixed Tap 10 Co-efficient</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap10_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_rx_adp_dfe_fxtap11" type="string"> + <ipxact:name>anlg_rx_adp_dfe_fxtap11</ipxact:name> + <ipxact:displayName>Decision Feedback Equalizer (DFE) Fixed Tap 11 Co-efficient</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap11_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_rx_term_sel" type="string"> + <ipxact:name>anlg_rx_term_sel</ipxact:name> + <ipxact:displayName>On-Chip Termination</ipxact:displayName> + <ipxact:value>r_r1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_anlg_tx_enable" type="int"> + <ipxact:name>l_anlg_tx_enable</ipxact:name> + <ipxact:displayName>l_anlg_tx_enable</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_anlg_rx_enable" type="int"> + <ipxact:name>l_anlg_rx_enable</ipxact:name> + <ipxact:displayName>l_anlg_rx_enable</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_rx_pcs_block_sync" type="string"> + <ipxact:name>hssi_gen3_rx_pcs_block_sync</ipxact:name> + <ipxact:displayName>hssi_gen3_rx_pcs_block_sync</ipxact:displayName> + <ipxact:value>bypass_block_sync</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_rx_pcs_block_sync_sm" type="string"> + <ipxact:name>hssi_gen3_rx_pcs_block_sync_sm</ipxact:name> + <ipxact:displayName>hssi_gen3_rx_pcs_block_sync_sm</ipxact:displayName> + <ipxact:value>disable_blk_sync_sm</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_rx_pcs_cdr_ctrl_force_unalgn" type="string"> + <ipxact:name>hssi_gen3_rx_pcs_cdr_ctrl_force_unalgn</ipxact:name> + <ipxact:displayName>hssi_gen3_rx_pcs_cdr_ctrl_force_unalgn</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_rx_pcs_lpbk_force" type="string"> + <ipxact:name>hssi_gen3_rx_pcs_lpbk_force</ipxact:name> + <ipxact:displayName>hssi_gen3_rx_pcs_lpbk_force</ipxact:displayName> + <ipxact:value>lpbk_frce_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_rx_pcs_mode" type="string"> + <ipxact:name>hssi_gen3_rx_pcs_mode</ipxact:name> + <ipxact:displayName>hssi_gen3_rx_pcs_mode</ipxact:displayName> + <ipxact:value>disable_pcs</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_rx_pcs_rate_match_fifo" type="string"> + <ipxact:name>hssi_gen3_rx_pcs_rate_match_fifo</ipxact:name> + <ipxact:displayName>hssi_gen3_rx_pcs_rate_match_fifo</ipxact:displayName> + <ipxact:value>bypass_rm_fifo</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_rx_pcs_rate_match_fifo_latency" type="string"> + <ipxact:name>hssi_gen3_rx_pcs_rate_match_fifo_latency</ipxact:name> + <ipxact:displayName>hssi_gen3_rx_pcs_rate_match_fifo_latency</ipxact:displayName> + <ipxact:value>low_latency</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_rx_pcs_reverse_lpbk" type="string"> + <ipxact:name>hssi_gen3_rx_pcs_reverse_lpbk</ipxact:name> + <ipxact:displayName>hssi_gen3_rx_pcs_reverse_lpbk</ipxact:displayName> + <ipxact:value>rev_lpbk_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_rx_pcs_rx_b4gb_par_lpbk" type="string"> + <ipxact:name>hssi_gen3_rx_pcs_rx_b4gb_par_lpbk</ipxact:name> + <ipxact:displayName>hssi_gen3_rx_pcs_rx_b4gb_par_lpbk</ipxact:displayName> + <ipxact:value>b4gb_par_lpbk_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_rx_pcs_rx_force_balign" type="string"> + <ipxact:name>hssi_gen3_rx_pcs_rx_force_balign</ipxact:name> + <ipxact:displayName>hssi_gen3_rx_pcs_rx_force_balign</ipxact:displayName> + <ipxact:value>dis_force_balign</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_rx_pcs_rx_ins_del_one_skip" type="string"> + <ipxact:name>hssi_gen3_rx_pcs_rx_ins_del_one_skip</ipxact:name> + <ipxact:displayName>hssi_gen3_rx_pcs_rx_ins_del_one_skip</ipxact:displayName> + <ipxact:value>ins_del_one_skip_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_rx_pcs_rx_num_fixed_pat" type="int"> + <ipxact:name>hssi_gen3_rx_pcs_rx_num_fixed_pat</ipxact:name> + <ipxact:displayName>hssi_gen3_rx_pcs_rx_num_fixed_pat</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_rx_pcs_rx_test_out_sel" type="string"> + <ipxact:name>hssi_gen3_rx_pcs_rx_test_out_sel</ipxact:name> + <ipxact:displayName>hssi_gen3_rx_pcs_rx_test_out_sel</ipxact:displayName> + <ipxact:value>rx_test_out0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_rx_pcs_sup_mode" type="string"> + <ipxact:name>hssi_gen3_rx_pcs_sup_mode</ipxact:name> + <ipxact:displayName>hssi_gen3_rx_pcs_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_rx_pcs_silicon_rev" type="string"> + <ipxact:name>hssi_gen3_rx_pcs_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_gen3_rx_pcs_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_rx_pcs_reconfig_settings" type="string"> + <ipxact:name>hssi_gen3_rx_pcs_reconfig_settings</ipxact:name> + <ipxact:displayName>hssi_gen3_rx_pcs_reconfig_settings</ipxact:displayName> + <ipxact:value>{}</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_tx_pcs_mode" type="string"> + <ipxact:name>hssi_gen3_tx_pcs_mode</ipxact:name> + <ipxact:displayName>hssi_gen3_tx_pcs_mode</ipxact:displayName> + <ipxact:value>disable_pcs</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_tx_pcs_reverse_lpbk" type="string"> + <ipxact:name>hssi_gen3_tx_pcs_reverse_lpbk</ipxact:name> + <ipxact:displayName>hssi_gen3_tx_pcs_reverse_lpbk</ipxact:displayName> + <ipxact:value>rev_lpbk_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_tx_pcs_sup_mode" type="string"> + <ipxact:name>hssi_gen3_tx_pcs_sup_mode</ipxact:name> + <ipxact:displayName>hssi_gen3_tx_pcs_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_tx_pcs_tx_bitslip" type="int"> + <ipxact:name>hssi_gen3_tx_pcs_tx_bitslip</ipxact:name> + <ipxact:displayName>hssi_gen3_tx_pcs_tx_bitslip</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_tx_pcs_tx_gbox_byp" type="string"> + <ipxact:name>hssi_gen3_tx_pcs_tx_gbox_byp</ipxact:name> + <ipxact:displayName>hssi_gen3_tx_pcs_tx_gbox_byp</ipxact:displayName> + <ipxact:value>bypass_gbox</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_tx_pcs_silicon_rev" type="string"> + <ipxact:name>hssi_gen3_tx_pcs_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_gen3_tx_pcs_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_blksync_cor_en" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_blksync_cor_en</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_blksync_cor_en</ipxact:displayName> + <ipxact:value>detect</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_bypass_gb" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_bypass_gb</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_bypass_gb</ipxact:displayName> + <ipxact:value>bypass_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_clr_ctrl" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_clr_ctrl</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_clr_ctrl</ipxact:displayName> + <ipxact:value>both_enabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_ctrl_bit_reverse" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_ctrl_bit_reverse</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_ctrl_bit_reverse</ipxact:displayName> + <ipxact:value>ctrl_bit_reverse_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_data_bit_reverse" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_data_bit_reverse</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_data_bit_reverse</ipxact:displayName> + <ipxact:value>data_bit_reverse_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_dv_start" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_dv_start</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_dv_start</ipxact:displayName> + <ipxact:value>with_blklock</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_err_mark_type" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_err_mark_type</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_err_mark_type</ipxact:displayName> + <ipxact:value>err_mark_10g</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_error_marking_en" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_error_marking_en</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_error_marking_en</ipxact:displayName> + <ipxact:value>err_mark_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_low_latency_en" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_low_latency_en</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_low_latency_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_lpbk_mode" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_lpbk_mode</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_lpbk_mode</ipxact:displayName> + <ipxact:value>lpbk_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_parity_invalid_enum" type="int"> + <ipxact:name>hssi_krfec_rx_pcs_parity_invalid_enum</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_parity_invalid_enum</ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_parity_valid_num" type="int"> + <ipxact:name>hssi_krfec_rx_pcs_parity_valid_num</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_parity_valid_num</ipxact:displayName> + <ipxact:value>4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_pipeln_blksync" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_pipeln_blksync</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_pipeln_blksync</ipxact:displayName> + <ipxact:value>enable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_pipeln_descrm" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_pipeln_descrm</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_pipeln_descrm</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_pipeln_errcorrect" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_pipeln_errcorrect</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_pipeln_errcorrect</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_pipeln_errtrap_ind" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_pipeln_errtrap_ind</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_pipeln_errtrap_ind</ipxact:displayName> + <ipxact:value>enable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_pipeln_errtrap_lfsr" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_pipeln_errtrap_lfsr</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_pipeln_errtrap_lfsr</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_pipeln_errtrap_loc" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_pipeln_errtrap_loc</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_pipeln_errtrap_loc</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_pipeln_errtrap_pat" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_pipeln_errtrap_pat</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_pipeln_errtrap_pat</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_pipeln_gearbox" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_pipeln_gearbox</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_pipeln_gearbox</ipxact:displayName> + <ipxact:value>enable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_pipeln_syndrm" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_pipeln_syndrm</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_pipeln_syndrm</ipxact:displayName> + <ipxact:value>enable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_pipeln_trans_dec" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_pipeln_trans_dec</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_pipeln_trans_dec</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_prot_mode" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_prot_mode</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_prot_mode</ipxact:displayName> + <ipxact:value>disable_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_receive_order" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_receive_order</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_receive_order</ipxact:displayName> + <ipxact:value>receive_lsb</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_rx_testbus_sel" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_rx_testbus_sel</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_rx_testbus_sel</ipxact:displayName> + <ipxact:value>overall</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_signal_ok_en" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_signal_ok_en</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_signal_ok_en</ipxact:displayName> + <ipxact:value>sig_ok_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_sup_mode" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_sup_mode</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_silicon_rev" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_reconfig_settings" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_reconfig_settings</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_reconfig_settings</ipxact:displayName> + <ipxact:value>{}</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_tx_pcs_burst_err" type="string"> + <ipxact:name>hssi_krfec_tx_pcs_burst_err</ipxact:name> + <ipxact:displayName>hssi_krfec_tx_pcs_burst_err</ipxact:displayName> + <ipxact:value>burst_err_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_tx_pcs_burst_err_len" type="string"> + <ipxact:name>hssi_krfec_tx_pcs_burst_err_len</ipxact:name> + <ipxact:displayName>hssi_krfec_tx_pcs_burst_err_len</ipxact:displayName> + <ipxact:value>burst_err_len1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_tx_pcs_ctrl_bit_reverse" type="string"> + <ipxact:name>hssi_krfec_tx_pcs_ctrl_bit_reverse</ipxact:name> + <ipxact:displayName>hssi_krfec_tx_pcs_ctrl_bit_reverse</ipxact:displayName> + <ipxact:value>ctrl_bit_reverse_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_tx_pcs_data_bit_reverse" type="string"> + <ipxact:name>hssi_krfec_tx_pcs_data_bit_reverse</ipxact:name> + <ipxact:displayName>hssi_krfec_tx_pcs_data_bit_reverse</ipxact:displayName> + <ipxact:value>data_bit_reverse_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_tx_pcs_enc_frame_query" type="string"> + <ipxact:name>hssi_krfec_tx_pcs_enc_frame_query</ipxact:name> + <ipxact:displayName>hssi_krfec_tx_pcs_enc_frame_query</ipxact:displayName> + <ipxact:value>enc_query_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_tx_pcs_low_latency_en" type="string"> + <ipxact:name>hssi_krfec_tx_pcs_low_latency_en</ipxact:name> + <ipxact:displayName>hssi_krfec_tx_pcs_low_latency_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_tx_pcs_pipeln_encoder" type="string"> + <ipxact:name>hssi_krfec_tx_pcs_pipeln_encoder</ipxact:name> + <ipxact:displayName>hssi_krfec_tx_pcs_pipeln_encoder</ipxact:displayName> + <ipxact:value>enable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_tx_pcs_pipeln_scrambler" type="string"> + <ipxact:name>hssi_krfec_tx_pcs_pipeln_scrambler</ipxact:name> + <ipxact:displayName>hssi_krfec_tx_pcs_pipeln_scrambler</ipxact:displayName> + <ipxact:value>enable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_tx_pcs_prot_mode" type="string"> + <ipxact:name>hssi_krfec_tx_pcs_prot_mode</ipxact:name> + <ipxact:displayName>hssi_krfec_tx_pcs_prot_mode</ipxact:displayName> + <ipxact:value>disable_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_tx_pcs_sup_mode" type="string"> + <ipxact:name>hssi_krfec_tx_pcs_sup_mode</ipxact:name> + <ipxact:displayName>hssi_krfec_tx_pcs_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_tx_pcs_transcode_err" type="string"> + <ipxact:name>hssi_krfec_tx_pcs_transcode_err</ipxact:name> + <ipxact:displayName>hssi_krfec_tx_pcs_transcode_err</ipxact:displayName> + <ipxact:value>trans_err_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_tx_pcs_transmit_order" type="string"> + <ipxact:name>hssi_krfec_tx_pcs_transmit_order</ipxact:name> + <ipxact:displayName>hssi_krfec_tx_pcs_transmit_order</ipxact:displayName> + <ipxact:value>transmit_lsb</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_tx_pcs_tx_testbus_sel" type="string"> + <ipxact:name>hssi_krfec_tx_pcs_tx_testbus_sel</ipxact:name> + <ipxact:displayName>hssi_krfec_tx_pcs_tx_testbus_sel</ipxact:displayName> + <ipxact:value>overall</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_tx_pcs_silicon_rev" type="string"> + <ipxact:name>hssi_krfec_tx_pcs_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_krfec_tx_pcs_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_align_del" type="string"> + <ipxact:name>hssi_10g_rx_pcs_align_del</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_align_del</ipxact:displayName> + <ipxact:value>align_del_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_ber_bit_err_total_cnt" type="string"> + <ipxact:name>hssi_10g_rx_pcs_ber_bit_err_total_cnt</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_ber_bit_err_total_cnt</ipxact:displayName> + <ipxact:value>bit_err_total_cnt_10g</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_ber_clken" type="string"> + <ipxact:name>hssi_10g_rx_pcs_ber_clken</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_ber_clken</ipxact:displayName> + <ipxact:value>ber_clk_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_ber_xus_timer_window" type="int"> + <ipxact:name>hssi_10g_rx_pcs_ber_xus_timer_window</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_ber_xus_timer_window</ipxact:displayName> + <ipxact:value>19530</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_bitslip_mode" type="string"> + <ipxact:name>hssi_10g_rx_pcs_bitslip_mode</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_bitslip_mode</ipxact:displayName> + <ipxact:value>bitslip_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_blksync_bitslip_type" type="string"> + <ipxact:name>hssi_10g_rx_pcs_blksync_bitslip_type</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_blksync_bitslip_type</ipxact:displayName> + <ipxact:value>bitslip_comb</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_blksync_bitslip_wait_cnt" type="int"> + <ipxact:name>hssi_10g_rx_pcs_blksync_bitslip_wait_cnt</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_blksync_bitslip_wait_cnt</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_blksync_bitslip_wait_type" type="string"> + <ipxact:name>hssi_10g_rx_pcs_blksync_bitslip_wait_type</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_blksync_bitslip_wait_type</ipxact:displayName> + <ipxact:value>bitslip_cnt</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_blksync_bypass" type="string"> + <ipxact:name>hssi_10g_rx_pcs_blksync_bypass</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_blksync_bypass</ipxact:displayName> + <ipxact:value>blksync_bypass_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_blksync_clken" type="string"> + <ipxact:name>hssi_10g_rx_pcs_blksync_clken</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_blksync_clken</ipxact:displayName> + <ipxact:value>blksync_clk_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_blksync_enum_invalid_sh_cnt" type="string"> + <ipxact:name>hssi_10g_rx_pcs_blksync_enum_invalid_sh_cnt</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_blksync_enum_invalid_sh_cnt</ipxact:displayName> + <ipxact:value>enum_invalid_sh_cnt_10g</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_blksync_knum_sh_cnt_postlock" type="string"> + <ipxact:name>hssi_10g_rx_pcs_blksync_knum_sh_cnt_postlock</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_blksync_knum_sh_cnt_postlock</ipxact:displayName> + <ipxact:value>knum_sh_cnt_postlock_10g</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_blksync_knum_sh_cnt_prelock" type="string"> + <ipxact:name>hssi_10g_rx_pcs_blksync_knum_sh_cnt_prelock</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_blksync_knum_sh_cnt_prelock</ipxact:displayName> + <ipxact:value>knum_sh_cnt_prelock_10g</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_blksync_pipeln" type="string"> + <ipxact:name>hssi_10g_rx_pcs_blksync_pipeln</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_blksync_pipeln</ipxact:displayName> + <ipxact:value>blksync_pipeln_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_clr_errblk_cnt_en" type="string"> + <ipxact:name>hssi_10g_rx_pcs_clr_errblk_cnt_en</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_clr_errblk_cnt_en</ipxact:displayName> + <ipxact:value>enable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_control_del" type="string"> + <ipxact:name>hssi_10g_rx_pcs_control_del</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_control_del</ipxact:displayName> + <ipxact:value>control_del_none</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_crcchk_bypass" type="string"> + <ipxact:name>hssi_10g_rx_pcs_crcchk_bypass</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_crcchk_bypass</ipxact:displayName> + <ipxact:value>crcchk_bypass_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_crcchk_clken" type="string"> + <ipxact:name>hssi_10g_rx_pcs_crcchk_clken</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_crcchk_clken</ipxact:displayName> + <ipxact:value>crcchk_clk_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_crcchk_inv" type="string"> + <ipxact:name>hssi_10g_rx_pcs_crcchk_inv</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_crcchk_inv</ipxact:displayName> + <ipxact:value>crcchk_inv_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_crcchk_pipeln" type="string"> + <ipxact:name>hssi_10g_rx_pcs_crcchk_pipeln</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_crcchk_pipeln</ipxact:displayName> + <ipxact:value>crcchk_pipeln_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_crcflag_pipeln" type="string"> + <ipxact:name>hssi_10g_rx_pcs_crcflag_pipeln</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_crcflag_pipeln</ipxact:displayName> + <ipxact:value>crcflag_pipeln_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_ctrl_bit_reverse" type="string"> + <ipxact:name>hssi_10g_rx_pcs_ctrl_bit_reverse</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_ctrl_bit_reverse</ipxact:displayName> + <ipxact:value>ctrl_bit_reverse_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_data_bit_reverse" type="string"> + <ipxact:name>hssi_10g_rx_pcs_data_bit_reverse</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_data_bit_reverse</ipxact:displayName> + <ipxact:value>data_bit_reverse_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_dec_64b66b_rxsm_bypass" type="string"> + <ipxact:name>hssi_10g_rx_pcs_dec_64b66b_rxsm_bypass</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_dec_64b66b_rxsm_bypass</ipxact:displayName> + <ipxact:value>dec_64b66b_rxsm_bypass_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_dec64b66b_clken" type="string"> + <ipxact:name>hssi_10g_rx_pcs_dec64b66b_clken</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_dec64b66b_clken</ipxact:displayName> + <ipxact:value>dec64b66b_clk_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_descrm_bypass" type="string"> + <ipxact:name>hssi_10g_rx_pcs_descrm_bypass</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_descrm_bypass</ipxact:displayName> + <ipxact:value>descrm_bypass_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_descrm_clken" type="string"> + <ipxact:name>hssi_10g_rx_pcs_descrm_clken</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_descrm_clken</ipxact:displayName> + <ipxact:value>descrm_clk_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_descrm_mode" type="string"> + <ipxact:name>hssi_10g_rx_pcs_descrm_mode</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_descrm_mode</ipxact:displayName> + <ipxact:value>async</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_descrm_pipeln" type="string"> + <ipxact:name>hssi_10g_rx_pcs_descrm_pipeln</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_descrm_pipeln</ipxact:displayName> + <ipxact:value>enable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_dft_clk_out_sel" type="string"> + <ipxact:name>hssi_10g_rx_pcs_dft_clk_out_sel</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_dft_clk_out_sel</ipxact:displayName> + <ipxact:value>rx_master_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_dis_signal_ok" type="string"> + <ipxact:name>hssi_10g_rx_pcs_dis_signal_ok</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_dis_signal_ok</ipxact:displayName> + <ipxact:value>dis_signal_ok_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_dispchk_bypass" type="string"> + <ipxact:name>hssi_10g_rx_pcs_dispchk_bypass</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_dispchk_bypass</ipxact:displayName> + <ipxact:value>dispchk_bypass_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_empty_flag_type" type="string"> + <ipxact:name>hssi_10g_rx_pcs_empty_flag_type</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_empty_flag_type</ipxact:displayName> + <ipxact:value>empty_rd_side</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_fast_path" type="string"> + <ipxact:name>hssi_10g_rx_pcs_fast_path</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_fast_path</ipxact:displayName> + <ipxact:value>fast_path_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_fec_clken" type="string"> + <ipxact:name>hssi_10g_rx_pcs_fec_clken</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_fec_clken</ipxact:displayName> + <ipxact:value>fec_clk_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_fec_enable" type="string"> + <ipxact:name>hssi_10g_rx_pcs_fec_enable</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_fec_enable</ipxact:displayName> + <ipxact:value>fec_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_fifo_double_read" type="string"> + <ipxact:name>hssi_10g_rx_pcs_fifo_double_read</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_fifo_double_read</ipxact:displayName> + <ipxact:value>fifo_double_read_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_fifo_stop_rd" type="string"> + <ipxact:name>hssi_10g_rx_pcs_fifo_stop_rd</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_fifo_stop_rd</ipxact:displayName> + <ipxact:value>n_rd_empty</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_fifo_stop_wr" type="string"> + <ipxact:name>hssi_10g_rx_pcs_fifo_stop_wr</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_fifo_stop_wr</ipxact:displayName> + <ipxact:value>n_wr_full</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_force_align" type="string"> + <ipxact:name>hssi_10g_rx_pcs_force_align</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_force_align</ipxact:displayName> + <ipxact:value>force_align_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_frmsync_bypass" type="string"> + <ipxact:name>hssi_10g_rx_pcs_frmsync_bypass</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_frmsync_bypass</ipxact:displayName> + <ipxact:value>frmsync_bypass_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_frmsync_clken" type="string"> + <ipxact:name>hssi_10g_rx_pcs_frmsync_clken</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_frmsync_clken</ipxact:displayName> + <ipxact:value>frmsync_clk_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_frmsync_enum_scrm" type="string"> + <ipxact:name>hssi_10g_rx_pcs_frmsync_enum_scrm</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_frmsync_enum_scrm</ipxact:displayName> + <ipxact:value>enum_scrm_default</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_frmsync_enum_sync" type="string"> + <ipxact:name>hssi_10g_rx_pcs_frmsync_enum_sync</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_frmsync_enum_sync</ipxact:displayName> + <ipxact:value>enum_sync_default</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_frmsync_flag_type" type="string"> + <ipxact:name>hssi_10g_rx_pcs_frmsync_flag_type</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_frmsync_flag_type</ipxact:displayName> + <ipxact:value>location_only</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_frmsync_knum_sync" type="string"> + <ipxact:name>hssi_10g_rx_pcs_frmsync_knum_sync</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_frmsync_knum_sync</ipxact:displayName> + <ipxact:value>knum_sync_default</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_frmsync_mfrm_length" type="int"> + <ipxact:name>hssi_10g_rx_pcs_frmsync_mfrm_length</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_frmsync_mfrm_length</ipxact:displayName> + <ipxact:value>2048</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_frmsync_pipeln" type="string"> + <ipxact:name>hssi_10g_rx_pcs_frmsync_pipeln</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_frmsync_pipeln</ipxact:displayName> + <ipxact:value>frmsync_pipeln_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_full_flag_type" type="string"> + <ipxact:name>hssi_10g_rx_pcs_full_flag_type</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_full_flag_type</ipxact:displayName> + <ipxact:value>full_wr_side</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_gb_rx_idwidth" type="string"> + <ipxact:name>hssi_10g_rx_pcs_gb_rx_idwidth</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_gb_rx_idwidth</ipxact:displayName> + <ipxact:value>width_32</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_gb_rx_odwidth" type="string"> + <ipxact:name>hssi_10g_rx_pcs_gb_rx_odwidth</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_gb_rx_odwidth</ipxact:displayName> + <ipxact:value>width_66</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_gbexp_clken" type="string"> + <ipxact:name>hssi_10g_rx_pcs_gbexp_clken</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_gbexp_clken</ipxact:displayName> + <ipxact:value>gbexp_clk_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_low_latency_en" type="string"> + <ipxact:name>hssi_10g_rx_pcs_low_latency_en</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_low_latency_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_lpbk_mode" type="string"> + <ipxact:name>hssi_10g_rx_pcs_lpbk_mode</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_lpbk_mode</ipxact:displayName> + <ipxact:value>lpbk_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_master_clk_sel" type="string"> + <ipxact:name>hssi_10g_rx_pcs_master_clk_sel</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_master_clk_sel</ipxact:displayName> + <ipxact:value>master_rx_pma_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_pempty_flag_type" type="string"> + <ipxact:name>hssi_10g_rx_pcs_pempty_flag_type</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_pempty_flag_type</ipxact:displayName> + <ipxact:value>pempty_rd_side</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_pfull_flag_type" type="string"> + <ipxact:name>hssi_10g_rx_pcs_pfull_flag_type</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_pfull_flag_type</ipxact:displayName> + <ipxact:value>pfull_wr_side</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_phcomp_rd_del" type="string"> + <ipxact:name>hssi_10g_rx_pcs_phcomp_rd_del</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_phcomp_rd_del</ipxact:displayName> + <ipxact:value>phcomp_rd_del2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_pld_if_type" type="string"> + <ipxact:name>hssi_10g_rx_pcs_pld_if_type</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_pld_if_type</ipxact:displayName> + <ipxact:value>fifo</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_prot_mode" type="string"> + <ipxact:name>hssi_10g_rx_pcs_prot_mode</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_prot_mode</ipxact:displayName> + <ipxact:value>teng_baser_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_rand_clken" type="string"> + <ipxact:name>hssi_10g_rx_pcs_rand_clken</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_rand_clken</ipxact:displayName> + <ipxact:value>rand_clk_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_rd_clk_sel" type="string"> + <ipxact:name>hssi_10g_rx_pcs_rd_clk_sel</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_rd_clk_sel</ipxact:displayName> + <ipxact:value>rd_rx_pld_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_rdfifo_clken" type="string"> + <ipxact:name>hssi_10g_rx_pcs_rdfifo_clken</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_rdfifo_clken</ipxact:displayName> + <ipxact:value>rdfifo_clk_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_rx_fifo_write_ctrl" type="string"> + <ipxact:name>hssi_10g_rx_pcs_rx_fifo_write_ctrl</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_rx_fifo_write_ctrl</ipxact:displayName> + <ipxact:value>blklock_stops</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_rx_scrm_width" type="string"> + <ipxact:name>hssi_10g_rx_pcs_rx_scrm_width</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_rx_scrm_width</ipxact:displayName> + <ipxact:value>bit64</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_rx_sh_location" type="string"> + <ipxact:name>hssi_10g_rx_pcs_rx_sh_location</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_rx_sh_location</ipxact:displayName> + <ipxact:value>lsb</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_rx_signal_ok_sel" type="string"> + <ipxact:name>hssi_10g_rx_pcs_rx_signal_ok_sel</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_rx_signal_ok_sel</ipxact:displayName> + <ipxact:value>synchronized_ver</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_rx_sm_bypass" type="string"> + <ipxact:name>hssi_10g_rx_pcs_rx_sm_bypass</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_rx_sm_bypass</ipxact:displayName> + <ipxact:value>rx_sm_bypass_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_rx_sm_hiber" type="string"> + <ipxact:name>hssi_10g_rx_pcs_rx_sm_hiber</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_rx_sm_hiber</ipxact:displayName> + <ipxact:value>rx_sm_hiber_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_rx_sm_pipeln" type="string"> + <ipxact:name>hssi_10g_rx_pcs_rx_sm_pipeln</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_rx_sm_pipeln</ipxact:displayName> + <ipxact:value>rx_sm_pipeln_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_rx_testbus_sel" type="string"> + <ipxact:name>hssi_10g_rx_pcs_rx_testbus_sel</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_rx_testbus_sel</ipxact:displayName> + <ipxact:value>rx_fifo_testbus1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_rx_true_b2b" type="string"> + <ipxact:name>hssi_10g_rx_pcs_rx_true_b2b</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_rx_true_b2b</ipxact:displayName> + <ipxact:value>b2b</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_rxfifo_empty" type="string"> + <ipxact:name>hssi_10g_rx_pcs_rxfifo_empty</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_rxfifo_empty</ipxact:displayName> + <ipxact:value>empty_default</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_rxfifo_full" type="string"> + <ipxact:name>hssi_10g_rx_pcs_rxfifo_full</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_rxfifo_full</ipxact:displayName> + <ipxact:value>full_default</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_rxfifo_mode" type="string"> + <ipxact:name>hssi_10g_rx_pcs_rxfifo_mode</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_rxfifo_mode</ipxact:displayName> + <ipxact:value>clk_comp_10g</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_rxfifo_pempty" type="int"> + <ipxact:name>hssi_10g_rx_pcs_rxfifo_pempty</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_rxfifo_pempty</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_rxfifo_pfull" type="int"> + <ipxact:name>hssi_10g_rx_pcs_rxfifo_pfull</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_rxfifo_pfull</ipxact:displayName> + <ipxact:value>23</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_stretch_num_stages" type="string"> + <ipxact:name>hssi_10g_rx_pcs_stretch_num_stages</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_stretch_num_stages</ipxact:displayName> + <ipxact:value>two_stage</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_sup_mode" type="string"> + <ipxact:name>hssi_10g_rx_pcs_sup_mode</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_test_mode" type="string"> + <ipxact:name>hssi_10g_rx_pcs_test_mode</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_test_mode</ipxact:displayName> + <ipxact:value>test_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_wrfifo_clken" type="string"> + <ipxact:name>hssi_10g_rx_pcs_wrfifo_clken</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_wrfifo_clken</ipxact:displayName> + <ipxact:value>wrfifo_clk_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_advanced_user_mode" type="string"> + <ipxact:name>hssi_10g_rx_pcs_advanced_user_mode</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_advanced_user_mode</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_silicon_rev" type="string"> + <ipxact:name>hssi_10g_rx_pcs_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_reconfig_settings" type="string"> + <ipxact:name>hssi_10g_rx_pcs_reconfig_settings</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_reconfig_settings</ipxact:displayName> + <ipxact:value>{}</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_bitslip_en" type="string"> + <ipxact:name>hssi_10g_tx_pcs_bitslip_en</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_bitslip_en</ipxact:displayName> + <ipxact:value>bitslip_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_bonding_dft_en" type="string"> + <ipxact:name>hssi_10g_tx_pcs_bonding_dft_en</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_bonding_dft_en</ipxact:displayName> + <ipxact:value>dft_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_bonding_dft_val" type="string"> + <ipxact:name>hssi_10g_tx_pcs_bonding_dft_val</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_bonding_dft_val</ipxact:displayName> + <ipxact:value>dft_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_comp_cnt" type="int"> + <ipxact:name>hssi_10g_tx_pcs_comp_cnt</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_comp_cnt</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_compin_sel" type="string"> + <ipxact:name>hssi_10g_tx_pcs_compin_sel</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_compin_sel</ipxact:displayName> + <ipxact:value>compin_master</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_crcgen_bypass" type="string"> + <ipxact:name>hssi_10g_tx_pcs_crcgen_bypass</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_crcgen_bypass</ipxact:displayName> + <ipxact:value>crcgen_bypass_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_crcgen_clken" type="string"> + <ipxact:name>hssi_10g_tx_pcs_crcgen_clken</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_crcgen_clken</ipxact:displayName> + <ipxact:value>crcgen_clk_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_crcgen_err" type="string"> + <ipxact:name>hssi_10g_tx_pcs_crcgen_err</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_crcgen_err</ipxact:displayName> + <ipxact:value>crcgen_err_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_crcgen_inv" type="string"> + <ipxact:name>hssi_10g_tx_pcs_crcgen_inv</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_crcgen_inv</ipxact:displayName> + <ipxact:value>crcgen_inv_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_ctrl_bit_reverse" type="string"> + <ipxact:name>hssi_10g_tx_pcs_ctrl_bit_reverse</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_ctrl_bit_reverse</ipxact:displayName> + <ipxact:value>ctrl_bit_reverse_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_ctrl_plane_bonding" type="string"> + <ipxact:name>hssi_10g_tx_pcs_ctrl_plane_bonding</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_ctrl_plane_bonding</ipxact:displayName> + <ipxact:value>individual</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_data_bit_reverse" type="string"> + <ipxact:name>hssi_10g_tx_pcs_data_bit_reverse</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_data_bit_reverse</ipxact:displayName> + <ipxact:value>data_bit_reverse_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_dft_clk_out_sel" type="string"> + <ipxact:name>hssi_10g_tx_pcs_dft_clk_out_sel</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_dft_clk_out_sel</ipxact:displayName> + <ipxact:value>tx_master_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_dispgen_bypass" type="string"> + <ipxact:name>hssi_10g_tx_pcs_dispgen_bypass</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_dispgen_bypass</ipxact:displayName> + <ipxact:value>dispgen_bypass_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_dispgen_clken" type="string"> + <ipxact:name>hssi_10g_tx_pcs_dispgen_clken</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_dispgen_clken</ipxact:displayName> + <ipxact:value>dispgen_clk_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_dispgen_err" type="string"> + <ipxact:name>hssi_10g_tx_pcs_dispgen_err</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_dispgen_err</ipxact:displayName> + <ipxact:value>dispgen_err_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_dispgen_pipeln" type="string"> + <ipxact:name>hssi_10g_tx_pcs_dispgen_pipeln</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_dispgen_pipeln</ipxact:displayName> + <ipxact:value>dispgen_pipeln_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_distdwn_bypass_pipeln" type="string"> + <ipxact:name>hssi_10g_tx_pcs_distdwn_bypass_pipeln</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_distdwn_bypass_pipeln</ipxact:displayName> + <ipxact:value>distdwn_bypass_pipeln_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_distdwn_master" type="string"> + <ipxact:name>hssi_10g_tx_pcs_distdwn_master</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_distdwn_master</ipxact:displayName> + <ipxact:value>distdwn_master_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_distup_bypass_pipeln" type="string"> + <ipxact:name>hssi_10g_tx_pcs_distup_bypass_pipeln</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_distup_bypass_pipeln</ipxact:displayName> + <ipxact:value>distup_bypass_pipeln_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_distup_master" type="string"> + <ipxact:name>hssi_10g_tx_pcs_distup_master</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_distup_master</ipxact:displayName> + <ipxact:value>distup_master_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_dv_bond" type="string"> + <ipxact:name>hssi_10g_tx_pcs_dv_bond</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_dv_bond</ipxact:displayName> + <ipxact:value>dv_bond_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_empty_flag_type" type="string"> + <ipxact:name>hssi_10g_tx_pcs_empty_flag_type</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_empty_flag_type</ipxact:displayName> + <ipxact:value>empty_rd_side</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_enc_64b66b_txsm_bypass" type="string"> + <ipxact:name>hssi_10g_tx_pcs_enc_64b66b_txsm_bypass</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_enc_64b66b_txsm_bypass</ipxact:displayName> + <ipxact:value>enc_64b66b_txsm_bypass_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_enc64b66b_txsm_clken" type="string"> + <ipxact:name>hssi_10g_tx_pcs_enc64b66b_txsm_clken</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_enc64b66b_txsm_clken</ipxact:displayName> + <ipxact:value>enc64b66b_txsm_clk_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_fastpath" type="string"> + <ipxact:name>hssi_10g_tx_pcs_fastpath</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_fastpath</ipxact:displayName> + <ipxact:value>fastpath_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_fec_clken" type="string"> + <ipxact:name>hssi_10g_tx_pcs_fec_clken</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_fec_clken</ipxact:displayName> + <ipxact:value>fec_clk_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_fec_enable" type="string"> + <ipxact:name>hssi_10g_tx_pcs_fec_enable</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_fec_enable</ipxact:displayName> + <ipxact:value>fec_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_fifo_double_write" type="string"> + <ipxact:name>hssi_10g_tx_pcs_fifo_double_write</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_fifo_double_write</ipxact:displayName> + <ipxact:value>fifo_double_write_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_fifo_reg_fast" type="string"> + <ipxact:name>hssi_10g_tx_pcs_fifo_reg_fast</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_fifo_reg_fast</ipxact:displayName> + <ipxact:value>fifo_reg_fast_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_fifo_stop_rd" type="string"> + <ipxact:name>hssi_10g_tx_pcs_fifo_stop_rd</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_fifo_stop_rd</ipxact:displayName> + <ipxact:value>rd_empty</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_fifo_stop_wr" type="string"> + <ipxact:name>hssi_10g_tx_pcs_fifo_stop_wr</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_fifo_stop_wr</ipxact:displayName> + <ipxact:value>n_wr_full</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_frmgen_burst" type="string"> + <ipxact:name>hssi_10g_tx_pcs_frmgen_burst</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_frmgen_burst</ipxact:displayName> + <ipxact:value>frmgen_burst_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_frmgen_bypass" type="string"> + <ipxact:name>hssi_10g_tx_pcs_frmgen_bypass</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_frmgen_bypass</ipxact:displayName> + <ipxact:value>frmgen_bypass_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_frmgen_clken" type="string"> + <ipxact:name>hssi_10g_tx_pcs_frmgen_clken</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_frmgen_clken</ipxact:displayName> + <ipxact:value>frmgen_clk_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_frmgen_mfrm_length" type="int"> + <ipxact:name>hssi_10g_tx_pcs_frmgen_mfrm_length</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_frmgen_mfrm_length</ipxact:displayName> + <ipxact:value>2048</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_frmgen_pipeln" type="string"> + <ipxact:name>hssi_10g_tx_pcs_frmgen_pipeln</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_frmgen_pipeln</ipxact:displayName> + <ipxact:value>frmgen_pipeln_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_frmgen_pyld_ins" type="string"> + <ipxact:name>hssi_10g_tx_pcs_frmgen_pyld_ins</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_frmgen_pyld_ins</ipxact:displayName> + <ipxact:value>frmgen_pyld_ins_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_frmgen_wordslip" type="string"> + <ipxact:name>hssi_10g_tx_pcs_frmgen_wordslip</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_frmgen_wordslip</ipxact:displayName> + <ipxact:value>frmgen_wordslip_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_full_flag_type" type="string"> + <ipxact:name>hssi_10g_tx_pcs_full_flag_type</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_full_flag_type</ipxact:displayName> + <ipxact:value>full_wr_side</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_gb_pipeln_bypass" type="string"> + <ipxact:name>hssi_10g_tx_pcs_gb_pipeln_bypass</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_gb_pipeln_bypass</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_gb_tx_idwidth" type="string"> + <ipxact:name>hssi_10g_tx_pcs_gb_tx_idwidth</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_gb_tx_idwidth</ipxact:displayName> + <ipxact:value>width_66</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_gb_tx_odwidth" type="string"> + <ipxact:name>hssi_10g_tx_pcs_gb_tx_odwidth</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_gb_tx_odwidth</ipxact:displayName> + <ipxact:value>width_32</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_gbred_clken" type="string"> + <ipxact:name>hssi_10g_tx_pcs_gbred_clken</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_gbred_clken</ipxact:displayName> + <ipxact:value>gbred_clk_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_indv" type="string"> + <ipxact:name>hssi_10g_tx_pcs_indv</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_indv</ipxact:displayName> + <ipxact:value>indv_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_low_latency_en" type="string"> + <ipxact:name>hssi_10g_tx_pcs_low_latency_en</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_low_latency_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_master_clk_sel" type="string"> + <ipxact:name>hssi_10g_tx_pcs_master_clk_sel</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_master_clk_sel</ipxact:displayName> + <ipxact:value>master_tx_pma_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_pempty_flag_type" type="string"> + <ipxact:name>hssi_10g_tx_pcs_pempty_flag_type</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_pempty_flag_type</ipxact:displayName> + <ipxact:value>pempty_rd_side</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_pfull_flag_type" type="string"> + <ipxact:name>hssi_10g_tx_pcs_pfull_flag_type</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_pfull_flag_type</ipxact:displayName> + <ipxact:value>pfull_wr_side</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_phcomp_rd_del" type="string"> + <ipxact:name>hssi_10g_tx_pcs_phcomp_rd_del</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_phcomp_rd_del</ipxact:displayName> + <ipxact:value>phcomp_rd_del4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_pld_if_type" type="string"> + <ipxact:name>hssi_10g_tx_pcs_pld_if_type</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_pld_if_type</ipxact:displayName> + <ipxact:value>fifo</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_prot_mode" type="string"> + <ipxact:name>hssi_10g_tx_pcs_prot_mode</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_prot_mode</ipxact:displayName> + <ipxact:value>teng_baser_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_pseudo_random" type="string"> + <ipxact:name>hssi_10g_tx_pcs_pseudo_random</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_pseudo_random</ipxact:displayName> + <ipxact:value>all_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_pseudo_seed_a" type="string"> + <ipxact:name>hssi_10g_tx_pcs_pseudo_seed_a</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_pseudo_seed_a</ipxact:displayName> + <ipxact:value>288230376151711743</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_pseudo_seed_b" type="string"> + <ipxact:name>hssi_10g_tx_pcs_pseudo_seed_b</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_pseudo_seed_b</ipxact:displayName> + <ipxact:value>288230376151711743</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_random_disp" type="string"> + <ipxact:name>hssi_10g_tx_pcs_random_disp</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_random_disp</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_rdfifo_clken" type="string"> + <ipxact:name>hssi_10g_tx_pcs_rdfifo_clken</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_rdfifo_clken</ipxact:displayName> + <ipxact:value>rdfifo_clk_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_scrm_bypass" type="string"> + <ipxact:name>hssi_10g_tx_pcs_scrm_bypass</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_scrm_bypass</ipxact:displayName> + <ipxact:value>scrm_bypass_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_scrm_clken" type="string"> + <ipxact:name>hssi_10g_tx_pcs_scrm_clken</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_scrm_clken</ipxact:displayName> + <ipxact:value>scrm_clk_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_scrm_mode" type="string"> + <ipxact:name>hssi_10g_tx_pcs_scrm_mode</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_scrm_mode</ipxact:displayName> + <ipxact:value>async</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_scrm_pipeln" type="string"> + <ipxact:name>hssi_10g_tx_pcs_scrm_pipeln</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_scrm_pipeln</ipxact:displayName> + <ipxact:value>enable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_sh_err" type="string"> + <ipxact:name>hssi_10g_tx_pcs_sh_err</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_sh_err</ipxact:displayName> + <ipxact:value>sh_err_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_sop_mark" type="string"> + <ipxact:name>hssi_10g_tx_pcs_sop_mark</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_sop_mark</ipxact:displayName> + <ipxact:value>sop_mark_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_stretch_num_stages" type="string"> + <ipxact:name>hssi_10g_tx_pcs_stretch_num_stages</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_stretch_num_stages</ipxact:displayName> + <ipxact:value>two_stage</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_sup_mode" type="string"> + <ipxact:name>hssi_10g_tx_pcs_sup_mode</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_test_mode" type="string"> + <ipxact:name>hssi_10g_tx_pcs_test_mode</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_test_mode</ipxact:displayName> + <ipxact:value>test_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_tx_scrm_err" type="string"> + <ipxact:name>hssi_10g_tx_pcs_tx_scrm_err</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_tx_scrm_err</ipxact:displayName> + <ipxact:value>scrm_err_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_tx_scrm_width" type="string"> + <ipxact:name>hssi_10g_tx_pcs_tx_scrm_width</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_tx_scrm_width</ipxact:displayName> + <ipxact:value>bit64</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_tx_sh_location" type="string"> + <ipxact:name>hssi_10g_tx_pcs_tx_sh_location</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_tx_sh_location</ipxact:displayName> + <ipxact:value>lsb</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_tx_sm_bypass" type="string"> + <ipxact:name>hssi_10g_tx_pcs_tx_sm_bypass</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_tx_sm_bypass</ipxact:displayName> + <ipxact:value>tx_sm_bypass_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_tx_sm_pipeln" type="string"> + <ipxact:name>hssi_10g_tx_pcs_tx_sm_pipeln</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_tx_sm_pipeln</ipxact:displayName> + <ipxact:value>tx_sm_pipeln_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_tx_testbus_sel" type="string"> + <ipxact:name>hssi_10g_tx_pcs_tx_testbus_sel</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_tx_testbus_sel</ipxact:displayName> + <ipxact:value>tx_fifo_testbus1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_txfifo_empty" type="string"> + <ipxact:name>hssi_10g_tx_pcs_txfifo_empty</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_txfifo_empty</ipxact:displayName> + <ipxact:value>empty_default</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_txfifo_full" type="string"> + <ipxact:name>hssi_10g_tx_pcs_txfifo_full</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_txfifo_full</ipxact:displayName> + <ipxact:value>full_default</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_txfifo_mode" type="string"> + <ipxact:name>hssi_10g_tx_pcs_txfifo_mode</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_txfifo_mode</ipxact:displayName> + <ipxact:value>phase_comp</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_txfifo_pempty" type="int"> + <ipxact:name>hssi_10g_tx_pcs_txfifo_pempty</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_txfifo_pempty</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_txfifo_pfull" type="int"> + <ipxact:name>hssi_10g_tx_pcs_txfifo_pfull</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_txfifo_pfull</ipxact:displayName> + <ipxact:value>11</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_wr_clk_sel" type="string"> + <ipxact:name>hssi_10g_tx_pcs_wr_clk_sel</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_wr_clk_sel</ipxact:displayName> + <ipxact:value>wr_tx_pld_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_wrfifo_clken" type="string"> + <ipxact:name>hssi_10g_tx_pcs_wrfifo_clken</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_wrfifo_clken</ipxact:displayName> + <ipxact:value>wrfifo_clk_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_advanced_user_mode" type="string"> + <ipxact:name>hssi_10g_tx_pcs_advanced_user_mode</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_advanced_user_mode</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_silicon_rev" type="string"> + <ipxact:name>hssi_10g_tx_pcs_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_reconfig_settings" type="string"> + <ipxact:name>hssi_10g_tx_pcs_reconfig_settings</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_reconfig_settings</ipxact:displayName> + <ipxact:value>{}</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_auto_error_replacement" type="string"> + <ipxact:name>hssi_8g_rx_pcs_auto_error_replacement</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_auto_error_replacement</ipxact:displayName> + <ipxact:value>dis_err_replace</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_auto_speed_nego" type="string"> + <ipxact:name>hssi_8g_rx_pcs_auto_speed_nego</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_auto_speed_nego</ipxact:displayName> + <ipxact:value>dis_asn</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_bit_reversal" type="string"> + <ipxact:name>hssi_8g_rx_pcs_bit_reversal</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_bit_reversal</ipxact:displayName> + <ipxact:value>dis_bit_reversal</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_bonding_dft_en" type="string"> + <ipxact:name>hssi_8g_rx_pcs_bonding_dft_en</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_bonding_dft_en</ipxact:displayName> + <ipxact:value>dft_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_bonding_dft_val" type="string"> + <ipxact:name>hssi_8g_rx_pcs_bonding_dft_val</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_bonding_dft_val</ipxact:displayName> + <ipxact:value>dft_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_bypass_pipeline_reg" type="string"> + <ipxact:name>hssi_8g_rx_pcs_bypass_pipeline_reg</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_bypass_pipeline_reg</ipxact:displayName> + <ipxact:value>dis_bypass_pipeline</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_byte_deserializer" type="string"> + <ipxact:name>hssi_8g_rx_pcs_byte_deserializer</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_byte_deserializer</ipxact:displayName> + <ipxact:value>dis_bds</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_cdr_ctrl_rxvalid_mask" type="string"> + <ipxact:name>hssi_8g_rx_pcs_cdr_ctrl_rxvalid_mask</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_cdr_ctrl_rxvalid_mask</ipxact:displayName> + <ipxact:value>dis_rxvalid_mask</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_clkcmp_pattern_n" type="int"> + <ipxact:name>hssi_8g_rx_pcs_clkcmp_pattern_n</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_clkcmp_pattern_n</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_clkcmp_pattern_p" type="int"> + <ipxact:name>hssi_8g_rx_pcs_clkcmp_pattern_p</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_clkcmp_pattern_p</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_clock_gate_bds_dec_asn" type="string"> + <ipxact:name>hssi_8g_rx_pcs_clock_gate_bds_dec_asn</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_clock_gate_bds_dec_asn</ipxact:displayName> + <ipxact:value>en_bds_dec_asn_clk_gating</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_clock_gate_cdr_eidle" type="string"> + <ipxact:name>hssi_8g_rx_pcs_clock_gate_cdr_eidle</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_clock_gate_cdr_eidle</ipxact:displayName> + <ipxact:value>en_cdr_eidle_clk_gating</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_clock_gate_dw_pc_wrclk" type="string"> + <ipxact:name>hssi_8g_rx_pcs_clock_gate_dw_pc_wrclk</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_clock_gate_dw_pc_wrclk</ipxact:displayName> + <ipxact:value>en_dw_pc_wrclk_gating</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_clock_gate_dw_rm_rd" type="string"> + <ipxact:name>hssi_8g_rx_pcs_clock_gate_dw_rm_rd</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_clock_gate_dw_rm_rd</ipxact:displayName> + <ipxact:value>en_dw_rm_rdclk_gating</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_clock_gate_dw_rm_wr" type="string"> + <ipxact:name>hssi_8g_rx_pcs_clock_gate_dw_rm_wr</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_clock_gate_dw_rm_wr</ipxact:displayName> + <ipxact:value>en_dw_rm_wrclk_gating</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_clock_gate_dw_wa" type="string"> + <ipxact:name>hssi_8g_rx_pcs_clock_gate_dw_wa</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_clock_gate_dw_wa</ipxact:displayName> + <ipxact:value>en_dw_wa_clk_gating</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_clock_gate_pc_rdclk" type="string"> + <ipxact:name>hssi_8g_rx_pcs_clock_gate_pc_rdclk</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_clock_gate_pc_rdclk</ipxact:displayName> + <ipxact:value>en_pc_rdclk_gating</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_clock_gate_sw_pc_wrclk" type="string"> + <ipxact:name>hssi_8g_rx_pcs_clock_gate_sw_pc_wrclk</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_clock_gate_sw_pc_wrclk</ipxact:displayName> + <ipxact:value>en_sw_pc_wrclk_gating</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_clock_gate_sw_rm_rd" type="string"> + <ipxact:name>hssi_8g_rx_pcs_clock_gate_sw_rm_rd</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_clock_gate_sw_rm_rd</ipxact:displayName> + <ipxact:value>en_sw_rm_rdclk_gating</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_clock_gate_sw_rm_wr" type="string"> + <ipxact:name>hssi_8g_rx_pcs_clock_gate_sw_rm_wr</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_clock_gate_sw_rm_wr</ipxact:displayName> + <ipxact:value>en_sw_rm_wrclk_gating</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_clock_gate_sw_wa" type="string"> + <ipxact:name>hssi_8g_rx_pcs_clock_gate_sw_wa</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_clock_gate_sw_wa</ipxact:displayName> + <ipxact:value>en_sw_wa_clk_gating</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_clock_observation_in_pld_core" type="string"> + <ipxact:name>hssi_8g_rx_pcs_clock_observation_in_pld_core</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_clock_observation_in_pld_core</ipxact:displayName> + <ipxact:value>internal_sw_wa_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_ctrl_plane_bonding_compensation" type="string"> + <ipxact:name>hssi_8g_rx_pcs_ctrl_plane_bonding_compensation</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_ctrl_plane_bonding_compensation</ipxact:displayName> + <ipxact:value>dis_compensation</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_ctrl_plane_bonding_consumption" type="string"> + <ipxact:name>hssi_8g_rx_pcs_ctrl_plane_bonding_consumption</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_ctrl_plane_bonding_consumption</ipxact:displayName> + <ipxact:value>individual</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_ctrl_plane_bonding_distribution" type="string"> + <ipxact:name>hssi_8g_rx_pcs_ctrl_plane_bonding_distribution</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_ctrl_plane_bonding_distribution</ipxact:displayName> + <ipxact:value>not_master_chnl_distr</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_eidle_entry_eios" type="string"> + <ipxact:name>hssi_8g_rx_pcs_eidle_entry_eios</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_eidle_entry_eios</ipxact:displayName> + <ipxact:value>dis_eidle_eios</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_eidle_entry_iei" type="string"> + <ipxact:name>hssi_8g_rx_pcs_eidle_entry_iei</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_eidle_entry_iei</ipxact:displayName> + <ipxact:value>dis_eidle_iei</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_eidle_entry_sd" type="string"> + <ipxact:name>hssi_8g_rx_pcs_eidle_entry_sd</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_eidle_entry_sd</ipxact:displayName> + <ipxact:value>dis_eidle_sd</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_eightb_tenb_decoder" type="string"> + <ipxact:name>hssi_8g_rx_pcs_eightb_tenb_decoder</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_eightb_tenb_decoder</ipxact:displayName> + <ipxact:value>en_8b10b_ibm</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_err_flags_sel" type="string"> + <ipxact:name>hssi_8g_rx_pcs_err_flags_sel</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_err_flags_sel</ipxact:displayName> + <ipxact:value>err_flags_wa</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_fixed_pat_det" type="string"> + <ipxact:name>hssi_8g_rx_pcs_fixed_pat_det</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_fixed_pat_det</ipxact:displayName> + <ipxact:value>dis_fixed_patdet</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_fixed_pat_num" type="int"> + <ipxact:name>hssi_8g_rx_pcs_fixed_pat_num</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_fixed_pat_num</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_force_signal_detect" type="string"> + <ipxact:name>hssi_8g_rx_pcs_force_signal_detect</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_force_signal_detect</ipxact:displayName> + <ipxact:value>en_force_signal_detect</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_gen3_clk_en" type="string"> + <ipxact:name>hssi_8g_rx_pcs_gen3_clk_en</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_gen3_clk_en</ipxact:displayName> + <ipxact:value>disable_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_gen3_rx_clk_sel" type="string"> + <ipxact:name>hssi_8g_rx_pcs_gen3_rx_clk_sel</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_gen3_rx_clk_sel</ipxact:displayName> + <ipxact:value>rcvd_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_gen3_tx_clk_sel" type="string"> + <ipxact:name>hssi_8g_rx_pcs_gen3_tx_clk_sel</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_gen3_tx_clk_sel</ipxact:displayName> + <ipxact:value>tx_pma_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_hip_mode" type="string"> + <ipxact:name>hssi_8g_rx_pcs_hip_mode</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_hip_mode</ipxact:displayName> + <ipxact:value>dis_hip</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_ibm_invalid_code" type="string"> + <ipxact:name>hssi_8g_rx_pcs_ibm_invalid_code</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_ibm_invalid_code</ipxact:displayName> + <ipxact:value>dis_ibm_invalid_code</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_invalid_code_flag_only" type="string"> + <ipxact:name>hssi_8g_rx_pcs_invalid_code_flag_only</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_invalid_code_flag_only</ipxact:displayName> + <ipxact:value>dis_invalid_code_only</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_pad_or_edb_error_replace" type="string"> + <ipxact:name>hssi_8g_rx_pcs_pad_or_edb_error_replace</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_pad_or_edb_error_replace</ipxact:displayName> + <ipxact:value>replace_edb</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_pcs_bypass" type="string"> + <ipxact:name>hssi_8g_rx_pcs_pcs_bypass</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_pcs_bypass</ipxact:displayName> + <ipxact:value>dis_pcs_bypass</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_phase_comp_rdptr" type="string"> + <ipxact:name>hssi_8g_rx_pcs_phase_comp_rdptr</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_phase_comp_rdptr</ipxact:displayName> + <ipxact:value>disable_rdptr</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_phase_compensation_fifo" type="string"> + <ipxact:name>hssi_8g_rx_pcs_phase_compensation_fifo</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_phase_compensation_fifo</ipxact:displayName> + <ipxact:value>low_latency</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_pipe_if_enable" type="string"> + <ipxact:name>hssi_8g_rx_pcs_pipe_if_enable</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_pipe_if_enable</ipxact:displayName> + <ipxact:value>dis_pipe_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_pma_dw" type="string"> + <ipxact:name>hssi_8g_rx_pcs_pma_dw</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_pma_dw</ipxact:displayName> + <ipxact:value>ten_bit</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_polinv_8b10b_dec" type="string"> + <ipxact:name>hssi_8g_rx_pcs_polinv_8b10b_dec</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_polinv_8b10b_dec</ipxact:displayName> + <ipxact:value>dis_polinv_8b10b_dec</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_prot_mode" type="string"> + <ipxact:name>hssi_8g_rx_pcs_prot_mode</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_prot_mode</ipxact:displayName> + <ipxact:value>disabled_prot_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_rate_match" type="string"> + <ipxact:name>hssi_8g_rx_pcs_rate_match</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_rate_match</ipxact:displayName> + <ipxact:value>dis_rm</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_rate_match_del_thres" type="string"> + <ipxact:name>hssi_8g_rx_pcs_rate_match_del_thres</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_rate_match_del_thres</ipxact:displayName> + <ipxact:value>dis_rm_del_thres</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_rate_match_empty_thres" type="string"> + <ipxact:name>hssi_8g_rx_pcs_rate_match_empty_thres</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_rate_match_empty_thres</ipxact:displayName> + <ipxact:value>dis_rm_empty_thres</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_rate_match_full_thres" type="string"> + <ipxact:name>hssi_8g_rx_pcs_rate_match_full_thres</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_rate_match_full_thres</ipxact:displayName> + <ipxact:value>dis_rm_full_thres</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_rate_match_ins_thres" type="string"> + <ipxact:name>hssi_8g_rx_pcs_rate_match_ins_thres</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_rate_match_ins_thres</ipxact:displayName> + <ipxact:value>dis_rm_ins_thres</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_rate_match_start_thres" type="string"> + <ipxact:name>hssi_8g_rx_pcs_rate_match_start_thres</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_rate_match_start_thres</ipxact:displayName> + <ipxact:value>dis_rm_start_thres</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_rx_clk_free_running" type="string"> + <ipxact:name>hssi_8g_rx_pcs_rx_clk_free_running</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_rx_clk_free_running</ipxact:displayName> + <ipxact:value>en_rx_clk_free_run</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_rx_clk2" type="string"> + <ipxact:name>hssi_8g_rx_pcs_rx_clk2</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_rx_clk2</ipxact:displayName> + <ipxact:value>rcvd_clk_clk2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_rx_pcs_urst" type="string"> + <ipxact:name>hssi_8g_rx_pcs_rx_pcs_urst</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_rx_pcs_urst</ipxact:displayName> + <ipxact:value>en_rx_pcs_urst</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_rx_rcvd_clk" type="string"> + <ipxact:name>hssi_8g_rx_pcs_rx_rcvd_clk</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_rx_rcvd_clk</ipxact:displayName> + <ipxact:value>rcvd_clk_rcvd_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_rx_rd_clk" type="string"> + <ipxact:name>hssi_8g_rx_pcs_rx_rd_clk</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_rx_rd_clk</ipxact:displayName> + <ipxact:value>pld_rx_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_rx_refclk" type="string"> + <ipxact:name>hssi_8g_rx_pcs_rx_refclk</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_rx_refclk</ipxact:displayName> + <ipxact:value>dis_refclk_sel</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_rx_wr_clk" type="string"> + <ipxact:name>hssi_8g_rx_pcs_rx_wr_clk</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_rx_wr_clk</ipxact:displayName> + <ipxact:value>rx_clk2_div_1_2_4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_sup_mode" type="string"> + <ipxact:name>hssi_8g_rx_pcs_sup_mode</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_symbol_swap" type="string"> + <ipxact:name>hssi_8g_rx_pcs_symbol_swap</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_symbol_swap</ipxact:displayName> + <ipxact:value>dis_symbol_swap</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_sync_sm_idle_eios" type="string"> + <ipxact:name>hssi_8g_rx_pcs_sync_sm_idle_eios</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_sync_sm_idle_eios</ipxact:displayName> + <ipxact:value>dis_syncsm_idle</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_test_bus_sel" type="string"> + <ipxact:name>hssi_8g_rx_pcs_test_bus_sel</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_test_bus_sel</ipxact:displayName> + <ipxact:value>tx_testbus</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_tx_rx_parallel_loopback" type="string"> + <ipxact:name>hssi_8g_rx_pcs_tx_rx_parallel_loopback</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_tx_rx_parallel_loopback</ipxact:displayName> + <ipxact:value>dis_plpbk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_wa_boundary_lock_ctrl" type="string"> + <ipxact:name>hssi_8g_rx_pcs_wa_boundary_lock_ctrl</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_wa_boundary_lock_ctrl</ipxact:displayName> + <ipxact:value>sync_sm</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_wa_clk_slip_spacing" type="int"> + <ipxact:name>hssi_8g_rx_pcs_wa_clk_slip_spacing</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_wa_clk_slip_spacing</ipxact:displayName> + <ipxact:value>16</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_wa_det_latency_sync_status_beh" type="string"> + <ipxact:name>hssi_8g_rx_pcs_wa_det_latency_sync_status_beh</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_wa_det_latency_sync_status_beh</ipxact:displayName> + <ipxact:value>dont_care_assert_sync</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_wa_disp_err_flag" type="string"> + <ipxact:name>hssi_8g_rx_pcs_wa_disp_err_flag</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_wa_disp_err_flag</ipxact:displayName> + <ipxact:value>en_disp_err_flag</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_wa_kchar" type="string"> + <ipxact:name>hssi_8g_rx_pcs_wa_kchar</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_wa_kchar</ipxact:displayName> + <ipxact:value>dis_kchar</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_wa_pd" type="string"> + <ipxact:name>hssi_8g_rx_pcs_wa_pd</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_wa_pd</ipxact:displayName> + <ipxact:value>wa_pd_10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_wa_pd_data" type="string"> + <ipxact:name>hssi_8g_rx_pcs_wa_pd_data</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_wa_pd_data</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_wa_pd_polarity" type="string"> + <ipxact:name>hssi_8g_rx_pcs_wa_pd_polarity</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_wa_pd_polarity</ipxact:displayName> + <ipxact:value>dont_care_both_pol</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_wa_pld_controlled" type="string"> + <ipxact:name>hssi_8g_rx_pcs_wa_pld_controlled</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_wa_pld_controlled</ipxact:displayName> + <ipxact:value>dis_pld_ctrl</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_wa_renumber_data" type="int"> + <ipxact:name>hssi_8g_rx_pcs_wa_renumber_data</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_wa_renumber_data</ipxact:displayName> + <ipxact:value>3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_wa_rgnumber_data" type="int"> + <ipxact:name>hssi_8g_rx_pcs_wa_rgnumber_data</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_wa_rgnumber_data</ipxact:displayName> + <ipxact:value>3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_wa_rknumber_data" type="int"> + <ipxact:name>hssi_8g_rx_pcs_wa_rknumber_data</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_wa_rknumber_data</ipxact:displayName> + <ipxact:value>3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_wa_rosnumber_data" type="int"> + <ipxact:name>hssi_8g_rx_pcs_wa_rosnumber_data</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_wa_rosnumber_data</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_wa_rvnumber_data" type="int"> + <ipxact:name>hssi_8g_rx_pcs_wa_rvnumber_data</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_wa_rvnumber_data</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_wa_sync_sm_ctrl" type="string"> + <ipxact:name>hssi_8g_rx_pcs_wa_sync_sm_ctrl</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_wa_sync_sm_ctrl</ipxact:displayName> + <ipxact:value>gige_sync_sm</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_wait_cnt" type="int"> + <ipxact:name>hssi_8g_rx_pcs_wait_cnt</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_wait_cnt</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_silicon_rev" type="string"> + <ipxact:name>hssi_8g_rx_pcs_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_reconfig_settings" type="string"> + <ipxact:name>hssi_8g_rx_pcs_reconfig_settings</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_reconfig_settings</ipxact:displayName> + <ipxact:value>{}</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_auto_speed_nego_gen2" type="string"> + <ipxact:name>hssi_8g_tx_pcs_auto_speed_nego_gen2</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_auto_speed_nego_gen2</ipxact:displayName> + <ipxact:value>dis_asn_g2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_bit_reversal" type="string"> + <ipxact:name>hssi_8g_tx_pcs_bit_reversal</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_bit_reversal</ipxact:displayName> + <ipxact:value>dis_bit_reversal</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_bonding_dft_en" type="string"> + <ipxact:name>hssi_8g_tx_pcs_bonding_dft_en</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_bonding_dft_en</ipxact:displayName> + <ipxact:value>dft_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_bonding_dft_val" type="string"> + <ipxact:name>hssi_8g_tx_pcs_bonding_dft_val</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_bonding_dft_val</ipxact:displayName> + <ipxact:value>dft_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_bypass_pipeline_reg" type="string"> + <ipxact:name>hssi_8g_tx_pcs_bypass_pipeline_reg</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_bypass_pipeline_reg</ipxact:displayName> + <ipxact:value>dis_bypass_pipeline</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_byte_serializer" type="string"> + <ipxact:name>hssi_8g_tx_pcs_byte_serializer</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_byte_serializer</ipxact:displayName> + <ipxact:value>dis_bs</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_clock_gate_bs_enc" type="string"> + <ipxact:name>hssi_8g_tx_pcs_clock_gate_bs_enc</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_clock_gate_bs_enc</ipxact:displayName> + <ipxact:value>en_bs_enc_clk_gating</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_clock_gate_dw_fifowr" type="string"> + <ipxact:name>hssi_8g_tx_pcs_clock_gate_dw_fifowr</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_clock_gate_dw_fifowr</ipxact:displayName> + <ipxact:value>en_dw_fifowr_clk_gating</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_clock_gate_fiford" type="string"> + <ipxact:name>hssi_8g_tx_pcs_clock_gate_fiford</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_clock_gate_fiford</ipxact:displayName> + <ipxact:value>en_fiford_clk_gating</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_clock_gate_sw_fifowr" type="string"> + <ipxact:name>hssi_8g_tx_pcs_clock_gate_sw_fifowr</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_clock_gate_sw_fifowr</ipxact:displayName> + <ipxact:value>en_sw_fifowr_clk_gating</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_clock_observation_in_pld_core" type="string"> + <ipxact:name>hssi_8g_tx_pcs_clock_observation_in_pld_core</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_clock_observation_in_pld_core</ipxact:displayName> + <ipxact:value>internal_refclk_b</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_ctrl_plane_bonding_compensation" type="string"> + <ipxact:name>hssi_8g_tx_pcs_ctrl_plane_bonding_compensation</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_ctrl_plane_bonding_compensation</ipxact:displayName> + <ipxact:value>dis_compensation</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_ctrl_plane_bonding_consumption" type="string"> + <ipxact:name>hssi_8g_tx_pcs_ctrl_plane_bonding_consumption</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_ctrl_plane_bonding_consumption</ipxact:displayName> + <ipxact:value>individual</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_ctrl_plane_bonding_distribution" type="string"> + <ipxact:name>hssi_8g_tx_pcs_ctrl_plane_bonding_distribution</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_ctrl_plane_bonding_distribution</ipxact:displayName> + <ipxact:value>not_master_chnl_distr</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_data_selection_8b10b_encoder_input" type="string"> + <ipxact:name>hssi_8g_tx_pcs_data_selection_8b10b_encoder_input</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_data_selection_8b10b_encoder_input</ipxact:displayName> + <ipxact:value>normal_data_path</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_dynamic_clk_switch" type="string"> + <ipxact:name>hssi_8g_tx_pcs_dynamic_clk_switch</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_dynamic_clk_switch</ipxact:displayName> + <ipxact:value>dis_dyn_clk_switch</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_eightb_tenb_disp_ctrl" type="string"> + <ipxact:name>hssi_8g_tx_pcs_eightb_tenb_disp_ctrl</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_eightb_tenb_disp_ctrl</ipxact:displayName> + <ipxact:value>dis_disp_ctrl</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_eightb_tenb_encoder" type="string"> + <ipxact:name>hssi_8g_tx_pcs_eightb_tenb_encoder</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_eightb_tenb_encoder</ipxact:displayName> + <ipxact:value>en_8b10b_ibm</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_force_echar" type="string"> + <ipxact:name>hssi_8g_tx_pcs_force_echar</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_force_echar</ipxact:displayName> + <ipxact:value>dis_force_echar</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_force_kchar" type="string"> + <ipxact:name>hssi_8g_tx_pcs_force_kchar</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_force_kchar</ipxact:displayName> + <ipxact:value>dis_force_kchar</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_gen3_tx_clk_sel" type="string"> + <ipxact:name>hssi_8g_tx_pcs_gen3_tx_clk_sel</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_gen3_tx_clk_sel</ipxact:displayName> + <ipxact:value>dis_tx_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_gen3_tx_pipe_clk_sel" type="string"> + <ipxact:name>hssi_8g_tx_pcs_gen3_tx_pipe_clk_sel</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_gen3_tx_pipe_clk_sel</ipxact:displayName> + <ipxact:value>dis_tx_pipe_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_hip_mode" type="string"> + <ipxact:name>hssi_8g_tx_pcs_hip_mode</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_hip_mode</ipxact:displayName> + <ipxact:value>dis_hip</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_pcs_bypass" type="string"> + <ipxact:name>hssi_8g_tx_pcs_pcs_bypass</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_pcs_bypass</ipxact:displayName> + <ipxact:value>dis_pcs_bypass</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_phase_comp_rdptr" type="string"> + <ipxact:name>hssi_8g_tx_pcs_phase_comp_rdptr</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_phase_comp_rdptr</ipxact:displayName> + <ipxact:value>disable_rdptr</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_phase_compensation_fifo" type="string"> + <ipxact:name>hssi_8g_tx_pcs_phase_compensation_fifo</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_phase_compensation_fifo</ipxact:displayName> + <ipxact:value>low_latency</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_phfifo_write_clk_sel" type="string"> + <ipxact:name>hssi_8g_tx_pcs_phfifo_write_clk_sel</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_phfifo_write_clk_sel</ipxact:displayName> + <ipxact:value>pld_tx_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_pma_dw" type="string"> + <ipxact:name>hssi_8g_tx_pcs_pma_dw</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_pma_dw</ipxact:displayName> + <ipxact:value>ten_bit</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_prot_mode" type="string"> + <ipxact:name>hssi_8g_tx_pcs_prot_mode</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_prot_mode</ipxact:displayName> + <ipxact:value>disabled_prot_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_refclk_b_clk_sel" type="string"> + <ipxact:name>hssi_8g_tx_pcs_refclk_b_clk_sel</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_refclk_b_clk_sel</ipxact:displayName> + <ipxact:value>tx_pma_clock</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_revloop_back_rm" type="string"> + <ipxact:name>hssi_8g_tx_pcs_revloop_back_rm</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_revloop_back_rm</ipxact:displayName> + <ipxact:value>dis_rev_loopback_rx_rm</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_sup_mode" type="string"> + <ipxact:name>hssi_8g_tx_pcs_sup_mode</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_symbol_swap" type="string"> + <ipxact:name>hssi_8g_tx_pcs_symbol_swap</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_symbol_swap</ipxact:displayName> + <ipxact:value>dis_symbol_swap</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_tx_bitslip" type="string"> + <ipxact:name>hssi_8g_tx_pcs_tx_bitslip</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_tx_bitslip</ipxact:displayName> + <ipxact:value>dis_tx_bitslip</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_tx_compliance_controlled_disparity" type="string"> + <ipxact:name>hssi_8g_tx_pcs_tx_compliance_controlled_disparity</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_tx_compliance_controlled_disparity</ipxact:displayName> + <ipxact:value>dis_txcompliance</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_tx_fast_pld_reg" type="string"> + <ipxact:name>hssi_8g_tx_pcs_tx_fast_pld_reg</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_tx_fast_pld_reg</ipxact:displayName> + <ipxact:value>dis_tx_fast_pld_reg</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_txclk_freerun" type="string"> + <ipxact:name>hssi_8g_tx_pcs_txclk_freerun</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_txclk_freerun</ipxact:displayName> + <ipxact:value>en_freerun_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_txpcs_urst" type="string"> + <ipxact:name>hssi_8g_tx_pcs_txpcs_urst</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_txpcs_urst</ipxact:displayName> + <ipxact:value>en_txpcs_urst</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_silicon_rev" type="string"> + <ipxact:name>hssi_8g_tx_pcs_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_reconfig_settings" type="string"> + <ipxact:name>hssi_8g_tx_pcs_reconfig_settings</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_reconfig_settings</ipxact:displayName> + <ipxact:value>{}</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_hip_en" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_hip_en</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_hip_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_hrdrstctl_en" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_hrdrstctl_en</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_hrdrstctl_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_prot_mode_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_prot_mode_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_prot_mode_tx</ipxact:displayName> + <ipxact:value>teng_baser_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_ctrl_plane_bonding_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_ctrl_plane_bonding_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_ctrl_plane_bonding_tx</ipxact:displayName> + <ipxact:value>individual_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_pma_dw_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_pma_dw_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_pma_dw_tx</ipxact:displayName> + <ipxact:value>pma_32b_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_pld_fifo_mode_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_pld_fifo_mode_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_pld_fifo_mode_tx</ipxact:displayName> + <ipxact:value>fifo_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_shared_fifo_width_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_shared_fifo_width_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_shared_fifo_width_tx</ipxact:displayName> + <ipxact:value>single_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_low_latency_en_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_low_latency_en_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_low_latency_en_tx</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_func_mode" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_func_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_func_mode</ipxact:displayName> + <ipxact:value>enable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_sup_mode" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_sup_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_channel_operation_mode" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_channel_operation_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_channel_operation_mode</ipxact:displayName> + <ipxact:value>tx_rx_pair_enabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_lpbk_en" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_lpbk_en</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_lpbk_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_frequency_rules_en" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_frequency_rules_en</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_frequency_rules_en</ipxact:displayName> + <ipxact:value>enable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_speed_grade" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_speed_grade</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_speed_grade</ipxact:displayName> + <ipxact:value>e3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_pma_tx_clk_hz" type="int"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_pma_tx_clk_hz</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_pma_tx_clk_hz</ipxact:displayName> + <ipxact:value>322265625</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_pld_tx_clk_hz" type="int"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_pld_tx_clk_hz</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_pld_tx_clk_hz</ipxact:displayName> + <ipxact:value>156250000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_pld_uhsif_tx_clk_hz" type="int"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_pld_uhsif_tx_clk_hz</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_pld_uhsif_tx_clk_hz</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_hclk_clk_hz" type="int"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_hclk_clk_hz</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_hclk_clk_hz</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_pld_pcs_refclk_dig_nonatpg_mode_clk_hz" type="int"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_pld_pcs_refclk_dig_nonatpg_mode_clk_hz</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_pld_pcs_refclk_dig_nonatpg_mode_clk_hz</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_pld_8g_refclk_dig_nonatpg_mode_clk_hz" type="int"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_pld_8g_refclk_dig_nonatpg_mode_clk_hz</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_pld_8g_refclk_dig_nonatpg_mode_clk_hz</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_pcs_tx_ac_pwr_uw_per_mhz" type="int"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_pcs_tx_ac_pwr_uw_per_mhz</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_pcs_tx_ac_pwr_uw_per_mhz</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_pcs_tx_pwr_scaling_clk" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_pcs_tx_pwr_scaling_clk</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_pcs_tx_pwr_scaling_clk</ipxact:displayName> + <ipxact:value>pma_tx_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_fifo_sup_mode" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_fifo_sup_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_fifo_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_fifo_channel_operation_mode" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_fifo_channel_operation_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_fifo_channel_operation_mode</ipxact:displayName> + <ipxact:value>tx_rx_pair_enabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_fifo_prot_mode_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_fifo_prot_mode_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_fifo_prot_mode_tx</ipxact:displayName> + <ipxact:value>teng_mode_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_fifo_shared_fifo_width_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_fifo_shared_fifo_width_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_fifo_shared_fifo_width_tx</ipxact:displayName> + <ipxact:value>single_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_10g_sup_mode" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_10g_sup_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_10g_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_10g_channel_operation_mode" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_10g_channel_operation_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_10g_channel_operation_mode</ipxact:displayName> + <ipxact:value>tx_rx_pair_enabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_10g_lpbk_en" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_10g_lpbk_en</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_10g_lpbk_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_10g_advanced_user_mode_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_10g_advanced_user_mode_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_10g_advanced_user_mode_tx</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_10g_pma_dw_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_10g_pma_dw_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_10g_pma_dw_tx</ipxact:displayName> + <ipxact:value>pma_32b_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_10g_fifo_mode_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_10g_fifo_mode_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_10g_fifo_mode_tx</ipxact:displayName> + <ipxact:value>fifo_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_10g_prot_mode_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_10g_prot_mode_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_10g_prot_mode_tx</ipxact:displayName> + <ipxact:value>teng_baser_mode_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_10g_ctrl_plane_bonding_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_10g_ctrl_plane_bonding_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_10g_ctrl_plane_bonding_tx</ipxact:displayName> + <ipxact:value>individual_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_10g_low_latency_en_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_10g_low_latency_en_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_10g_low_latency_en_tx</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_10g_shared_fifo_width_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_10g_shared_fifo_width_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_10g_shared_fifo_width_tx</ipxact:displayName> + <ipxact:value>single_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_8g_sup_mode" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_8g_sup_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_8g_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_8g_channel_operation_mode" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_8g_channel_operation_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_8g_channel_operation_mode</ipxact:displayName> + <ipxact:value>tx_rx_pair_enabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_8g_lpbk_en" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_8g_lpbk_en</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_8g_lpbk_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_8g_prot_mode_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_8g_prot_mode_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_8g_prot_mode_tx</ipxact:displayName> + <ipxact:value>disabled_prot_mode_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_8g_hip_mode" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_8g_hip_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_8g_hip_mode</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_8g_ctrl_plane_bonding_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_8g_ctrl_plane_bonding_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_8g_ctrl_plane_bonding_tx</ipxact:displayName> + <ipxact:value>ctrl_master_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_8g_pma_dw_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_8g_pma_dw_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_8g_pma_dw_tx</ipxact:displayName> + <ipxact:value>pma_10b_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_8g_fifo_mode_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_8g_fifo_mode_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_8g_fifo_mode_tx</ipxact:displayName> + <ipxact:value>fifo_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_g3_sup_mode" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_g3_sup_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_g3_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_g3_prot_mode" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_g3_prot_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_g3_prot_mode</ipxact:displayName> + <ipxact:value>disabled_prot_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_krfec_sup_mode" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_krfec_sup_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_krfec_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_krfec_channel_operation_mode" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_krfec_channel_operation_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_krfec_channel_operation_mode</ipxact:displayName> + <ipxact:value>tx_rx_pair_enabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_krfec_lpbk_en" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_krfec_lpbk_en</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_krfec_lpbk_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_krfec_prot_mode_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_krfec_prot_mode_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_krfec_prot_mode_tx</ipxact:displayName> + <ipxact:value>disabled_prot_mode_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_krfec_low_latency_en_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_krfec_low_latency_en_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_krfec_low_latency_en_tx</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_pmaif_sup_mode" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_pmaif_sup_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_pmaif_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_pmaif_lpbk_en" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_pmaif_lpbk_en</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_pmaif_lpbk_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_pmaif_channel_operation_mode" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_pmaif_channel_operation_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_pmaif_channel_operation_mode</ipxact:displayName> + <ipxact:value>tx_rx_pair_enabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_pmaif_sim_mode" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_pmaif_sim_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_pmaif_sim_mode</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_pmaif_prot_mode_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_pmaif_prot_mode_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_pmaif_prot_mode_tx</ipxact:displayName> + <ipxact:value>teng_krfec_mode_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_pmaif_ctrl_plane_bonding" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_pmaif_ctrl_plane_bonding</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_pmaif_ctrl_plane_bonding</ipxact:displayName> + <ipxact:value>individual</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_pmaif_pma_dw_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_pmaif_pma_dw_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_pmaif_pma_dw_tx</ipxact:displayName> + <ipxact:value>pma_32b_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_pldif_prot_mode_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_pldif_prot_mode_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_pldif_prot_mode_tx</ipxact:displayName> + <ipxact:value>teng_pld_fifo_mode_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_pldif_hrdrstctl_en" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_pldif_hrdrstctl_en</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_pldif_hrdrstctl_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_pldif_sup_mode" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_pldif_sup_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_pldif_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_pcs_tx_clk_source" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_pcs_tx_clk_source</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_pcs_tx_clk_source</ipxact:displayName> + <ipxact:value>teng</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_pcs_tx_data_source" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_pcs_tx_data_source</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_pcs_tx_data_source</ipxact:displayName> + <ipxact:value>hip_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_pcs_tx_delay1_clk_en" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_pcs_tx_delay1_clk_en</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_pcs_tx_delay1_clk_en</ipxact:displayName> + <ipxact:value>delay1_clk_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_pcs_tx_delay1_clk_sel" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_pcs_tx_delay1_clk_sel</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_pcs_tx_delay1_clk_sel</ipxact:displayName> + <ipxact:value>pcs_tx_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_pcs_tx_delay1_ctrl" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_pcs_tx_delay1_ctrl</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_pcs_tx_delay1_ctrl</ipxact:displayName> + <ipxact:value>delay1_path0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_pcs_tx_delay1_data_sel" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_pcs_tx_delay1_data_sel</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_pcs_tx_delay1_data_sel</ipxact:displayName> + <ipxact:value>one_ff_delay</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_pcs_tx_delay2_clk_en" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_pcs_tx_delay2_clk_en</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_pcs_tx_delay2_clk_en</ipxact:displayName> + <ipxact:value>delay2_clk_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_pcs_tx_delay2_ctrl" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_pcs_tx_delay2_ctrl</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_pcs_tx_delay2_ctrl</ipxact:displayName> + <ipxact:value>delay2_path0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_pcs_tx_output_sel" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_pcs_tx_output_sel</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_pcs_tx_output_sel</ipxact:displayName> + <ipxact:value>teng_output</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_silicon_rev" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_pcs_tx_clk_out_sel" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_pcs_tx_clk_out_sel</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_pcs_tx_clk_out_sel</ipxact:displayName> + <ipxact:value>teng_clk_out</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_reconfig_settings" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_reconfig_settings</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_reconfig_settings</ipxact:displayName> + <ipxact:value>{}</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_hip_en" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_hip_en</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_hip_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_transparent_pcs_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_transparent_pcs_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_transparent_pcs_rx</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_hrdrstctl_en" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_hrdrstctl_en</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_hrdrstctl_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_prot_mode_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_prot_mode_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_prot_mode_rx</ipxact:displayName> + <ipxact:value>teng_baser_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_ctrl_plane_bonding_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_ctrl_plane_bonding_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_ctrl_plane_bonding_rx</ipxact:displayName> + <ipxact:value>individual_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_pma_dw_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_pma_dw_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_pma_dw_rx</ipxact:displayName> + <ipxact:value>pma_32b_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_pld_fifo_mode_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_pld_fifo_mode_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_pld_fifo_mode_rx</ipxact:displayName> + <ipxact:value>fifo_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_shared_fifo_width_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_shared_fifo_width_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_shared_fifo_width_rx</ipxact:displayName> + <ipxact:value>single_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_low_latency_en_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_low_latency_en_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_low_latency_en_rx</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_func_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_func_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_func_mode</ipxact:displayName> + <ipxact:value>enable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_sup_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_sup_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_channel_operation_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_channel_operation_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_channel_operation_mode</ipxact:displayName> + <ipxact:value>tx_rx_pair_enabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_lpbk_en" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_lpbk_en</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_lpbk_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_10g_advanced_user_mode_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_10g_advanced_user_mode_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_10g_advanced_user_mode_rx</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_frequency_rules_en" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_frequency_rules_en</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_frequency_rules_en</ipxact:displayName> + <ipxact:value>enable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_speed_grade" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_speed_grade</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_speed_grade</ipxact:displayName> + <ipxact:value>e3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_pma_rx_clk_hz" type="int"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_pma_rx_clk_hz</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_pma_rx_clk_hz</ipxact:displayName> + <ipxact:value>322265625</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_pld_rx_clk_hz" type="int"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_pld_rx_clk_hz</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_pld_rx_clk_hz</ipxact:displayName> + <ipxact:value>156250000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_fref_clk_hz" type="int"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_fref_clk_hz</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_fref_clk_hz</ipxact:displayName> + <ipxact:value>322265625</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_clklow_clk_hz" type="int"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_clklow_clk_hz</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_clklow_clk_hz</ipxact:displayName> + <ipxact:value>322265625</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_hclk_clk_hz" type="int"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_hclk_clk_hz</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_hclk_clk_hz</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_pld_pcs_refclk_dig_nonatpg_mode_clk_hz" type="int"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_pld_pcs_refclk_dig_nonatpg_mode_clk_hz</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_pld_pcs_refclk_dig_nonatpg_mode_clk_hz</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_pld_8g_refclk_dig_nonatpg_mode_clk_hz" type="int"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_pld_8g_refclk_dig_nonatpg_mode_clk_hz</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_pld_8g_refclk_dig_nonatpg_mode_clk_hz</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_pcs_rx_ac_pwr_uw_per_mhz" type="int"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_pcs_rx_ac_pwr_uw_per_mhz</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_pcs_rx_ac_pwr_uw_per_mhz</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_operating_voltage" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_operating_voltage</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_operating_voltage</ipxact:displayName> + <ipxact:value>standard</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_pcs_ac_pwr_rules_en" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_pcs_ac_pwr_rules_en</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_pcs_ac_pwr_rules_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_pcs_rx_pwr_scaling_clk" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_pcs_rx_pwr_scaling_clk</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_pcs_rx_pwr_scaling_clk</ipxact:displayName> + <ipxact:value>pma_rx_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_pcs_pair_ac_pwr_uw_per_mhz" type="int"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_pcs_pair_ac_pwr_uw_per_mhz</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_pcs_pair_ac_pwr_uw_per_mhz</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_fifo_sup_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_fifo_sup_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_fifo_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_fifo_channel_operation_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_fifo_channel_operation_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_fifo_channel_operation_mode</ipxact:displayName> + <ipxact:value>tx_rx_pair_enabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_fifo_prot_mode_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_fifo_prot_mode_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_fifo_prot_mode_rx</ipxact:displayName> + <ipxact:value>teng_mode_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_fifo_shared_fifo_width_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_fifo_shared_fifo_width_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_fifo_shared_fifo_width_rx</ipxact:displayName> + <ipxact:value>single_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_10g_sup_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_10g_sup_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_10g_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_10g_channel_operation_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_10g_channel_operation_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_10g_channel_operation_mode</ipxact:displayName> + <ipxact:value>tx_rx_pair_enabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_10g_lpbk_en" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_10g_lpbk_en</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_10g_lpbk_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_10g_pma_dw_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_10g_pma_dw_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_10g_pma_dw_rx</ipxact:displayName> + <ipxact:value>pma_32b_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_10g_fifo_mode_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_10g_fifo_mode_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_10g_fifo_mode_rx</ipxact:displayName> + <ipxact:value>fifo_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_10g_prot_mode_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_10g_prot_mode_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_10g_prot_mode_rx</ipxact:displayName> + <ipxact:value>teng_baser_mode_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_10g_ctrl_plane_bonding_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_10g_ctrl_plane_bonding_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_10g_ctrl_plane_bonding_rx</ipxact:displayName> + <ipxact:value>individual_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_10g_low_latency_en_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_10g_low_latency_en_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_10g_low_latency_en_rx</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_10g_shared_fifo_width_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_10g_shared_fifo_width_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_10g_shared_fifo_width_rx</ipxact:displayName> + <ipxact:value>single_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_10g_test_bus_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_10g_test_bus_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_10g_test_bus_mode</ipxact:displayName> + <ipxact:value>rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_8g_sup_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_8g_sup_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_8g_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_8g_channel_operation_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_8g_channel_operation_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_8g_channel_operation_mode</ipxact:displayName> + <ipxact:value>tx_rx_pair_enabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_8g_lpbk_en" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_8g_lpbk_en</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_8g_lpbk_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_8g_prot_mode_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_8g_prot_mode_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_8g_prot_mode_rx</ipxact:displayName> + <ipxact:value>disabled_prot_mode_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_8g_hip_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_8g_hip_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_8g_hip_mode</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_8g_ctrl_plane_bonding_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_8g_ctrl_plane_bonding_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_8g_ctrl_plane_bonding_rx</ipxact:displayName> + <ipxact:value>individual_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_8g_pma_dw_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_8g_pma_dw_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_8g_pma_dw_rx</ipxact:displayName> + <ipxact:value>pma_10b_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_8g_fifo_mode_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_8g_fifo_mode_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_8g_fifo_mode_rx</ipxact:displayName> + <ipxact:value>fifo_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_g3_sup_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_g3_sup_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_g3_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_g3_prot_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_g3_prot_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_g3_prot_mode</ipxact:displayName> + <ipxact:value>disabled_prot_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_krfec_sup_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_krfec_sup_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_krfec_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_krfec_channel_operation_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_krfec_channel_operation_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_krfec_channel_operation_mode</ipxact:displayName> + <ipxact:value>tx_rx_pair_enabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_krfec_lpbk_en" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_krfec_lpbk_en</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_krfec_lpbk_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_krfec_prot_mode_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_krfec_prot_mode_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_krfec_prot_mode_rx</ipxact:displayName> + <ipxact:value>disabled_prot_mode_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_krfec_low_latency_en_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_krfec_low_latency_en_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_krfec_low_latency_en_rx</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_krfec_test_bus_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_krfec_test_bus_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_krfec_test_bus_mode</ipxact:displayName> + <ipxact:value>tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_pmaif_sup_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_pmaif_sup_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_pmaif_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_pmaif_lpbk_en" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_pmaif_lpbk_en</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_pmaif_lpbk_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_pmaif_channel_operation_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_pmaif_channel_operation_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_pmaif_channel_operation_mode</ipxact:displayName> + <ipxact:value>tx_rx_pair_enabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_pmaif_sim_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_pmaif_sim_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_pmaif_sim_mode</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_pmaif_prot_mode_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_pmaif_prot_mode_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_pmaif_prot_mode_rx</ipxact:displayName> + <ipxact:value>teng_krfec_mode_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_pmaif_pma_dw_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_pmaif_pma_dw_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_pmaif_pma_dw_rx</ipxact:displayName> + <ipxact:value>pma_32b_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_pldif_prot_mode_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_pldif_prot_mode_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_pldif_prot_mode_rx</ipxact:displayName> + <ipxact:value>teng_pld_fifo_mode_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_pldif_hrdrstctl_en" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_pldif_hrdrstctl_en</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_pldif_hrdrstctl_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_pldif_sup_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_pldif_sup_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_pldif_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_pcs_rx_block_sel" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_pcs_rx_block_sel</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_pcs_rx_block_sel</ipxact:displayName> + <ipxact:value>teng</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_pcs_rx_clk_sel" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_pcs_rx_clk_sel</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_pcs_rx_clk_sel</ipxact:displayName> + <ipxact:value>pld_rx_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_pcs_rx_hip_clk_en" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_pcs_rx_hip_clk_en</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_pcs_rx_hip_clk_en</ipxact:displayName> + <ipxact:value>hip_rx_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_pcs_rx_output_sel" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_pcs_rx_output_sel</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_pcs_rx_output_sel</ipxact:displayName> + <ipxact:value>teng_output</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_silicon_rev" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_pcs_rx_clk_out_sel" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_pcs_rx_clk_out_sel</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_pcs_rx_clk_out_sel</ipxact:displayName> + <ipxact:value>teng_clk_out</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_reconfig_settings" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_reconfig_settings</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_reconfig_settings</ipxact:displayName> + <ipxact:value>{}</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pld_pcs_interface_dft_clk_out_en" type="string"> + <ipxact:name>hssi_common_pld_pcs_interface_dft_clk_out_en</ipxact:name> + <ipxact:displayName>hssi_common_pld_pcs_interface_dft_clk_out_en</ipxact:displayName> + <ipxact:value>dft_clk_out_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pld_pcs_interface_dft_clk_out_sel" type="string"> + <ipxact:name>hssi_common_pld_pcs_interface_dft_clk_out_sel</ipxact:name> + <ipxact:displayName>hssi_common_pld_pcs_interface_dft_clk_out_sel</ipxact:displayName> + <ipxact:value>teng_rx_dft_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pld_pcs_interface_hrdrstctrl_en" type="string"> + <ipxact:name>hssi_common_pld_pcs_interface_hrdrstctrl_en</ipxact:name> + <ipxact:displayName>hssi_common_pld_pcs_interface_hrdrstctrl_en</ipxact:displayName> + <ipxact:value>hrst_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pld_pcs_interface_pcs_testbus_block_sel" type="string"> + <ipxact:name>hssi_common_pld_pcs_interface_pcs_testbus_block_sel</ipxact:name> + <ipxact:displayName>hssi_common_pld_pcs_interface_pcs_testbus_block_sel</ipxact:displayName> + <ipxact:value>pma_if</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pld_pcs_interface_silicon_rev" type="string"> + <ipxact:name>hssi_common_pld_pcs_interface_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_common_pld_pcs_interface_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pld_pcs_interface_reconfig_settings" type="string"> + <ipxact:name>hssi_common_pld_pcs_interface_reconfig_settings</ipxact:name> + <ipxact:displayName>hssi_common_pld_pcs_interface_reconfig_settings</ipxact:displayName> + <ipxact:value>{}</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_block_sel" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_block_sel</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_block_sel</ipxact:displayName> + <ipxact:value>ten_g_pcs</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_channel_operation_mode" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_channel_operation_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_channel_operation_mode</ipxact:displayName> + <ipxact:value>tx_rx_pair_enabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_clkslip_sel" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_clkslip_sel</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_clkslip_sel</ipxact:displayName> + <ipxact:value>pld</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_lpbk_en" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_lpbk_en</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_lpbk_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_master_clk_sel" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_master_clk_sel</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_master_clk_sel</ipxact:displayName> + <ipxact:value>master_rx_pma_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_pldif_datawidth_mode" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_pldif_datawidth_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_pldif_datawidth_mode</ipxact:displayName> + <ipxact:value>pldif_data_10bit</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_pma_dw_rx" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_pma_dw_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_pma_dw_rx</ipxact:displayName> + <ipxact:value>pma_32b_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_pma_if_dft_en" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_pma_if_dft_en</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_pma_if_dft_en</ipxact:displayName> + <ipxact:value>dft_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_pma_if_dft_val" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_pma_if_dft_val</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_pma_if_dft_val</ipxact:displayName> + <ipxact:value>dft_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_prbs_clken" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_prbs_clken</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_prbs_clken</ipxact:displayName> + <ipxact:value>prbs_clk_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_prbs_ver" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_prbs_ver</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_prbs_ver</ipxact:displayName> + <ipxact:value>prbs_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_prbs9_dwidth" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_prbs9_dwidth</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_prbs9_dwidth</ipxact:displayName> + <ipxact:value>prbs9_64b</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_prot_mode_rx" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_prot_mode_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_prot_mode_rx</ipxact:displayName> + <ipxact:value>teng_krfec_mode_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_rx_dyn_polarity_inversion" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_rx_dyn_polarity_inversion</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_rx_dyn_polarity_inversion</ipxact:displayName> + <ipxact:value>rx_dyn_polinv_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_rx_lpbk_en" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_rx_lpbk_en</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_rx_lpbk_en</ipxact:displayName> + <ipxact:value>lpbk_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_rx_prbs_force_signal_ok" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_rx_prbs_force_signal_ok</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_rx_prbs_force_signal_ok</ipxact:displayName> + <ipxact:value>force_sig_ok</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_rx_prbs_mask" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_rx_prbs_mask</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_rx_prbs_mask</ipxact:displayName> + <ipxact:value>prbsmask128</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_rx_prbs_mode" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_rx_prbs_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_rx_prbs_mode</ipxact:displayName> + <ipxact:value>teng_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_rx_signalok_signaldet_sel" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_rx_signalok_signaldet_sel</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_rx_signalok_signaldet_sel</ipxact:displayName> + <ipxact:value>sel_sig_det</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_rx_static_polarity_inversion" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_rx_static_polarity_inversion</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_rx_static_polarity_inversion</ipxact:displayName> + <ipxact:value>rx_stat_polinv_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_rx_uhsif_lpbk_en" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_rx_uhsif_lpbk_en</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_rx_uhsif_lpbk_en</ipxact:displayName> + <ipxact:value>uhsif_lpbk_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_sup_mode" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_sup_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_silicon_rev" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_reconfig_settings" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_reconfig_settings</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_reconfig_settings</ipxact:displayName> + <ipxact:value>{}</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_bypass_pma_txelecidle" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_bypass_pma_txelecidle</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_bypass_pma_txelecidle</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_channel_operation_mode" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_channel_operation_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_channel_operation_mode</ipxact:displayName> + <ipxact:value>tx_rx_pair_enabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_lpbk_en" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_lpbk_en</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_lpbk_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_master_clk_sel" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_master_clk_sel</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_master_clk_sel</ipxact:displayName> + <ipxact:value>master_tx_pma_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_pcie_sub_prot_mode_tx" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_pcie_sub_prot_mode_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_pcie_sub_prot_mode_tx</ipxact:displayName> + <ipxact:value>other_prot_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_pldif_datawidth_mode" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_pldif_datawidth_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_pldif_datawidth_mode</ipxact:displayName> + <ipxact:value>pldif_data_10bit</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_pma_dw_tx" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_pma_dw_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_pma_dw_tx</ipxact:displayName> + <ipxact:value>pma_32b_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_pma_if_dft_en" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_pma_if_dft_en</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_pma_if_dft_en</ipxact:displayName> + <ipxact:value>dft_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_pmagate_en" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_pmagate_en</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_pmagate_en</ipxact:displayName> + <ipxact:value>pmagate_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_prbs_clken" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_prbs_clken</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_prbs_clken</ipxact:displayName> + <ipxact:value>prbs_clk_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_prbs_gen_pat" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_prbs_gen_pat</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_prbs_gen_pat</ipxact:displayName> + <ipxact:value>prbs_gen_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_prbs9_dwidth" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_prbs9_dwidth</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_prbs9_dwidth</ipxact:displayName> + <ipxact:value>prbs9_64b</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_prot_mode_tx" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_prot_mode_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_prot_mode_tx</ipxact:displayName> + <ipxact:value>teng_krfec_mode_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_sq_wave_num" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_sq_wave_num</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_sq_wave_num</ipxact:displayName> + <ipxact:value>sq_wave_default</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_sqwgen_clken" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_sqwgen_clken</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_sqwgen_clken</ipxact:displayName> + <ipxact:value>sqwgen_clk_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_sup_mode" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_sup_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_tx_dyn_polarity_inversion" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_tx_dyn_polarity_inversion</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_tx_dyn_polarity_inversion</ipxact:displayName> + <ipxact:value>tx_dyn_polinv_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_tx_pma_data_sel" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_tx_pma_data_sel</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_tx_pma_data_sel</ipxact:displayName> + <ipxact:value>ten_g_pcs</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_tx_static_polarity_inversion" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_tx_static_polarity_inversion</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_tx_static_polarity_inversion</ipxact:displayName> + <ipxact:value>tx_stat_polinv_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_cnt_step_filt_before_lock" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_cnt_step_filt_before_lock</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_cnt_step_filt_before_lock</ipxact:displayName> + <ipxact:value>uhsif_filt_stepsz_b4lock_2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_cnt_thresh_filt_after_lock_value" type="int"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_cnt_thresh_filt_after_lock_value</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_cnt_thresh_filt_after_lock_value</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_cnt_thresh_filt_before_lock" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_cnt_thresh_filt_before_lock</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_cnt_thresh_filt_before_lock</ipxact:displayName> + <ipxact:value>uhsif_filt_cntthr_b4lock_8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_dcn_test_update_period" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_dcn_test_update_period</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_dcn_test_update_period</ipxact:displayName> + <ipxact:value>uhsif_dcn_test_period_4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_dcn_testmode_enable" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_dcn_testmode_enable</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_dcn_testmode_enable</ipxact:displayName> + <ipxact:value>uhsif_dcn_test_mode_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_dead_zone_count_thresh" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_dead_zone_count_thresh</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_dead_zone_count_thresh</ipxact:displayName> + <ipxact:value>uhsif_dzt_cnt_thr_2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_dead_zone_detection_enable" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_dead_zone_detection_enable</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_dead_zone_detection_enable</ipxact:displayName> + <ipxact:value>uhsif_dzt_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_dead_zone_obser_window" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_dead_zone_obser_window</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_dead_zone_obser_window</ipxact:displayName> + <ipxact:value>uhsif_dzt_obr_win_16</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_dead_zone_skip_size" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_dead_zone_skip_size</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_dead_zone_skip_size</ipxact:displayName> + <ipxact:value>uhsif_dzt_skipsz_4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_delay_cell_index_sel" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_delay_cell_index_sel</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_delay_cell_index_sel</ipxact:displayName> + <ipxact:value>uhsif_index_cram</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_delay_cell_margin" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_delay_cell_margin</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_delay_cell_margin</ipxact:displayName> + <ipxact:value>uhsif_dcn_margin_2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_delay_cell_static_index_value" type="int"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_delay_cell_static_index_value</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_delay_cell_static_index_value</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_dft_dead_zone_control" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_dft_dead_zone_control</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_dft_dead_zone_control</ipxact:displayName> + <ipxact:value>uhsif_dft_dz_det_val_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_dft_up_filt_control" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_dft_up_filt_control</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_dft_up_filt_control</ipxact:displayName> + <ipxact:value>uhsif_dft_up_val_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_enable" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_enable</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_enable</ipxact:displayName> + <ipxact:value>uhsif_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_lock_det_segsz_after_lock" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_lock_det_segsz_after_lock</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_lock_det_segsz_after_lock</ipxact:displayName> + <ipxact:value>uhsif_lkd_segsz_aflock_512</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_lock_det_segsz_before_lock" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_lock_det_segsz_before_lock</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_lock_det_segsz_before_lock</ipxact:displayName> + <ipxact:value>uhsif_lkd_segsz_b4lock_16</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_lock_det_thresh_cnt_after_lock_value" type="int"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_lock_det_thresh_cnt_after_lock_value</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_lock_det_thresh_cnt_after_lock_value</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_lock_det_thresh_cnt_before_lock_value" type="int"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_lock_det_thresh_cnt_before_lock_value</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_lock_det_thresh_cnt_before_lock_value</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_lock_det_thresh_diff_after_lock_value" type="int"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_lock_det_thresh_diff_after_lock_value</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_lock_det_thresh_diff_after_lock_value</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_lock_det_thresh_diff_before_lock_value" type="int"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_lock_det_thresh_diff_before_lock_value</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_lock_det_thresh_diff_before_lock_value</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_silicon_rev" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_reconfig_settings" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_reconfig_settings</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_reconfig_settings</ipxact:displayName> + <ipxact:value>{}</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_asn_clk_enable" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_asn_clk_enable</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_asn_clk_enable</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_asn_enable" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_asn_enable</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_asn_enable</ipxact:displayName> + <ipxact:value>dis_asn</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_block_sel" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_block_sel</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_block_sel</ipxact:displayName> + <ipxact:value>eight_g_pcs</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_bypass_early_eios" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_bypass_early_eios</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_bypass_early_eios</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_bypass_pcie_switch" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_bypass_pcie_switch</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_bypass_pcie_switch</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_bypass_pma_ltr" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_bypass_pma_ltr</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_bypass_pma_ltr</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_bypass_pma_sw_done" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_bypass_pma_sw_done</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_bypass_pma_sw_done</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_bypass_ppm_lock" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_bypass_ppm_lock</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_bypass_ppm_lock</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_bypass_send_syncp_fbkp" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_bypass_send_syncp_fbkp</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_bypass_send_syncp_fbkp</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_bypass_txdetectrx" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_bypass_txdetectrx</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_bypass_txdetectrx</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_cdr_control" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_cdr_control</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_cdr_control</ipxact:displayName> + <ipxact:value>dis_cdr_ctrl</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_cid_enable" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_cid_enable</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_cid_enable</ipxact:displayName> + <ipxact:value>dis_cid_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_cp_cons_sel" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_cp_cons_sel</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_cp_cons_sel</ipxact:displayName> + <ipxact:value>cp_cons_master</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_cp_dwn_mstr" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_cp_dwn_mstr</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_cp_dwn_mstr</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_cp_up_mstr" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_cp_up_mstr</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_cp_up_mstr</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_ctrl_plane_bonding" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_ctrl_plane_bonding</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_ctrl_plane_bonding</ipxact:displayName> + <ipxact:value>individual</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_data_mask_count" type="int"> + <ipxact:name>hssi_common_pcs_pma_interface_data_mask_count</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_data_mask_count</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_data_mask_count_multi" type="int"> + <ipxact:name>hssi_common_pcs_pma_interface_data_mask_count_multi</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_data_mask_count_multi</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_dft_observation_clock_selection" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_dft_observation_clock_selection</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_dft_observation_clock_selection</ipxact:displayName> + <ipxact:value>dft_clk_obsrv_tx0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_early_eios_counter" type="int"> + <ipxact:name>hssi_common_pcs_pma_interface_early_eios_counter</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_early_eios_counter</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_force_freqdet" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_force_freqdet</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_force_freqdet</ipxact:displayName> + <ipxact:value>force_freqdet_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_free_run_clk_enable" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_free_run_clk_enable</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_free_run_clk_enable</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_ignore_sigdet_g23" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_ignore_sigdet_g23</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_ignore_sigdet_g23</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_pc_en_counter" type="int"> + <ipxact:name>hssi_common_pcs_pma_interface_pc_en_counter</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_pc_en_counter</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_pc_rst_counter" type="int"> + <ipxact:name>hssi_common_pcs_pma_interface_pc_rst_counter</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_pc_rst_counter</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_pcie_hip_mode" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_pcie_hip_mode</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_pcie_hip_mode</ipxact:displayName> + <ipxact:value>hip_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_ph_fifo_reg_mode" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_ph_fifo_reg_mode</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_ph_fifo_reg_mode</ipxact:displayName> + <ipxact:value>phfifo_reg_mode_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_phfifo_flush_wait" type="int"> + <ipxact:name>hssi_common_pcs_pma_interface_phfifo_flush_wait</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_phfifo_flush_wait</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_pipe_if_g3pcs" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_pipe_if_g3pcs</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_pipe_if_g3pcs</ipxact:displayName> + <ipxact:value>pipe_if_8gpcs</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_pma_done_counter" type="int"> + <ipxact:name>hssi_common_pcs_pma_interface_pma_done_counter</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_pma_done_counter</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_pma_if_dft_en" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_pma_if_dft_en</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_pma_if_dft_en</ipxact:displayName> + <ipxact:value>dft_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_pma_if_dft_val" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_pma_if_dft_val</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_pma_if_dft_val</ipxact:displayName> + <ipxact:value>dft_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_ppm_cnt_rst" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_ppm_cnt_rst</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_ppm_cnt_rst</ipxact:displayName> + <ipxact:value>ppm_cnt_rst_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_ppm_deassert_early" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_ppm_deassert_early</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_ppm_deassert_early</ipxact:displayName> + <ipxact:value>deassert_early_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_ppm_gen1_2_cnt" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_ppm_gen1_2_cnt</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_ppm_gen1_2_cnt</ipxact:displayName> + <ipxact:value>cnt_32k</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_ppm_post_eidle_delay" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_ppm_post_eidle_delay</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_ppm_post_eidle_delay</ipxact:displayName> + <ipxact:value>cnt_200_cycles</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_ppmsel" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_ppmsel</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_ppmsel</ipxact:displayName> + <ipxact:value>ppmsel_1000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_prot_mode" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_prot_mode</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_prot_mode</ipxact:displayName> + <ipxact:value>other_protocols</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_rxvalid_mask" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_rxvalid_mask</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_rxvalid_mask</ipxact:displayName> + <ipxact:value>rxvalid_mask_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_sigdet_wait_counter" type="int"> + <ipxact:name>hssi_common_pcs_pma_interface_sigdet_wait_counter</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_sigdet_wait_counter</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_sigdet_wait_counter_multi" type="int"> + <ipxact:name>hssi_common_pcs_pma_interface_sigdet_wait_counter_multi</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_sigdet_wait_counter_multi</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_sim_mode" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_sim_mode</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_sim_mode</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_spd_chg_rst_wait_cnt_en" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_spd_chg_rst_wait_cnt_en</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_spd_chg_rst_wait_cnt_en</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_sup_mode" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_sup_mode</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_testout_sel" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_testout_sel</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_testout_sel</ipxact:displayName> + <ipxact:value>asn_test</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_wait_clk_on_off_timer" type="int"> + <ipxact:name>hssi_common_pcs_pma_interface_wait_clk_on_off_timer</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_wait_clk_on_off_timer</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_wait_pipe_synchronizing" type="int"> + <ipxact:name>hssi_common_pcs_pma_interface_wait_pipe_synchronizing</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_wait_pipe_synchronizing</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_wait_send_syncp_fbkp" type="int"> + <ipxact:name>hssi_common_pcs_pma_interface_wait_send_syncp_fbkp</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_wait_send_syncp_fbkp</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_silicon_rev" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_ppm_det_buckets" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_ppm_det_buckets</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_ppm_det_buckets</ipxact:displayName> + <ipxact:value>ppm_100_bucket</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_reconfig_settings" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_reconfig_settings</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_reconfig_settings</ipxact:displayName> + <ipxact:value>{}</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_fifo_rx_pcs_double_read_mode" type="string"> + <ipxact:name>hssi_fifo_rx_pcs_double_read_mode</ipxact:name> + <ipxact:displayName>hssi_fifo_rx_pcs_double_read_mode</ipxact:displayName> + <ipxact:value>double_read_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_fifo_rx_pcs_prot_mode" type="string"> + <ipxact:name>hssi_fifo_rx_pcs_prot_mode</ipxact:name> + <ipxact:displayName>hssi_fifo_rx_pcs_prot_mode</ipxact:displayName> + <ipxact:value>teng_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_fifo_rx_pcs_silicon_rev" type="string"> + <ipxact:name>hssi_fifo_rx_pcs_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_fifo_rx_pcs_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_fifo_tx_pcs_double_write_mode" type="string"> + <ipxact:name>hssi_fifo_tx_pcs_double_write_mode</ipxact:name> + <ipxact:displayName>hssi_fifo_tx_pcs_double_write_mode</ipxact:displayName> + <ipxact:value>double_write_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_fifo_tx_pcs_prot_mode" type="string"> + <ipxact:name>hssi_fifo_tx_pcs_prot_mode</ipxact:name> + <ipxact:displayName>hssi_fifo_tx_pcs_prot_mode</ipxact:displayName> + <ipxact:value>teng_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_fifo_tx_pcs_silicon_rev" type="string"> + <ipxact:name>hssi_fifo_tx_pcs_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_fifo_tx_pcs_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen3_bypass_rx_detection_enable" type="string"> + <ipxact:name>hssi_pipe_gen3_bypass_rx_detection_enable</ipxact:name> + <ipxact:displayName>hssi_pipe_gen3_bypass_rx_detection_enable</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen3_bypass_rx_preset" type="int"> + <ipxact:name>hssi_pipe_gen3_bypass_rx_preset</ipxact:name> + <ipxact:displayName>hssi_pipe_gen3_bypass_rx_preset</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen3_bypass_rx_preset_enable" type="string"> + <ipxact:name>hssi_pipe_gen3_bypass_rx_preset_enable</ipxact:name> + <ipxact:displayName>hssi_pipe_gen3_bypass_rx_preset_enable</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen3_bypass_tx_coefficent" type="int"> + <ipxact:name>hssi_pipe_gen3_bypass_tx_coefficent</ipxact:name> + <ipxact:displayName>hssi_pipe_gen3_bypass_tx_coefficent</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen3_bypass_tx_coefficent_enable" type="string"> + <ipxact:name>hssi_pipe_gen3_bypass_tx_coefficent_enable</ipxact:name> + <ipxact:displayName>hssi_pipe_gen3_bypass_tx_coefficent_enable</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen3_elecidle_delay_g3" type="int"> + <ipxact:name>hssi_pipe_gen3_elecidle_delay_g3</ipxact:name> + <ipxact:displayName>hssi_pipe_gen3_elecidle_delay_g3</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen3_ind_error_reporting" type="string"> + <ipxact:name>hssi_pipe_gen3_ind_error_reporting</ipxact:name> + <ipxact:displayName>hssi_pipe_gen3_ind_error_reporting</ipxact:displayName> + <ipxact:value>dis_ind_error_reporting</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen3_mode" type="string"> + <ipxact:name>hssi_pipe_gen3_mode</ipxact:name> + <ipxact:displayName>hssi_pipe_gen3_mode</ipxact:displayName> + <ipxact:value>disable_pcs</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen3_phy_status_delay_g12" type="int"> + <ipxact:name>hssi_pipe_gen3_phy_status_delay_g12</ipxact:name> + <ipxact:displayName>hssi_pipe_gen3_phy_status_delay_g12</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen3_phy_status_delay_g3" type="int"> + <ipxact:name>hssi_pipe_gen3_phy_status_delay_g3</ipxact:name> + <ipxact:displayName>hssi_pipe_gen3_phy_status_delay_g3</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen3_phystatus_rst_toggle_g12" type="string"> + <ipxact:name>hssi_pipe_gen3_phystatus_rst_toggle_g12</ipxact:name> + <ipxact:displayName>hssi_pipe_gen3_phystatus_rst_toggle_g12</ipxact:displayName> + <ipxact:value>dis_phystatus_rst_toggle</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen3_phystatus_rst_toggle_g3" type="string"> + <ipxact:name>hssi_pipe_gen3_phystatus_rst_toggle_g3</ipxact:name> + <ipxact:displayName>hssi_pipe_gen3_phystatus_rst_toggle_g3</ipxact:displayName> + <ipxact:value>dis_phystatus_rst_toggle_g3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen3_rate_match_pad_insertion" type="string"> + <ipxact:name>hssi_pipe_gen3_rate_match_pad_insertion</ipxact:name> + <ipxact:displayName>hssi_pipe_gen3_rate_match_pad_insertion</ipxact:displayName> + <ipxact:value>dis_rm_fifo_pad_ins</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen3_sup_mode" type="string"> + <ipxact:name>hssi_pipe_gen3_sup_mode</ipxact:name> + <ipxact:displayName>hssi_pipe_gen3_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen3_test_out_sel" type="string"> + <ipxact:name>hssi_pipe_gen3_test_out_sel</ipxact:name> + <ipxact:displayName>hssi_pipe_gen3_test_out_sel</ipxact:displayName> + <ipxact:value>disable_test_out</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen3_silicon_rev" type="string"> + <ipxact:name>hssi_pipe_gen3_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_pipe_gen3_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_elec_idle_delay_val" type="int"> + <ipxact:name>hssi_pipe_gen1_2_elec_idle_delay_val</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_elec_idle_delay_val</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_error_replace_pad" type="string"> + <ipxact:name>hssi_pipe_gen1_2_error_replace_pad</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_error_replace_pad</ipxact:displayName> + <ipxact:value>replace_edb</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_hip_mode" type="string"> + <ipxact:name>hssi_pipe_gen1_2_hip_mode</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_hip_mode</ipxact:displayName> + <ipxact:value>dis_hip</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_ind_error_reporting" type="string"> + <ipxact:name>hssi_pipe_gen1_2_ind_error_reporting</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_ind_error_reporting</ipxact:displayName> + <ipxact:value>dis_ind_error_reporting</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_phystatus_delay_val" type="int"> + <ipxact:name>hssi_pipe_gen1_2_phystatus_delay_val</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_phystatus_delay_val</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_phystatus_rst_toggle" type="string"> + <ipxact:name>hssi_pipe_gen1_2_phystatus_rst_toggle</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_phystatus_rst_toggle</ipxact:displayName> + <ipxact:value>dis_phystatus_rst_toggle</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_pipe_byte_de_serializer_en" type="string"> + <ipxact:name>hssi_pipe_gen1_2_pipe_byte_de_serializer_en</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_pipe_byte_de_serializer_en</ipxact:displayName> + <ipxact:value>dont_care_bds</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_prot_mode" type="string"> + <ipxact:name>hssi_pipe_gen1_2_prot_mode</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_prot_mode</ipxact:displayName> + <ipxact:value>disabled_prot_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_rpre_emph_a_val" type="int"> + <ipxact:name>hssi_pipe_gen1_2_rpre_emph_a_val</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_rpre_emph_a_val</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_rpre_emph_b_val" type="int"> + <ipxact:name>hssi_pipe_gen1_2_rpre_emph_b_val</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_rpre_emph_b_val</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_rpre_emph_c_val" type="int"> + <ipxact:name>hssi_pipe_gen1_2_rpre_emph_c_val</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_rpre_emph_c_val</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_rpre_emph_d_val" type="int"> + <ipxact:name>hssi_pipe_gen1_2_rpre_emph_d_val</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_rpre_emph_d_val</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_rpre_emph_e_val" type="int"> + <ipxact:name>hssi_pipe_gen1_2_rpre_emph_e_val</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_rpre_emph_e_val</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_rvod_sel_a_val" type="int"> + <ipxact:name>hssi_pipe_gen1_2_rvod_sel_a_val</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_rvod_sel_a_val</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_rvod_sel_b_val" type="int"> + <ipxact:name>hssi_pipe_gen1_2_rvod_sel_b_val</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_rvod_sel_b_val</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_rvod_sel_c_val" type="int"> + <ipxact:name>hssi_pipe_gen1_2_rvod_sel_c_val</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_rvod_sel_c_val</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_rvod_sel_d_val" type="int"> + <ipxact:name>hssi_pipe_gen1_2_rvod_sel_d_val</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_rvod_sel_d_val</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_rvod_sel_e_val" type="int"> + <ipxact:name>hssi_pipe_gen1_2_rvod_sel_e_val</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_rvod_sel_e_val</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_rx_pipe_enable" type="string"> + <ipxact:name>hssi_pipe_gen1_2_rx_pipe_enable</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_rx_pipe_enable</ipxact:displayName> + <ipxact:value>dis_pipe_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_rxdetect_bypass" type="string"> + <ipxact:name>hssi_pipe_gen1_2_rxdetect_bypass</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_rxdetect_bypass</ipxact:displayName> + <ipxact:value>dis_rxdetect_bypass</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_sup_mode" type="string"> + <ipxact:name>hssi_pipe_gen1_2_sup_mode</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_tx_pipe_enable" type="string"> + <ipxact:name>hssi_pipe_gen1_2_tx_pipe_enable</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_tx_pipe_enable</ipxact:displayName> + <ipxact:value>dis_pipe_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_txswing" type="string"> + <ipxact:name>hssi_pipe_gen1_2_txswing</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_txswing</ipxact:displayName> + <ipxact:value>dis_txswing</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_silicon_rev" type="string"> + <ipxact:name>hssi_pipe_gen1_2_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_reconfig_settings" type="string"> + <ipxact:name>hssi_pipe_gen1_2_reconfig_settings</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_reconfig_settings</ipxact:displayName> + <ipxact:value>{}</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_silicon_rev" type="string"> + <ipxact:name>pma_adapt_silicon_rev</ipxact:name> + <ipxact:displayName>pma_adapt_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_1s_ctle_bypass" type="string"> + <ipxact:name>pma_adapt_adp_1s_ctle_bypass</ipxact:name> + <ipxact:displayName>pma_adapt_adp_1s_ctle_bypass</ipxact:displayName> + <ipxact:value>radp_1s_ctle_bypass_1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_4s_ctle_bypass" type="string"> + <ipxact:name>pma_adapt_adp_4s_ctle_bypass</ipxact:name> + <ipxact:displayName>pma_adapt_adp_4s_ctle_bypass</ipxact:displayName> + <ipxact:value>radp_4s_ctle_bypass_1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_ctle_acgain_4s" type="string"> + <ipxact:name>pma_adapt_adp_ctle_acgain_4s</ipxact:name> + <ipxact:displayName>pma_adapt_adp_ctle_acgain_4s</ipxact:displayName> + <ipxact:value>radp_ctle_acgain_4s_1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_ctle_en" type="string"> + <ipxact:name>pma_adapt_adp_ctle_en</ipxact:name> + <ipxact:displayName>pma_adapt_adp_ctle_en</ipxact:displayName> + <ipxact:value>radp_ctle_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_ctle_hold_en" type="string"> + <ipxact:name>pma_adapt_adp_ctle_hold_en</ipxact:name> + <ipxact:displayName>pma_adapt_adp_ctle_hold_en</ipxact:displayName> + <ipxact:value>radp_ctle_not_held</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_ctle_scale" type="string"> + <ipxact:name>pma_adapt_adp_ctle_scale</ipxact:name> + <ipxact:displayName>pma_adapt_adp_ctle_scale</ipxact:displayName> + <ipxact:value>radp_ctle_scale_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_bw" type="string"> + <ipxact:name>pma_adapt_adp_dfe_bw</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_bw</ipxact:displayName> + <ipxact:value>radp_dfe_bw_3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_cycle" type="string"> + <ipxact:name>pma_adapt_adp_dfe_cycle</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_cycle</ipxact:displayName> + <ipxact:value>radp_dfe_cycle_6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fltap_bypass" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fltap_bypass</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fltap_bypass</ipxact:displayName> + <ipxact:value>radp_dfe_fltap_bypass_1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fltap_en" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fltap_en</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fltap_en</ipxact:displayName> + <ipxact:value>radp_dfe_fltap_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fltap_hold_en" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fltap_hold_en</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fltap_hold_en</ipxact:displayName> + <ipxact:value>radp_dfe_fltap_not_held</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fltap_load" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fltap_load</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fltap_load</ipxact:displayName> + <ipxact:value>radp_dfe_fltap_load_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fltap_position" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fltap_position</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fltap_position</ipxact:displayName> + <ipxact:value>radp_dfe_fltap_position_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap8" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap8</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap8</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap8_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap8_sgn" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap8_sgn</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap8_sgn</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap8_sgn_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap9" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap9</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap9</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap9_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap9_sgn" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap9_sgn</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap9_sgn</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap9_sgn_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap10" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap10</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap10</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap10_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap10_sgn" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap10_sgn</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap10_sgn</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap10_sgn_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap11" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap11</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap11</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap11_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap11_sgn" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap11_sgn</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap11_sgn</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap11_sgn_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap_bypass" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap_bypass</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap_bypass</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap_bypass_1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap_en" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap_en</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap_en</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap_hold_en" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap_hold_en</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap_hold_en</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap_not_held</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap_load" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap_load</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap_load</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap_load_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap1" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap1</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap1</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap1_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap2" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap2</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap2</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap2_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap2_sgn" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap2_sgn</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap2_sgn</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap2_sgn_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap3" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap3</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap3</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap3_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap3_sgn" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap3_sgn</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap3_sgn</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap3_sgn_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap4" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap4</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap4</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap4_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap4_sgn" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap4_sgn</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap4_sgn</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap4_sgn_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap5" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap5</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap5</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap5_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap5_sgn" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap5_sgn</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap5_sgn</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap5_sgn_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap6" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap6</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap6</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap6_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap6_sgn" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap6_sgn</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap6_sgn</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap6_sgn_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap7" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap7</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap7</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap7_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap7_sgn" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap7_sgn</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap7_sgn</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap7_sgn_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_mode" type="string"> + <ipxact:name>pma_adapt_adp_dfe_mode</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_mode</ipxact:displayName> + <ipxact:value>radp_dfe_mode_4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_vref_polarity" type="string"> + <ipxact:name>pma_adapt_adp_dfe_vref_polarity</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_vref_polarity</ipxact:displayName> + <ipxact:value>radp_dfe_vref_polarity_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_force_freqlock" type="string"> + <ipxact:name>pma_adapt_adp_force_freqlock</ipxact:name> + <ipxact:displayName>pma_adapt_adp_force_freqlock</ipxact:displayName> + <ipxact:value>radp_force_freqlock_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_lfeq_fb_sel" type="string"> + <ipxact:name>pma_adapt_adp_lfeq_fb_sel</ipxact:name> + <ipxact:displayName>pma_adapt_adp_lfeq_fb_sel</ipxact:displayName> + <ipxact:value>radp_lfeq_fb_sel_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_status_sel" type="string"> + <ipxact:name>pma_adapt_adp_status_sel</ipxact:name> + <ipxact:displayName>pma_adapt_adp_status_sel</ipxact:displayName> + <ipxact:value>radp_status_sel_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_vga_bypass" type="string"> + <ipxact:name>pma_adapt_adp_vga_bypass</ipxact:name> + <ipxact:displayName>pma_adapt_adp_vga_bypass</ipxact:displayName> + <ipxact:value>radp_vga_bypass_1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_vga_en" type="string"> + <ipxact:name>pma_adapt_adp_vga_en</ipxact:name> + <ipxact:displayName>pma_adapt_adp_vga_en</ipxact:displayName> + <ipxact:value>radp_vga_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_vga_polarity" type="string"> + <ipxact:name>pma_adapt_adp_vga_polarity</ipxact:name> + <ipxact:displayName>pma_adapt_adp_vga_polarity</ipxact:displayName> + <ipxact:value>radp_vga_polarity_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_vga_sel" type="string"> + <ipxact:name>pma_adapt_adp_vga_sel</ipxact:name> + <ipxact:displayName>pma_adapt_adp_vga_sel</ipxact:displayName> + <ipxact:value>radp_vga_sel_2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_vga_sweep_direction" type="string"> + <ipxact:name>pma_adapt_adp_vga_sweep_direction</ipxact:name> + <ipxact:displayName>pma_adapt_adp_vga_sweep_direction</ipxact:displayName> + <ipxact:value>radp_vga_sweep_direction_1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_vga_threshold" type="string"> + <ipxact:name>pma_adapt_adp_vga_threshold</ipxact:name> + <ipxact:displayName>pma_adapt_adp_vga_threshold</ipxact:displayName> + <ipxact:value>radp_vga_threshold_4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_vref_bw" type="string"> + <ipxact:name>pma_adapt_adp_vref_bw</ipxact:name> + <ipxact:displayName>pma_adapt_adp_vref_bw</ipxact:displayName> + <ipxact:value>radp_vref_bw_1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_vref_bypass" type="string"> + <ipxact:name>pma_adapt_adp_vref_bypass</ipxact:name> + <ipxact:displayName>pma_adapt_adp_vref_bypass</ipxact:displayName> + <ipxact:value>radp_vref_bypass_1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_vref_cycle" type="string"> + <ipxact:name>pma_adapt_adp_vref_cycle</ipxact:name> + <ipxact:displayName>pma_adapt_adp_vref_cycle</ipxact:displayName> + <ipxact:value>radp_vref_cycle_6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_vref_en" type="string"> + <ipxact:name>pma_adapt_adp_vref_en</ipxact:name> + <ipxact:displayName>pma_adapt_adp_vref_en</ipxact:displayName> + <ipxact:value>radp_vref_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_vref_hold_en" type="string"> + <ipxact:name>pma_adapt_adp_vref_hold_en</ipxact:name> + <ipxact:displayName>pma_adapt_adp_vref_hold_en</ipxact:displayName> + <ipxact:value>radp_vref_not_held</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_vref_polarity" type="string"> + <ipxact:name>pma_adapt_adp_vref_polarity</ipxact:name> + <ipxact:displayName>pma_adapt_adp_vref_polarity</ipxact:displayName> + <ipxact:value>radp_vref_polarity_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_vref_sel" type="string"> + <ipxact:name>pma_adapt_adp_vref_sel</ipxact:name> + <ipxact:displayName>pma_adapt_adp_vref_sel</ipxact:displayName> + <ipxact:value>radp_vref_sel_21</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_vref_vga_level" type="string"> + <ipxact:name>pma_adapt_adp_vref_vga_level</ipxact:name> + <ipxact:displayName>pma_adapt_adp_vref_vga_level</ipxact:displayName> + <ipxact:value>radp_vref_vga_level_13</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_datarate" type="string"> + <ipxact:name>pma_adapt_datarate</ipxact:name> + <ipxact:displayName>pma_adapt_datarate</ipxact:displayName> + <ipxact:value>10312500000 bps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_odi_en" type="string"> + <ipxact:name>pma_adapt_odi_en</ipxact:name> + <ipxact:displayName>pma_adapt_odi_en</ipxact:displayName> + <ipxact:value>rodi_en_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_odi_rstn" type="string"> + <ipxact:name>pma_adapt_odi_rstn</ipxact:name> + <ipxact:displayName>pma_adapt_odi_rstn</ipxact:displayName> + <ipxact:value>rodi_rstn_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_odi_spec_sel" type="string"> + <ipxact:name>pma_adapt_odi_spec_sel</ipxact:name> + <ipxact:displayName>pma_adapt_odi_spec_sel</ipxact:displayName> + <ipxact:value>rodi_spec_sel_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_odi_vref_sel" type="string"> + <ipxact:name>pma_adapt_odi_vref_sel</ipxact:name> + <ipxact:displayName>pma_adapt_odi_vref_sel</ipxact:displayName> + <ipxact:value>rodi_vref_sel_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_optimal" type="string"> + <ipxact:name>pma_adapt_optimal</ipxact:name> + <ipxact:displayName>pma_adapt_optimal</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_initial_settings" type="string"> + <ipxact:name>pma_adapt_initial_settings</ipxact:name> + <ipxact:displayName>pma_adapt_initial_settings</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_prot_mode" type="string"> + <ipxact:name>pma_adapt_prot_mode</ipxact:name> + <ipxact:displayName>pma_adapt_prot_mode</ipxact:displayName> + <ipxact:value>basic_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_sup_mode" type="string"> + <ipxact:name>pma_adapt_sup_mode</ipxact:name> + <ipxact:displayName>pma_adapt_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adapt_dfe_control_sel" type="string"> + <ipxact:name>pma_adapt_adapt_dfe_control_sel</ipxact:name> + <ipxact:displayName>pma_adapt_adapt_dfe_control_sel</ipxact:displayName> + <ipxact:value>r_adapt_dfe_control_sel_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_ctle_adapt_bw" type="string"> + <ipxact:name>pma_adapt_adp_ctle_adapt_bw</ipxact:name> + <ipxact:displayName>pma_adapt_adp_ctle_adapt_bw</ipxact:displayName> + <ipxact:value>radp_ctle_adapt_bw_3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_spec_sign" type="string"> + <ipxact:name>pma_adapt_adp_dfe_spec_sign</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_spec_sign</ipxact:displayName> + <ipxact:value>radp_dfe_spec_sign_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_ctle_force_spec_sign" type="string"> + <ipxact:name>pma_adapt_adp_ctle_force_spec_sign</ipxact:name> + <ipxact:displayName>pma_adapt_adp_ctle_force_spec_sign</ipxact:displayName> + <ipxact:value>radp_ctle_force_spec_sign_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_odi_mode" type="string"> + <ipxact:name>pma_adapt_odi_mode</ipxact:name> + <ipxact:displayName>pma_adapt_odi_mode</ipxact:displayName> + <ipxact:value>rodi_mode_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_ctle_threshold" type="string"> + <ipxact:name>pma_adapt_adp_ctle_threshold</ipxact:name> + <ipxact:displayName>pma_adapt_adp_ctle_threshold</ipxact:displayName> + <ipxact:value>radp_ctle_threshold_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_ctle_window" type="string"> + <ipxact:name>pma_adapt_adp_ctle_window</ipxact:name> + <ipxact:displayName>pma_adapt_adp_ctle_window</ipxact:displayName> + <ipxact:value>radp_ctle_window_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_ctle_threshold_en" type="string"> + <ipxact:name>pma_adapt_adp_ctle_threshold_en</ipxact:name> + <ipxact:displayName>pma_adapt_adp_ctle_threshold_en</ipxact:displayName> + <ipxact:value>radp_ctle_threshold_en_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_ctle_spec_sign" type="string"> + <ipxact:name>pma_adapt_adp_ctle_spec_sign</ipxact:name> + <ipxact:displayName>pma_adapt_adp_ctle_spec_sign</ipxact:displayName> + <ipxact:value>radp_ctle_spec_sign_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_odi_control_sel" type="string"> + <ipxact:name>pma_adapt_adp_odi_control_sel</ipxact:name> + <ipxact:displayName>pma_adapt_adp_odi_control_sel</ipxact:displayName> + <ipxact:value>radp_odi_control_sel_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_spec_avg_window" type="string"> + <ipxact:name>pma_adapt_adp_spec_avg_window</ipxact:name> + <ipxact:displayName>pma_adapt_adp_spec_avg_window</ipxact:displayName> + <ipxact:value>radp_spec_avg_window_4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_ctle_adapt_cycle_window" type="string"> + <ipxact:name>pma_adapt_adp_ctle_adapt_cycle_window</ipxact:name> + <ipxact:displayName>pma_adapt_adp_ctle_adapt_cycle_window</ipxact:displayName> + <ipxact:value>radp_ctle_adapt_cycle_window_7</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_odi_dfe_spec_en" type="string"> + <ipxact:name>pma_adapt_odi_dfe_spec_en</ipxact:name> + <ipxact:displayName>pma_adapt_odi_dfe_spec_en</ipxact:displayName> + <ipxact:value>rodi_dfe_spec_en_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_clkout_div_sel" type="string"> + <ipxact:name>pma_adapt_adp_dfe_clkout_div_sel</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_clkout_div_sel</ipxact:displayName> + <ipxact:value>radp_dfe_clkout_div_sel_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_ctle_load_value" type="string"> + <ipxact:name>pma_adapt_adp_ctle_load_value</ipxact:name> + <ipxact:displayName>pma_adapt_adp_ctle_load_value</ipxact:displayName> + <ipxact:value>radp_ctle_load_value_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_rrx_pcie_eqz" type="string"> + <ipxact:name>pma_adapt_rrx_pcie_eqz</ipxact:name> + <ipxact:displayName>pma_adapt_rrx_pcie_eqz</ipxact:displayName> + <ipxact:value>rrx_pcie_eqz_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_bist_mode" type="string"> + <ipxact:name>pma_adapt_adp_bist_mode</ipxact:name> + <ipxact:displayName>pma_adapt_adp_bist_mode</ipxact:displayName> + <ipxact:value>radp_bist_mode_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adapt_dfe_sel" type="string"> + <ipxact:name>pma_adapt_adapt_dfe_sel</ipxact:name> + <ipxact:displayName>pma_adapt_adapt_dfe_sel</ipxact:displayName> + <ipxact:value>r_adapt_dfe_sel_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_spec_trans_filter" type="string"> + <ipxact:name>pma_adapt_adp_spec_trans_filter</ipxact:name> + <ipxact:displayName>pma_adapt_adp_spec_trans_filter</ipxact:displayName> + <ipxact:value>radp_spec_trans_filter_2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_frame_en" type="string"> + <ipxact:name>pma_adapt_adp_frame_en</ipxact:name> + <ipxact:displayName>pma_adapt_adp_frame_en</ipxact:displayName> + <ipxact:value>radp_frame_en_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_odi_count_threshold" type="string"> + <ipxact:name>pma_adapt_odi_count_threshold</ipxact:name> + <ipxact:displayName>pma_adapt_odi_count_threshold</ipxact:displayName> + <ipxact:value>rodi_count_threshold_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_bist_spec_en" type="string"> + <ipxact:name>pma_adapt_adp_bist_spec_en</ipxact:name> + <ipxact:displayName>pma_adapt_adp_bist_spec_en</ipxact:displayName> + <ipxact:value>radp_bist_spec_en_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adapt_mode" type="string"> + <ipxact:name>pma_adapt_adapt_mode</ipxact:name> + <ipxact:displayName>pma_adapt_adapt_mode</ipxact:displayName> + <ipxact:value>manual</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_ctle_adapt_oneshot" type="string"> + <ipxact:name>pma_adapt_adp_ctle_adapt_oneshot</ipxact:name> + <ipxact:displayName>pma_adapt_adp_ctle_adapt_oneshot</ipxact:displayName> + <ipxact:value>radp_ctle_adapt_oneshot_1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_bist_auxpath_en" type="string"> + <ipxact:name>pma_adapt_adp_bist_auxpath_en</ipxact:name> + <ipxact:displayName>pma_adapt_adp_bist_auxpath_en</ipxact:displayName> + <ipxact:value>radp_bist_auxpath_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_frame_out_sel" type="string"> + <ipxact:name>pma_adapt_adp_frame_out_sel</ipxact:name> + <ipxact:displayName>pma_adapt_adp_frame_out_sel</ipxact:displayName> + <ipxact:value>radp_frame_out_sel_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adapt_vga_sel" type="string"> + <ipxact:name>pma_adapt_adapt_vga_sel</ipxact:name> + <ipxact:displayName>pma_adapt_adapt_vga_sel</ipxact:displayName> + <ipxact:value>r_adapt_vga_sel_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_vref_load" type="string"> + <ipxact:name>pma_adapt_adp_vref_load</ipxact:name> + <ipxact:displayName>pma_adapt_adp_vref_load</ipxact:displayName> + <ipxact:value>radp_vref_load_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_ctle_scale_en" type="string"> + <ipxact:name>pma_adapt_adp_ctle_scale_en</ipxact:name> + <ipxact:displayName>pma_adapt_adp_ctle_scale_en</ipxact:displayName> + <ipxact:value>radp_ctle_scale_en_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_onetime_dfe" type="string"> + <ipxact:name>pma_adapt_adp_onetime_dfe</ipxact:name> + <ipxact:displayName>pma_adapt_adp_onetime_dfe</ipxact:displayName> + <ipxact:value>radp_onetime_dfe_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_force_spec_sign" type="string"> + <ipxact:name>pma_adapt_adp_dfe_force_spec_sign</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_force_spec_sign</ipxact:displayName> + <ipxact:value>radp_dfe_force_spec_sign_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_frame_odi_sel" type="string"> + <ipxact:name>pma_adapt_adp_frame_odi_sel</ipxact:name> + <ipxact:displayName>pma_adapt_adp_frame_odi_sel</ipxact:displayName> + <ipxact:value>radp_frame_odi_sel_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_bist_datapath_en" type="string"> + <ipxact:name>pma_adapt_adp_bist_datapath_en</ipxact:name> + <ipxact:displayName>pma_adapt_adp_bist_datapath_en</ipxact:displayName> + <ipxact:value>radp_bist_datapath_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_control_mux_bypass" type="string"> + <ipxact:name>pma_adapt_adp_control_mux_bypass</ipxact:name> + <ipxact:displayName>pma_adapt_adp_control_mux_bypass</ipxact:displayName> + <ipxact:value>radp_control_mux_bypass_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_ctle_vref_polarity" type="string"> + <ipxact:name>pma_adapt_adp_ctle_vref_polarity</ipxact:name> + <ipxact:displayName>pma_adapt_adp_ctle_vref_polarity</ipxact:displayName> + <ipxact:value>radp_ctle_vref_polarity_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_bist_count_rstn" type="string"> + <ipxact:name>pma_adapt_adp_bist_count_rstn</ipxact:name> + <ipxact:displayName>pma_adapt_adp_bist_count_rstn</ipxact:displayName> + <ipxact:value>radp_bist_count_rstn_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_ctle_eqz_1s_sel" type="string"> + <ipxact:name>pma_adapt_adp_ctle_eqz_1s_sel</ipxact:name> + <ipxact:displayName>pma_adapt_adp_ctle_eqz_1s_sel</ipxact:displayName> + <ipxact:value>radp_ctle_eqz_1s_sel_3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_vref_dfe_spec_en" type="string"> + <ipxact:name>pma_adapt_adp_vref_dfe_spec_en</ipxact:name> + <ipxact:displayName>pma_adapt_adp_vref_dfe_spec_en</ipxact:displayName> + <ipxact:value>radp_vref_dfe_spec_en_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_adapt_rstn" type="string"> + <ipxact:name>pma_adapt_adp_adapt_rstn</ipxact:name> + <ipxact:displayName>pma_adapt_adp_adapt_rstn</ipxact:displayName> + <ipxact:value>radp_adapt_rstn_1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_adapt_start" type="string"> + <ipxact:name>pma_adapt_adp_adapt_start</ipxact:name> + <ipxact:displayName>pma_adapt_adp_adapt_start</ipxact:displayName> + <ipxact:value>radp_adapt_start_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_odi_start" type="string"> + <ipxact:name>pma_adapt_odi_start</ipxact:name> + <ipxact:displayName>pma_adapt_odi_start</ipxact:displayName> + <ipxact:value>rodi_start_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_ctle_sweep_direction" type="string"> + <ipxact:name>pma_adapt_adp_ctle_sweep_direction</ipxact:name> + <ipxact:displayName>pma_adapt_adp_ctle_sweep_direction</ipxact:displayName> + <ipxact:value>radp_ctle_sweep_direction_1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_vga_load" type="string"> + <ipxact:name>pma_adapt_adp_vga_load</ipxact:name> + <ipxact:displayName>pma_adapt_adp_vga_load</ipxact:displayName> + <ipxact:value>radp_vga_load_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_frame_capture" type="string"> + <ipxact:name>pma_adapt_adp_frame_capture</ipxact:name> + <ipxact:displayName>pma_adapt_adp_frame_capture</ipxact:displayName> + <ipxact:value>radp_frame_capture_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_adapt_control_sel" type="string"> + <ipxact:name>pma_adapt_adp_adapt_control_sel</ipxact:name> + <ipxact:displayName>pma_adapt_adp_adapt_control_sel</ipxact:displayName> + <ipxact:value>radp_adapt_control_sel_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_bist_odi_dfe_sel" type="string"> + <ipxact:name>pma_adapt_adp_bist_odi_dfe_sel</ipxact:name> + <ipxact:displayName>pma_adapt_adp_bist_odi_dfe_sel</ipxact:displayName> + <ipxact:value>radp_bist_odi_dfe_sel_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adapt_vref_sel" type="string"> + <ipxact:name>pma_adapt_adapt_vref_sel</ipxact:name> + <ipxact:displayName>pma_adapt_adapt_vref_sel</ipxact:displayName> + <ipxact:value>r_adapt_vref_sel_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_mode" type="string"> + <ipxact:name>pma_adapt_adp_mode</ipxact:name> + <ipxact:displayName>pma_adapt_adp_mode</ipxact:displayName> + <ipxact:value>radp_mode_8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_ctle_load" type="string"> + <ipxact:name>pma_adapt_adp_ctle_load</ipxact:name> + <ipxact:displayName>pma_adapt_adp_ctle_load</ipxact:displayName> + <ipxact:value>radp_ctle_load_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_cdr_clkin_scratch0_src" type="string"> + <ipxact:name>pma_cdr_refclk_cdr_clkin_scratch0_src</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_cdr_clkin_scratch0_src</ipxact:displayName> + <ipxact:value>cdr_clkin_scratch0_src_refclk_iqclk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_cdr_clkin_scratch1_src" type="string"> + <ipxact:name>pma_cdr_refclk_cdr_clkin_scratch1_src</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_cdr_clkin_scratch1_src</ipxact:displayName> + <ipxact:value>cdr_clkin_scratch1_src_refclk_iqclk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_cdr_clkin_scratch2_src" type="string"> + <ipxact:name>pma_cdr_refclk_cdr_clkin_scratch2_src</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_cdr_clkin_scratch2_src</ipxact:displayName> + <ipxact:value>cdr_clkin_scratch2_src_refclk_iqclk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_cdr_clkin_scratch3_src" type="string"> + <ipxact:name>pma_cdr_refclk_cdr_clkin_scratch3_src</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_cdr_clkin_scratch3_src</ipxact:displayName> + <ipxact:value>cdr_clkin_scratch3_src_refclk_iqclk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_cdr_clkin_scratch4_src" type="string"> + <ipxact:name>pma_cdr_refclk_cdr_clkin_scratch4_src</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_cdr_clkin_scratch4_src</ipxact:displayName> + <ipxact:value>cdr_clkin_scratch4_src_refclk_iqclk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_powerdown_mode" type="string"> + <ipxact:name>pma_cdr_refclk_powerdown_mode</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_powerdown_mode</ipxact:displayName> + <ipxact:value>powerup</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_receiver_detect_src" type="string"> + <ipxact:name>pma_cdr_refclk_receiver_detect_src</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_receiver_detect_src</ipxact:displayName> + <ipxact:value>iqclk_src</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_xmux_refclk_src" type="string"> + <ipxact:name>pma_cdr_refclk_xmux_refclk_src</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_xmux_refclk_src</ipxact:displayName> + <ipxact:value>refclk_iqclk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_xpm_iqref_mux_iqclk_sel" type="string"> + <ipxact:name>pma_cdr_refclk_xpm_iqref_mux_iqclk_sel</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_xpm_iqref_mux_iqclk_sel</ipxact:displayName> + <ipxact:value>power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_xpm_iqref_mux_scratch0_src" type="string"> + <ipxact:name>pma_cdr_refclk_xpm_iqref_mux_scratch0_src</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_xpm_iqref_mux_scratch0_src</ipxact:displayName> + <ipxact:value>scratch0_power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_xpm_iqref_mux_scratch1_src" type="string"> + <ipxact:name>pma_cdr_refclk_xpm_iqref_mux_scratch1_src</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_xpm_iqref_mux_scratch1_src</ipxact:displayName> + <ipxact:value>scratch1_power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_xpm_iqref_mux_scratch2_src" type="string"> + <ipxact:name>pma_cdr_refclk_xpm_iqref_mux_scratch2_src</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_xpm_iqref_mux_scratch2_src</ipxact:displayName> + <ipxact:value>scratch2_power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_xpm_iqref_mux_scratch3_src" type="string"> + <ipxact:name>pma_cdr_refclk_xpm_iqref_mux_scratch3_src</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_xpm_iqref_mux_scratch3_src</ipxact:displayName> + <ipxact:value>scratch3_power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_xpm_iqref_mux_scratch4_src" type="string"> + <ipxact:name>pma_cdr_refclk_xpm_iqref_mux_scratch4_src</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_xpm_iqref_mux_scratch4_src</ipxact:displayName> + <ipxact:value>scratch4_power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_refclk_select" type="string"> + <ipxact:name>pma_cdr_refclk_refclk_select</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_refclk_select</ipxact:displayName> + <ipxact:value>ref_iqclk0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_silicon_rev" type="string"> + <ipxact:name>pma_cdr_refclk_silicon_rev</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_inclk0_logical_to_physical_mapping" type="string"> + <ipxact:name>pma_cdr_refclk_inclk0_logical_to_physical_mapping</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_inclk0_logical_to_physical_mapping</ipxact:displayName> + <ipxact:value>ref_iqclk0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_inclk1_logical_to_physical_mapping" type="string"> + <ipxact:name>pma_cdr_refclk_inclk1_logical_to_physical_mapping</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_inclk1_logical_to_physical_mapping</ipxact:displayName> + <ipxact:value>ref_iqclk0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_inclk2_logical_to_physical_mapping" type="string"> + <ipxact:name>pma_cdr_refclk_inclk2_logical_to_physical_mapping</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_inclk2_logical_to_physical_mapping</ipxact:displayName> + <ipxact:value>ref_iqclk0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_inclk3_logical_to_physical_mapping" type="string"> + <ipxact:name>pma_cdr_refclk_inclk3_logical_to_physical_mapping</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_inclk3_logical_to_physical_mapping</ipxact:displayName> + <ipxact:value>ref_iqclk0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_inclk4_logical_to_physical_mapping" type="string"> + <ipxact:name>pma_cdr_refclk_inclk4_logical_to_physical_mapping</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_inclk4_logical_to_physical_mapping</ipxact:displayName> + <ipxact:value>ref_iqclk0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_silicon_rev" type="string"> + <ipxact:name>pma_cgb_silicon_rev</ipxact:name> + <ipxact:displayName>pma_cgb_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_observe_cgb_clocks" type="string"> + <ipxact:name>pma_cgb_observe_cgb_clocks</ipxact:name> + <ipxact:displayName>pma_cgb_observe_cgb_clocks</ipxact:displayName> + <ipxact:value>observe_nothing</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_bitslip_enable" type="string"> + <ipxact:name>pma_cgb_bitslip_enable</ipxact:name> + <ipxact:displayName>pma_cgb_bitslip_enable</ipxact:displayName> + <ipxact:value>disable_bitslip</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_bonding_mode" type="string"> + <ipxact:name>pma_cgb_bonding_mode</ipxact:name> + <ipxact:displayName>pma_cgb_bonding_mode</ipxact:displayName> + <ipxact:value>x1_non_bonded</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_bonding_reset_enable" type="string"> + <ipxact:name>pma_cgb_bonding_reset_enable</ipxact:name> + <ipxact:displayName>pma_cgb_bonding_reset_enable</ipxact:displayName> + <ipxact:value>disallow_bonding_reset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_cgb_power_down" type="string"> + <ipxact:name>pma_cgb_cgb_power_down</ipxact:name> + <ipxact:displayName>pma_cgb_cgb_power_down</ipxact:displayName> + <ipxact:value>normal_cgb</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_datarate" type="string"> + <ipxact:name>pma_cgb_datarate</ipxact:name> + <ipxact:displayName>pma_cgb_datarate</ipxact:displayName> + <ipxact:value>10312500000 bps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_pcie_gen3_bitwidth" type="string"> + <ipxact:name>pma_cgb_pcie_gen3_bitwidth</ipxact:name> + <ipxact:displayName>pma_cgb_pcie_gen3_bitwidth</ipxact:displayName> + <ipxact:value>pciegen3_wide</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_prot_mode" type="string"> + <ipxact:name>pma_cgb_prot_mode</ipxact:name> + <ipxact:displayName>pma_cgb_prot_mode</ipxact:displayName> + <ipxact:value>basic_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_scratch0_x1_clock_src" type="string"> + <ipxact:name>pma_cgb_scratch0_x1_clock_src</ipxact:name> + <ipxact:displayName>pma_cgb_scratch0_x1_clock_src</ipxact:displayName> + <ipxact:value>unused</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_scratch1_x1_clock_src" type="string"> + <ipxact:name>pma_cgb_scratch1_x1_clock_src</ipxact:name> + <ipxact:displayName>pma_cgb_scratch1_x1_clock_src</ipxact:displayName> + <ipxact:value>unused</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_scratch2_x1_clock_src" type="string"> + <ipxact:name>pma_cgb_scratch2_x1_clock_src</ipxact:name> + <ipxact:displayName>pma_cgb_scratch2_x1_clock_src</ipxact:displayName> + <ipxact:value>unused</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_scratch3_x1_clock_src" type="string"> + <ipxact:name>pma_cgb_scratch3_x1_clock_src</ipxact:name> + <ipxact:displayName>pma_cgb_scratch3_x1_clock_src</ipxact:displayName> + <ipxact:value>unused</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_select_done_master_or_slave" type="string"> + <ipxact:name>pma_cgb_select_done_master_or_slave</ipxact:name> + <ipxact:displayName>pma_cgb_select_done_master_or_slave</ipxact:displayName> + <ipxact:value>choose_master_pcie_sw_done</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_ser_mode" type="string"> + <ipxact:name>pma_cgb_ser_mode</ipxact:name> + <ipxact:displayName>pma_cgb_ser_mode</ipxact:displayName> + <ipxact:value>thirty_two_bit</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_ser_powerdown" type="string"> + <ipxact:name>pma_cgb_ser_powerdown</ipxact:name> + <ipxact:displayName>pma_cgb_ser_powerdown</ipxact:displayName> + <ipxact:value>normal_poweron_ser</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_sup_mode" type="string"> + <ipxact:name>pma_cgb_sup_mode</ipxact:name> + <ipxact:displayName>pma_cgb_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_vccdreg_output" type="string"> + <ipxact:name>pma_cgb_vccdreg_output</ipxact:name> + <ipxact:displayName>pma_cgb_vccdreg_output</ipxact:displayName> + <ipxact:value>vccdreg_nominal</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_x1_clock_source_sel" type="string"> + <ipxact:name>pma_cgb_x1_clock_source_sel</ipxact:name> + <ipxact:displayName>pma_cgb_x1_clock_source_sel</ipxact:displayName> + <ipxact:value>cdr_txpll_t</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_x1_div_m_sel" type="string"> + <ipxact:name>pma_cgb_x1_div_m_sel</ipxact:name> + <ipxact:displayName>pma_cgb_x1_div_m_sel</ipxact:displayName> + <ipxact:value>divbypass</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_xn_clock_source_sel" type="string"> + <ipxact:name>pma_cgb_xn_clock_source_sel</ipxact:name> + <ipxact:displayName>pma_cgb_xn_clock_source_sel</ipxact:displayName> + <ipxact:value>sel_xn_up</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_input_select_x1" type="string"> + <ipxact:name>pma_cgb_input_select_x1</ipxact:name> + <ipxact:displayName>pma_cgb_input_select_x1</ipxact:displayName> + <ipxact:value>fpll_bot</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_input_select_gen3" type="string"> + <ipxact:name>pma_cgb_input_select_gen3</ipxact:name> + <ipxact:displayName>pma_cgb_input_select_gen3</ipxact:displayName> + <ipxact:value>unused</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_input_select_xn" type="string"> + <ipxact:name>pma_cgb_input_select_xn</ipxact:name> + <ipxact:displayName>pma_cgb_input_select_xn</ipxact:displayName> + <ipxact:value>unused</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_tx_ucontrol_reset" type="string"> + <ipxact:name>pma_cgb_tx_ucontrol_reset</ipxact:name> + <ipxact:displayName>pma_cgb_tx_ucontrol_reset</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_tx_ucontrol_en" type="string"> + <ipxact:name>pma_cgb_tx_ucontrol_en</ipxact:name> + <ipxact:displayName>pma_cgb_tx_ucontrol_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_initial_settings" type="string"> + <ipxact:name>pma_cgb_initial_settings</ipxact:name> + <ipxact:displayName>pma_cgb_initial_settings</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_tx_ucontrol_pcie" type="string"> + <ipxact:name>pma_cgb_tx_ucontrol_pcie</ipxact:name> + <ipxact:displayName>pma_cgb_tx_ucontrol_pcie</ipxact:displayName> + <ipxact:value>gen1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_dprio_cgb_vreg_boost" type="string"> + <ipxact:name>pma_cgb_dprio_cgb_vreg_boost</ipxact:name> + <ipxact:displayName>pma_cgb_dprio_cgb_vreg_boost</ipxact:displayName> + <ipxact:value>no_voltage_boost</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_silicon_rev" type="string"> + <ipxact:name>pma_rx_dfe_silicon_rev</ipxact:name> + <ipxact:displayName>pma_rx_dfe_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_atb_select" type="string"> + <ipxact:name>pma_rx_dfe_atb_select</ipxact:name> + <ipxact:displayName>pma_rx_dfe_atb_select</ipxact:displayName> + <ipxact:value>atb_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_datarate" type="string"> + <ipxact:name>pma_rx_dfe_datarate</ipxact:name> + <ipxact:displayName>pma_rx_dfe_datarate</ipxact:displayName> + <ipxact:value>10312500000 bps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_dft_en" type="string"> + <ipxact:name>pma_rx_dfe_dft_en</ipxact:name> + <ipxact:displayName>pma_rx_dfe_dft_en</ipxact:displayName> + <ipxact:value>dft_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_oc_sa_c270" type="int"> + <ipxact:name>pma_rx_dfe_oc_sa_c270</ipxact:name> + <ipxact:displayName>pma_rx_dfe_oc_sa_c270</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_oc_sa_c90" type="int"> + <ipxact:name>pma_rx_dfe_oc_sa_c90</ipxact:name> + <ipxact:displayName>pma_rx_dfe_oc_sa_c90</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_oc_sa_d0c0" type="int"> + <ipxact:name>pma_rx_dfe_oc_sa_d0c0</ipxact:name> + <ipxact:displayName>pma_rx_dfe_oc_sa_d0c0</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_oc_sa_d0c180" type="int"> + <ipxact:name>pma_rx_dfe_oc_sa_d0c180</ipxact:name> + <ipxact:displayName>pma_rx_dfe_oc_sa_d0c180</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_oc_sa_d1c0" type="int"> + <ipxact:name>pma_rx_dfe_oc_sa_d1c0</ipxact:name> + <ipxact:displayName>pma_rx_dfe_oc_sa_d1c0</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_oc_sa_d1c180" type="int"> + <ipxact:name>pma_rx_dfe_oc_sa_d1c180</ipxact:name> + <ipxact:displayName>pma_rx_dfe_oc_sa_d1c180</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_optimal" type="string"> + <ipxact:name>pma_rx_dfe_optimal</ipxact:name> + <ipxact:displayName>pma_rx_dfe_optimal</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_pdb" type="string"> + <ipxact:name>pma_rx_dfe_pdb</ipxact:name> + <ipxact:displayName>pma_rx_dfe_pdb</ipxact:displayName> + <ipxact:value>dfe_enable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_pdb_fixedtap" type="string"> + <ipxact:name>pma_rx_dfe_pdb_fixedtap</ipxact:name> + <ipxact:displayName>pma_rx_dfe_pdb_fixedtap</ipxact:displayName> + <ipxact:value>fixtap_dfe_powerdown</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_pdb_floattap" type="string"> + <ipxact:name>pma_rx_dfe_pdb_floattap</ipxact:name> + <ipxact:displayName>pma_rx_dfe_pdb_floattap</ipxact:displayName> + <ipxact:value>floattap_dfe_powerdown</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_pdb_fxtap4t7" type="string"> + <ipxact:name>pma_rx_dfe_pdb_fxtap4t7</ipxact:name> + <ipxact:displayName>pma_rx_dfe_pdb_fxtap4t7</ipxact:displayName> + <ipxact:value>fxtap4t7_powerdown</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_power_mode" type="string"> + <ipxact:name>pma_rx_dfe_power_mode</ipxact:name> + <ipxact:displayName>pma_rx_dfe_power_mode</ipxact:displayName> + <ipxact:value>mid_power</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_sel_fltapstep_dec" type="string"> + <ipxact:name>pma_rx_dfe_sel_fltapstep_dec</ipxact:name> + <ipxact:displayName>pma_rx_dfe_sel_fltapstep_dec</ipxact:displayName> + <ipxact:value>fltap_step_no_dec</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_sel_fltapstep_inc" type="string"> + <ipxact:name>pma_rx_dfe_sel_fltapstep_inc</ipxact:name> + <ipxact:displayName>pma_rx_dfe_sel_fltapstep_inc</ipxact:displayName> + <ipxact:value>fltap_step_no_inc</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_sel_fxtapstep_dec" type="string"> + <ipxact:name>pma_rx_dfe_sel_fxtapstep_dec</ipxact:name> + <ipxact:displayName>pma_rx_dfe_sel_fxtapstep_dec</ipxact:displayName> + <ipxact:value>fxtap_step_no_dec</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_sel_fxtapstep_inc" type="string"> + <ipxact:name>pma_rx_dfe_sel_fxtapstep_inc</ipxact:name> + <ipxact:displayName>pma_rx_dfe_sel_fxtapstep_inc</ipxact:displayName> + <ipxact:value>fxtap_step_no_inc</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_sel_oc_en" type="string"> + <ipxact:name>pma_rx_dfe_sel_oc_en</ipxact:name> + <ipxact:displayName>pma_rx_dfe_sel_oc_en</ipxact:displayName> + <ipxact:value>off_canc_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_sel_probe_tstmx" type="string"> + <ipxact:name>pma_rx_dfe_sel_probe_tstmx</ipxact:name> + <ipxact:displayName>pma_rx_dfe_sel_probe_tstmx</ipxact:displayName> + <ipxact:value>probe_tstmx_none</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_sup_mode" type="string"> + <ipxact:name>pma_rx_dfe_sup_mode</ipxact:name> + <ipxact:displayName>pma_rx_dfe_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_uc_rx_dfe_cal" type="string"> + <ipxact:name>pma_rx_dfe_uc_rx_dfe_cal</ipxact:name> + <ipxact:displayName>pma_rx_dfe_uc_rx_dfe_cal</ipxact:displayName> + <ipxact:value>uc_rx_dfe_cal_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_uc_rx_dfe_cal_status" type="string"> + <ipxact:name>pma_rx_dfe_uc_rx_dfe_cal_status</ipxact:name> + <ipxact:displayName>pma_rx_dfe_uc_rx_dfe_cal_status</ipxact:displayName> + <ipxact:value>uc_rx_dfe_cal_notdone</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_oc_sa_adp1" type="int"> + <ipxact:name>pma_rx_dfe_oc_sa_adp1</ipxact:name> + <ipxact:displayName>pma_rx_dfe_oc_sa_adp1</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_oc_sa_adp2" type="int"> + <ipxact:name>pma_rx_dfe_oc_sa_adp2</ipxact:name> + <ipxact:displayName>pma_rx_dfe_oc_sa_adp2</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_initial_settings" type="string"> + <ipxact:name>pma_rx_dfe_initial_settings</ipxact:name> + <ipxact:displayName>pma_rx_dfe_initial_settings</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_prot_mode" type="string"> + <ipxact:name>pma_rx_dfe_prot_mode</ipxact:name> + <ipxact:displayName>pma_rx_dfe_prot_mode</ipxact:displayName> + <ipxact:value>basic_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_silicon_rev" type="string"> + <ipxact:name>pma_rx_odi_silicon_rev</ipxact:name> + <ipxact:displayName>pma_rx_odi_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_datarate" type="string"> + <ipxact:name>pma_rx_odi_datarate</ipxact:name> + <ipxact:displayName>pma_rx_odi_datarate</ipxact:displayName> + <ipxact:value>10312500000 bps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_enable_odi" type="string"> + <ipxact:name>pma_rx_odi_enable_odi</ipxact:name> + <ipxact:displayName>pma_rx_odi_enable_odi</ipxact:displayName> + <ipxact:value>power_down_eye</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_monitor_bw_sel" type="string"> + <ipxact:name>pma_rx_odi_monitor_bw_sel</ipxact:name> + <ipxact:displayName>pma_rx_odi_monitor_bw_sel</ipxact:displayName> + <ipxact:value>bw_1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_optimal" type="string"> + <ipxact:name>pma_rx_odi_optimal</ipxact:name> + <ipxact:displayName>pma_rx_odi_optimal</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_phase_steps_64_vs_128" type="string"> + <ipxact:name>pma_rx_odi_phase_steps_64_vs_128</ipxact:name> + <ipxact:displayName>pma_rx_odi_phase_steps_64_vs_128</ipxact:displayName> + <ipxact:value>phase_steps_64</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_phase_steps_sel" type="string"> + <ipxact:name>pma_rx_odi_phase_steps_sel</ipxact:name> + <ipxact:displayName>pma_rx_odi_phase_steps_sel</ipxact:displayName> + <ipxact:value>step40</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_power_mode" type="string"> + <ipxact:name>pma_rx_odi_power_mode</ipxact:name> + <ipxact:displayName>pma_rx_odi_power_mode</ipxact:displayName> + <ipxact:value>mid_power</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_sup_mode" type="string"> + <ipxact:name>pma_rx_odi_sup_mode</ipxact:name> + <ipxact:displayName>pma_rx_odi_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_v_vert_threshold_scaling" type="string"> + <ipxact:name>pma_rx_odi_v_vert_threshold_scaling</ipxact:name> + <ipxact:displayName>pma_rx_odi_v_vert_threshold_scaling</ipxact:displayName> + <ipxact:value>scale_3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_vert_threshold" type="string"> + <ipxact:name>pma_rx_odi_vert_threshold</ipxact:name> + <ipxact:displayName>pma_rx_odi_vert_threshold</ipxact:displayName> + <ipxact:value>vert_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_oc_sa_c0" type="int"> + <ipxact:name>pma_rx_odi_oc_sa_c0</ipxact:name> + <ipxact:displayName>pma_rx_odi_oc_sa_c0</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_initial_settings" type="string"> + <ipxact:name>pma_rx_odi_initial_settings</ipxact:name> + <ipxact:displayName>pma_rx_odi_initial_settings</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_v_vert_sel" type="string"> + <ipxact:name>pma_rx_odi_v_vert_sel</ipxact:name> + <ipxact:displayName>pma_rx_odi_v_vert_sel</ipxact:displayName> + <ipxact:value>plus</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_sel_oc_en" type="string"> + <ipxact:name>pma_rx_odi_sel_oc_en</ipxact:name> + <ipxact:displayName>pma_rx_odi_sel_oc_en</ipxact:displayName> + <ipxact:value>off_canc_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_clk_dcd_bypass" type="string"> + <ipxact:name>pma_rx_odi_clk_dcd_bypass</ipxact:name> + <ipxact:displayName>pma_rx_odi_clk_dcd_bypass</ipxact:displayName> + <ipxact:value>no_bypass</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_invert_dfe_vref" type="string"> + <ipxact:name>pma_rx_odi_invert_dfe_vref</ipxact:name> + <ipxact:displayName>pma_rx_odi_invert_dfe_vref</ipxact:displayName> + <ipxact:value>no_inversion</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_step_ctrl_sel" type="string"> + <ipxact:name>pma_rx_odi_step_ctrl_sel</ipxact:name> + <ipxact:displayName>pma_rx_odi_step_ctrl_sel</ipxact:displayName> + <ipxact:value>dprio_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_prot_mode" type="string"> + <ipxact:name>pma_rx_odi_prot_mode</ipxact:name> + <ipxact:displayName>pma_rx_odi_prot_mode</ipxact:displayName> + <ipxact:value>basic_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_oc_sa_c180" type="int"> + <ipxact:name>pma_rx_odi_oc_sa_c180</ipxact:name> + <ipxact:displayName>pma_rx_odi_oc_sa_c180</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_silicon_rev" type="string"> + <ipxact:name>pma_rx_buf_silicon_rev</ipxact:name> + <ipxact:displayName>pma_rx_buf_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_bypass_eqz_stages_234" type="string"> + <ipxact:name>pma_rx_buf_bypass_eqz_stages_234</ipxact:name> + <ipxact:displayName>pma_rx_buf_bypass_eqz_stages_234</ipxact:displayName> + <ipxact:value>bypass_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_cdrclk_to_cgb" type="string"> + <ipxact:name>pma_rx_buf_cdrclk_to_cgb</ipxact:name> + <ipxact:displayName>pma_rx_buf_cdrclk_to_cgb</ipxact:displayName> + <ipxact:value>cdrclk_2cgb_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_datarate" type="string"> + <ipxact:name>pma_rx_buf_datarate</ipxact:name> + <ipxact:displayName>pma_rx_buf_datarate</ipxact:displayName> + <ipxact:value>10312500000 bps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_diag_lp_en" type="string"> + <ipxact:name>pma_rx_buf_diag_lp_en</ipxact:name> + <ipxact:displayName>pma_rx_buf_diag_lp_en</ipxact:displayName> + <ipxact:value>dlp_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_eq_bw_sel" type="string"> + <ipxact:name>pma_rx_buf_eq_bw_sel</ipxact:name> + <ipxact:displayName>pma_rx_buf_eq_bw_sel</ipxact:displayName> + <ipxact:value>eq_bw_3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_input_vcm_sel" type="string"> + <ipxact:name>pma_rx_buf_input_vcm_sel</ipxact:name> + <ipxact:displayName>pma_rx_buf_input_vcm_sel</ipxact:displayName> + <ipxact:value>high_vcm</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_link_rx" type="string"> + <ipxact:name>pma_rx_buf_link_rx</ipxact:name> + <ipxact:displayName>pma_rx_buf_link_rx</ipxact:displayName> + <ipxact:value>sr</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_offset_cal_pd" type="string"> + <ipxact:name>pma_rx_buf_offset_cal_pd</ipxact:name> + <ipxact:displayName>pma_rx_buf_offset_cal_pd</ipxact:displayName> + <ipxact:value>eqz1_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_offset_cancellation_ctrl" type="string"> + <ipxact:name>pma_rx_buf_offset_cancellation_ctrl</ipxact:name> + <ipxact:displayName>pma_rx_buf_offset_cancellation_ctrl</ipxact:displayName> + <ipxact:value>volt_0mv</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_offset_pd" type="string"> + <ipxact:name>pma_rx_buf_offset_pd</ipxact:name> + <ipxact:displayName>pma_rx_buf_offset_pd</ipxact:displayName> + <ipxact:value>oc_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_optimal" type="string"> + <ipxact:name>pma_rx_buf_optimal</ipxact:name> + <ipxact:displayName>pma_rx_buf_optimal</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_pdb_rx" type="string"> + <ipxact:name>pma_rx_buf_pdb_rx</ipxact:name> + <ipxact:displayName>pma_rx_buf_pdb_rx</ipxact:displayName> + <ipxact:value>normal_rx_on</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_power_mode_rx" type="string"> + <ipxact:name>pma_rx_buf_power_mode_rx</ipxact:name> + <ipxact:displayName>pma_rx_buf_power_mode_rx</ipxact:displayName> + <ipxact:value>mid_power</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_prot_mode" type="string"> + <ipxact:name>pma_rx_buf_prot_mode</ipxact:name> + <ipxact:displayName>pma_rx_buf_prot_mode</ipxact:displayName> + <ipxact:value>basic_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_qpi_enable" type="string"> + <ipxact:name>pma_rx_buf_qpi_enable</ipxact:name> + <ipxact:displayName>pma_rx_buf_qpi_enable</ipxact:displayName> + <ipxact:value>non_qpi_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_rx_atb_select" type="string"> + <ipxact:name>pma_rx_buf_rx_atb_select</ipxact:name> + <ipxact:displayName>pma_rx_buf_rx_atb_select</ipxact:displayName> + <ipxact:value>atb_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_rx_refclk_divider" type="string"> + <ipxact:name>pma_rx_buf_rx_refclk_divider</ipxact:name> + <ipxact:displayName>pma_rx_buf_rx_refclk_divider</ipxact:displayName> + <ipxact:value>bypass_divider</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_rx_sel_bias_source" type="string"> + <ipxact:name>pma_rx_buf_rx_sel_bias_source</ipxact:name> + <ipxact:displayName>pma_rx_buf_rx_sel_bias_source</ipxact:displayName> + <ipxact:value>bias_vcmdrv</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_sup_mode" type="string"> + <ipxact:name>pma_rx_buf_sup_mode</ipxact:name> + <ipxact:displayName>pma_rx_buf_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_term_sel" type="string"> + <ipxact:name>pma_rx_buf_term_sel</ipxact:name> + <ipxact:displayName>pma_rx_buf_term_sel</ipxact:displayName> + <ipxact:value>r_r1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_vccela_supply_voltage" type="string"> + <ipxact:name>pma_rx_buf_vccela_supply_voltage</ipxact:name> + <ipxact:displayName>pma_rx_buf_vccela_supply_voltage</ipxact:displayName> + <ipxact:value>vccela_1p1v</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_vcm_current_add" type="string"> + <ipxact:name>pma_rx_buf_vcm_current_add</ipxact:name> + <ipxact:displayName>pma_rx_buf_vcm_current_add</ipxact:displayName> + <ipxact:value>vcm_current_default</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_vcm_sel" type="string"> + <ipxact:name>pma_rx_buf_vcm_sel</ipxact:name> + <ipxact:displayName>pma_rx_buf_vcm_sel</ipxact:displayName> + <ipxact:value>vcm_setting_03</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_eq_dc_gain_trim" type="string"> + <ipxact:name>pma_rx_buf_eq_dc_gain_trim</ipxact:name> + <ipxact:displayName>pma_rx_buf_eq_dc_gain_trim</ipxact:displayName> + <ipxact:value>stg2_gain7</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_offset_cancellation_coarse" type="string"> + <ipxact:name>pma_rx_buf_offset_cancellation_coarse</ipxact:name> + <ipxact:displayName>pma_rx_buf_offset_cancellation_coarse</ipxact:displayName> + <ipxact:value>coarse_setting_00</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_bodybias_select" type="string"> + <ipxact:name>pma_rx_buf_bodybias_select</ipxact:name> + <ipxact:displayName>pma_rx_buf_bodybias_select</ipxact:displayName> + <ipxact:value>bodybias_sel1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_bodybias_enable" type="string"> + <ipxact:name>pma_rx_buf_bodybias_enable</ipxact:name> + <ipxact:displayName>pma_rx_buf_bodybias_enable</ipxact:displayName> + <ipxact:value>bodybias_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_offset_cancellation_fine" type="string"> + <ipxact:name>pma_rx_buf_offset_cancellation_fine</ipxact:name> + <ipxact:displayName>pma_rx_buf_offset_cancellation_fine</ipxact:displayName> + <ipxact:value>fine_setting_00</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_act_isource_disable" type="string"> + <ipxact:name>pma_rx_buf_act_isource_disable</ipxact:name> + <ipxact:displayName>pma_rx_buf_act_isource_disable</ipxact:displayName> + <ipxact:value>isrc_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_one_stage_enable" type="string"> + <ipxact:name>pma_rx_buf_one_stage_enable</ipxact:name> + <ipxact:displayName>pma_rx_buf_one_stage_enable</ipxact:displayName> + <ipxact:value>s1_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_loopback_modes" type="string"> + <ipxact:name>pma_rx_buf_loopback_modes</ipxact:name> + <ipxact:displayName>pma_rx_buf_loopback_modes</ipxact:displayName> + <ipxact:value>lpbk_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_lfeq_zero_control" type="string"> + <ipxact:name>pma_rx_buf_lfeq_zero_control</ipxact:name> + <ipxact:displayName>pma_rx_buf_lfeq_zero_control</ipxact:displayName> + <ipxact:value>lfeq_setting_2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_initial_settings" type="string"> + <ipxact:name>pma_rx_buf_initial_settings</ipxact:name> + <ipxact:displayName>pma_rx_buf_initial_settings</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_lfeq_enable" type="string"> + <ipxact:name>pma_rx_buf_lfeq_enable</ipxact:name> + <ipxact:displayName>pma_rx_buf_lfeq_enable</ipxact:displayName> + <ipxact:value>non_lfeq_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_term_tri_enable" type="string"> + <ipxact:name>pma_rx_buf_term_tri_enable</ipxact:name> + <ipxact:displayName>pma_rx_buf_term_tri_enable</ipxact:displayName> + <ipxact:value>disable_tri</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_vga_bandwidth_select" type="string"> + <ipxact:name>pma_rx_buf_vga_bandwidth_select</ipxact:name> + <ipxact:displayName>pma_rx_buf_vga_bandwidth_select</ipxact:displayName> + <ipxact:value>vga_bw_1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_refclk_en" type="string"> + <ipxact:name>pma_rx_buf_refclk_en</ipxact:name> + <ipxact:displayName>pma_rx_buf_refclk_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_cgm_bias_disable" type="string"> + <ipxact:name>pma_rx_buf_cgm_bias_disable</ipxact:name> + <ipxact:displayName>pma_rx_buf_cgm_bias_disable</ipxact:displayName> + <ipxact:value>cgmbias_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_pm_tx_rx_pcie_gen" type="string"> + <ipxact:name>pma_rx_buf_pm_tx_rx_pcie_gen</ipxact:name> + <ipxact:displayName>pma_rx_buf_pm_tx_rx_pcie_gen</ipxact:displayName> + <ipxact:value>non_pcie</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_pm_tx_rx_pcie_gen_bitwidth" type="string"> + <ipxact:name>pma_rx_buf_pm_tx_rx_pcie_gen_bitwidth</ipxact:name> + <ipxact:displayName>pma_rx_buf_pm_tx_rx_pcie_gen_bitwidth</ipxact:displayName> + <ipxact:value>pcie_gen3_32b</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_pm_tx_rx_cvp_mode" type="string"> + <ipxact:name>pma_rx_buf_pm_tx_rx_cvp_mode</ipxact:name> + <ipxact:displayName>pma_rx_buf_pm_tx_rx_cvp_mode</ipxact:displayName> + <ipxact:value>cvp_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_pm_tx_rx_testmux_select" type="string"> + <ipxact:name>pma_rx_buf_pm_tx_rx_testmux_select</ipxact:name> + <ipxact:displayName>pma_rx_buf_pm_tx_rx_testmux_select</ipxact:displayName> + <ipxact:value>setting0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_xrx_path_jtag_hys" type="string"> + <ipxact:name>pma_rx_buf_xrx_path_jtag_hys</ipxact:name> + <ipxact:displayName>pma_rx_buf_xrx_path_jtag_hys</ipxact:displayName> + <ipxact:value>hys_increase_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_xrx_path_jtag_lp" type="string"> + <ipxact:name>pma_rx_buf_xrx_path_jtag_lp</ipxact:name> + <ipxact:displayName>pma_rx_buf_xrx_path_jtag_lp</ipxact:displayName> + <ipxact:value>lp_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_xrx_path_uc_rx_rstb" type="string"> + <ipxact:name>pma_rx_buf_xrx_path_uc_rx_rstb</ipxact:name> + <ipxact:displayName>pma_rx_buf_xrx_path_uc_rx_rstb</ipxact:displayName> + <ipxact:value>rx_reset_on</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_xrx_path_uc_pcie_sw" type="string"> + <ipxact:name>pma_rx_buf_xrx_path_uc_pcie_sw</ipxact:name> + <ipxact:displayName>pma_rx_buf_xrx_path_uc_pcie_sw</ipxact:displayName> + <ipxact:value>uc_pcie_gen1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_xrx_path_uc_cal_enable" type="string"> + <ipxact:name>pma_rx_buf_xrx_path_uc_cal_enable</ipxact:name> + <ipxact:displayName>pma_rx_buf_xrx_path_uc_cal_enable</ipxact:displayName> + <ipxact:value>rx_cal_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_xrx_path_uc_cru_rstb" type="string"> + <ipxact:name>pma_rx_buf_xrx_path_uc_cru_rstb</ipxact:name> + <ipxact:displayName>pma_rx_buf_xrx_path_uc_cru_rstb</ipxact:displayName> + <ipxact:value>cdr_lf_reset_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_xrx_path_sup_mode" type="string"> + <ipxact:name>pma_rx_buf_xrx_path_sup_mode</ipxact:name> + <ipxact:displayName>pma_rx_buf_xrx_path_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_power_rail_er" type="int"> + <ipxact:name>pma_rx_buf_power_rail_er</ipxact:name> + <ipxact:displayName>pma_rx_buf_power_rail_er</ipxact:displayName> + <ipxact:value>1030</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_power_rail_eht" type="int"> + <ipxact:name>pma_rx_buf_power_rail_eht</ipxact:name> + <ipxact:displayName>pma_rx_buf_power_rail_eht</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_xrx_path_gt_enabled" type="string"> + <ipxact:name>pma_rx_buf_xrx_path_gt_enabled</ipxact:name> + <ipxact:displayName>pma_rx_buf_xrx_path_gt_enabled</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_xrx_path_analog_mode" type="string"> + <ipxact:name>pma_rx_buf_xrx_path_analog_mode</ipxact:name> + <ipxact:displayName>pma_rx_buf_xrx_path_analog_mode</ipxact:displayName> + <ipxact:value>user_custom</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_xrx_path_prot_mode" type="string"> + <ipxact:name>pma_rx_buf_xrx_path_prot_mode</ipxact:name> + <ipxact:displayName>pma_rx_buf_xrx_path_prot_mode</ipxact:displayName> + <ipxact:value>basic_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_pm_speed_grade" type="string"> + <ipxact:name>pma_rx_buf_pm_speed_grade</ipxact:name> + <ipxact:displayName>pma_rx_buf_pm_speed_grade</ipxact:displayName> + <ipxact:value>e3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_power_mode" type="string"> + <ipxact:name>pma_rx_buf_power_mode</ipxact:name> + <ipxact:displayName>pma_rx_buf_power_mode</ipxact:displayName> + <ipxact:value>mid_power</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_iostandard" type="string"> + <ipxact:name>pma_rx_buf_iostandard</ipxact:name> + <ipxact:displayName>pma_rx_buf_iostandard</ipxact:displayName> + <ipxact:value>hssi_diffio</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_xrx_path_datarate" type="string"> + <ipxact:name>pma_rx_buf_xrx_path_datarate</ipxact:name> + <ipxact:displayName>pma_rx_buf_xrx_path_datarate</ipxact:displayName> + <ipxact:value>10312500000 bps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_xrx_path_datawidth" type="int"> + <ipxact:name>pma_rx_buf_xrx_path_datawidth</ipxact:name> + <ipxact:displayName>pma_rx_buf_xrx_path_datawidth</ipxact:displayName> + <ipxact:value>32</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_xrx_path_pma_rx_divclk_hz" type="string"> + <ipxact:name>pma_rx_buf_xrx_path_pma_rx_divclk_hz</ipxact:name> + <ipxact:displayName>pma_rx_buf_xrx_path_pma_rx_divclk_hz</ipxact:displayName> + <ipxact:value>322265625</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_xrx_path_optimal" type="string"> + <ipxact:name>pma_rx_buf_xrx_path_optimal</ipxact:name> + <ipxact:displayName>pma_rx_buf_xrx_path_optimal</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_link" type="string"> + <ipxact:name>pma_rx_buf_link</ipxact:name> + <ipxact:displayName>pma_rx_buf_link</ipxact:displayName> + <ipxact:value>sr</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_xrx_path_initial_settings" type="string"> + <ipxact:name>pma_rx_buf_xrx_path_initial_settings</ipxact:name> + <ipxact:displayName>pma_rx_buf_xrx_path_initial_settings</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_rx_vga_oc_en" type="string"> + <ipxact:name>pma_rx_buf_rx_vga_oc_en</ipxact:name> + <ipxact:displayName>pma_rx_buf_rx_vga_oc_en</ipxact:displayName> + <ipxact:value>vga_cal_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_sd_silicon_rev" type="string"> + <ipxact:name>pma_rx_sd_silicon_rev</ipxact:name> + <ipxact:displayName>pma_rx_sd_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_sd_link" type="string"> + <ipxact:name>pma_rx_sd_link</ipxact:name> + <ipxact:displayName>pma_rx_sd_link</ipxact:displayName> + <ipxact:value>sr</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_sd_optimal" type="string"> + <ipxact:name>pma_rx_sd_optimal</ipxact:name> + <ipxact:displayName>pma_rx_sd_optimal</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_sd_power_mode" type="string"> + <ipxact:name>pma_rx_sd_power_mode</ipxact:name> + <ipxact:displayName>pma_rx_sd_power_mode</ipxact:displayName> + <ipxact:value>mid_power</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_sd_prot_mode" type="string"> + <ipxact:name>pma_rx_sd_prot_mode</ipxact:name> + <ipxact:displayName>pma_rx_sd_prot_mode</ipxact:displayName> + <ipxact:value>basic_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_sd_sd_output_off" type="int"> + <ipxact:name>pma_rx_sd_sd_output_off</ipxact:name> + <ipxact:displayName>pma_rx_sd_sd_output_off</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_sd_sd_output_on" type="int"> + <ipxact:name>pma_rx_sd_sd_output_on</ipxact:name> + <ipxact:displayName>pma_rx_sd_sd_output_on</ipxact:displayName> + <ipxact:value>15</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_sd_sd_pdb" type="string"> + <ipxact:name>pma_rx_sd_sd_pdb</ipxact:name> + <ipxact:displayName>pma_rx_sd_sd_pdb</ipxact:displayName> + <ipxact:value>sd_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_sd_sd_threshold" type="string"> + <ipxact:name>pma_rx_sd_sd_threshold</ipxact:name> + <ipxact:displayName>pma_rx_sd_sd_threshold</ipxact:displayName> + <ipxact:value>sdlv_3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_sd_sup_mode" type="string"> + <ipxact:name>pma_rx_sd_sup_mode</ipxact:name> + <ipxact:displayName>pma_rx_sd_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_ser_silicon_rev" type="string"> + <ipxact:name>pma_tx_ser_silicon_rev</ipxact:name> + <ipxact:displayName>pma_tx_ser_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_ser_clk_divtx_deskew" type="string"> + <ipxact:name>pma_tx_ser_clk_divtx_deskew</ipxact:name> + <ipxact:displayName>pma_tx_ser_clk_divtx_deskew</ipxact:displayName> + <ipxact:value>deskew_delay8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_ser_control_clk_divtx" type="string"> + <ipxact:name>pma_tx_ser_control_clk_divtx</ipxact:name> + <ipxact:displayName>pma_tx_ser_control_clk_divtx</ipxact:displayName> + <ipxact:value>no_dft_control_clkdivtx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_ser_duty_cycle_correction_mode_ctrl" type="string"> + <ipxact:name>pma_tx_ser_duty_cycle_correction_mode_ctrl</ipxact:name> + <ipxact:displayName>pma_tx_ser_duty_cycle_correction_mode_ctrl</ipxact:displayName> + <ipxact:value>dcc_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_ser_ser_clk_divtx_user_sel" type="string"> + <ipxact:name>pma_tx_ser_ser_clk_divtx_user_sel</ipxact:name> + <ipxact:displayName>pma_tx_ser_ser_clk_divtx_user_sel</ipxact:displayName> + <ipxact:value>divtx_user_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_ser_ser_clk_mon" type="string"> + <ipxact:name>pma_tx_ser_ser_clk_mon</ipxact:name> + <ipxact:displayName>pma_tx_ser_ser_clk_mon</ipxact:displayName> + <ipxact:value>disable_clk_mon</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_ser_ser_powerdown" type="string"> + <ipxact:name>pma_tx_ser_ser_powerdown</ipxact:name> + <ipxact:displayName>pma_tx_ser_ser_powerdown</ipxact:displayName> + <ipxact:value>normal_poweron_ser</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_ser_sup_mode" type="string"> + <ipxact:name>pma_tx_ser_sup_mode</ipxact:name> + <ipxact:displayName>pma_tx_ser_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_ser_initial_settings" type="string"> + <ipxact:name>pma_tx_ser_initial_settings</ipxact:name> + <ipxact:displayName>pma_tx_ser_initial_settings</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_ser_prot_mode" type="string"> + <ipxact:name>pma_tx_ser_prot_mode</ipxact:name> + <ipxact:displayName>pma_tx_ser_prot_mode</ipxact:displayName> + <ipxact:value>basic_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_ser_bonding_mode" type="string"> + <ipxact:name>pma_tx_ser_bonding_mode</ipxact:name> + <ipxact:displayName>pma_tx_ser_bonding_mode</ipxact:displayName> + <ipxact:value>x1_non_bonded</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_silicon_rev" type="string"> + <ipxact:name>pma_tx_buf_silicon_rev</ipxact:name> + <ipxact:displayName>pma_tx_buf_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_datarate" type="string"> + <ipxact:name>pma_tx_buf_datarate</ipxact:name> + <ipxact:displayName>pma_tx_buf_datarate</ipxact:displayName> + <ipxact:value>10312500000 bps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_dft_sel" type="string"> + <ipxact:name>pma_tx_buf_dft_sel</ipxact:name> + <ipxact:displayName>pma_tx_buf_dft_sel</ipxact:displayName> + <ipxact:value>dft_disabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_duty_cycle_correction_bandwidth" type="string"> + <ipxact:name>pma_tx_buf_duty_cycle_correction_bandwidth</ipxact:name> + <ipxact:displayName>pma_tx_buf_duty_cycle_correction_bandwidth</ipxact:displayName> + <ipxact:value>dcc_bw_12</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_duty_cycle_correction_mode_ctrl" type="string"> + <ipxact:name>pma_tx_buf_duty_cycle_correction_mode_ctrl</ipxact:name> + <ipxact:displayName>pma_tx_buf_duty_cycle_correction_mode_ctrl</ipxact:displayName> + <ipxact:value>dcc_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_duty_cycle_input_polarity" type="string"> + <ipxact:name>pma_tx_buf_duty_cycle_input_polarity</ipxact:name> + <ipxact:displayName>pma_tx_buf_duty_cycle_input_polarity</ipxact:displayName> + <ipxact:value>dcc_input_pos</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_duty_cycle_setting" type="string"> + <ipxact:name>pma_tx_buf_duty_cycle_setting</ipxact:name> + <ipxact:displayName>pma_tx_buf_duty_cycle_setting</ipxact:displayName> + <ipxact:value>dcc_t32</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_duty_cycle_setting_aux" type="string"> + <ipxact:name>pma_tx_buf_duty_cycle_setting_aux</ipxact:name> + <ipxact:displayName>pma_tx_buf_duty_cycle_setting_aux</ipxact:displayName> + <ipxact:value>dcc2_t32</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_jtag_drv_sel" type="string"> + <ipxact:name>pma_tx_buf_jtag_drv_sel</ipxact:name> + <ipxact:displayName>pma_tx_buf_jtag_drv_sel</ipxact:displayName> + <ipxact:value>drv1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_jtag_lp" type="string"> + <ipxact:name>pma_tx_buf_jtag_lp</ipxact:name> + <ipxact:displayName>pma_tx_buf_jtag_lp</ipxact:displayName> + <ipxact:value>lp_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_link_tx" type="string"> + <ipxact:name>pma_tx_buf_link_tx</ipxact:name> + <ipxact:displayName>pma_tx_buf_link_tx</ipxact:displayName> + <ipxact:value>sr</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_lst" type="string"> + <ipxact:name>pma_tx_buf_lst</ipxact:name> + <ipxact:displayName>pma_tx_buf_lst</ipxact:displayName> + <ipxact:value>atb_disabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_optimal" type="string"> + <ipxact:name>pma_tx_buf_optimal</ipxact:name> + <ipxact:displayName>pma_tx_buf_optimal</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_pre_emp_sign_1st_post_tap" type="string"> + <ipxact:name>pma_tx_buf_pre_emp_sign_1st_post_tap</ipxact:name> + <ipxact:displayName>pma_tx_buf_pre_emp_sign_1st_post_tap</ipxact:displayName> + <ipxact:value>fir_post_1t_neg</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_pre_emp_sign_2nd_post_tap" type="string"> + <ipxact:name>pma_tx_buf_pre_emp_sign_2nd_post_tap</ipxact:name> + <ipxact:displayName>pma_tx_buf_pre_emp_sign_2nd_post_tap</ipxact:displayName> + <ipxact:value>fir_post_2t_neg</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_pre_emp_sign_pre_tap_1t" type="string"> + <ipxact:name>pma_tx_buf_pre_emp_sign_pre_tap_1t</ipxact:name> + <ipxact:displayName>pma_tx_buf_pre_emp_sign_pre_tap_1t</ipxact:displayName> + <ipxact:value>fir_pre_1t_neg</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_pre_emp_sign_pre_tap_2t" type="string"> + <ipxact:name>pma_tx_buf_pre_emp_sign_pre_tap_2t</ipxact:name> + <ipxact:displayName>pma_tx_buf_pre_emp_sign_pre_tap_2t</ipxact:displayName> + <ipxact:value>fir_pre_2t_neg</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_pre_emp_switching_ctrl_1st_post_tap" type="int"> + <ipxact:name>pma_tx_buf_pre_emp_switching_ctrl_1st_post_tap</ipxact:name> + <ipxact:displayName>pma_tx_buf_pre_emp_switching_ctrl_1st_post_tap</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_pre_emp_switching_ctrl_2nd_post_tap" type="int"> + <ipxact:name>pma_tx_buf_pre_emp_switching_ctrl_2nd_post_tap</ipxact:name> + <ipxact:displayName>pma_tx_buf_pre_emp_switching_ctrl_2nd_post_tap</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_pre_emp_switching_ctrl_pre_tap_1t" type="int"> + <ipxact:name>pma_tx_buf_pre_emp_switching_ctrl_pre_tap_1t</ipxact:name> + <ipxact:displayName>pma_tx_buf_pre_emp_switching_ctrl_pre_tap_1t</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_pre_emp_switching_ctrl_pre_tap_2t" type="int"> + <ipxact:name>pma_tx_buf_pre_emp_switching_ctrl_pre_tap_2t</ipxact:name> + <ipxact:displayName>pma_tx_buf_pre_emp_switching_ctrl_pre_tap_2t</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_prot_mode" type="string"> + <ipxact:name>pma_tx_buf_prot_mode</ipxact:name> + <ipxact:displayName>pma_tx_buf_prot_mode</ipxact:displayName> + <ipxact:value>basic_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_rx_det" type="string"> + <ipxact:name>pma_tx_buf_rx_det</ipxact:name> + <ipxact:displayName>pma_tx_buf_rx_det</ipxact:displayName> + <ipxact:value>mode_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_rx_det_output_sel" type="string"> + <ipxact:name>pma_tx_buf_rx_det_output_sel</ipxact:name> + <ipxact:displayName>pma_tx_buf_rx_det_output_sel</ipxact:displayName> + <ipxact:value>rx_det_pcie_out</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_rx_det_pdb" type="string"> + <ipxact:name>pma_tx_buf_rx_det_pdb</ipxact:name> + <ipxact:displayName>pma_tx_buf_rx_det_pdb</ipxact:displayName> + <ipxact:value>rx_det_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_slew_rate_ctrl" type="string"> + <ipxact:name>pma_tx_buf_slew_rate_ctrl</ipxact:name> + <ipxact:displayName>pma_tx_buf_slew_rate_ctrl</ipxact:displayName> + <ipxact:value>slew_r5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_sup_mode" type="string"> + <ipxact:name>pma_tx_buf_sup_mode</ipxact:name> + <ipxact:displayName>pma_tx_buf_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_term_code" type="string"> + <ipxact:name>pma_tx_buf_term_code</ipxact:name> + <ipxact:displayName>pma_tx_buf_term_code</ipxact:displayName> + <ipxact:value>rterm_code7</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_term_sel" type="string"> + <ipxact:name>pma_tx_buf_term_sel</ipxact:name> + <ipxact:displayName>pma_tx_buf_term_sel</ipxact:displayName> + <ipxact:value>r_r1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_tx_powerdown" type="string"> + <ipxact:name>pma_tx_buf_tx_powerdown</ipxact:name> + <ipxact:displayName>pma_tx_buf_tx_powerdown</ipxact:displayName> + <ipxact:value>normal_tx_on</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_user_fir_coeff_ctrl_sel" type="string"> + <ipxact:name>pma_tx_buf_user_fir_coeff_ctrl_sel</ipxact:name> + <ipxact:displayName>pma_tx_buf_user_fir_coeff_ctrl_sel</ipxact:displayName> + <ipxact:value>ram_ctl</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_vod_output_swing_ctrl" type="int"> + <ipxact:name>pma_tx_buf_vod_output_swing_ctrl</ipxact:name> + <ipxact:displayName>pma_tx_buf_vod_output_swing_ctrl</ipxact:displayName> + <ipxact:value>31</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_initial_settings" type="string"> + <ipxact:name>pma_tx_buf_initial_settings</ipxact:name> + <ipxact:displayName>pma_tx_buf_initial_settings</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_duty_cycle_correction_reference2" type="string"> + <ipxact:name>pma_tx_buf_duty_cycle_correction_reference2</ipxact:name> + <ipxact:displayName>pma_tx_buf_duty_cycle_correction_reference2</ipxact:displayName> + <ipxact:value>dcc_ref2_3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_ser_powerdown" type="string"> + <ipxact:name>pma_tx_buf_ser_powerdown</ipxact:name> + <ipxact:displayName>pma_tx_buf_ser_powerdown</ipxact:displayName> + <ipxact:value>normal_ser_on</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_swing_level" type="string"> + <ipxact:name>pma_tx_buf_swing_level</ipxact:name> + <ipxact:displayName>pma_tx_buf_swing_level</ipxact:displayName> + <ipxact:value>lv</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_vreg_output" type="string"> + <ipxact:name>pma_tx_buf_vreg_output</ipxact:name> + <ipxact:displayName>pma_tx_buf_vreg_output</ipxact:displayName> + <ipxact:value>vccdreg_nominal</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_duty_cycle_correction_reference1" type="string"> + <ipxact:name>pma_tx_buf_duty_cycle_correction_reference1</ipxact:name> + <ipxact:displayName>pma_tx_buf_duty_cycle_correction_reference1</ipxact:displayName> + <ipxact:value>dcc_ref1_3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_duty_cycle_correction_reset_n" type="string"> + <ipxact:name>pma_tx_buf_duty_cycle_correction_reset_n</ipxact:name> + <ipxact:displayName>pma_tx_buf_duty_cycle_correction_reset_n</ipxact:displayName> + <ipxact:value>reset_n</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_res_cal_local" type="string"> + <ipxact:name>pma_tx_buf_res_cal_local</ipxact:name> + <ipxact:displayName>pma_tx_buf_res_cal_local</ipxact:displayName> + <ipxact:value>non_local</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_term_n_tune" type="string"> + <ipxact:name>pma_tx_buf_term_n_tune</ipxact:name> + <ipxact:displayName>pma_tx_buf_term_n_tune</ipxact:displayName> + <ipxact:value>rterm_n0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_cpen_ctrl" type="string"> + <ipxact:name>pma_tx_buf_cpen_ctrl</ipxact:name> + <ipxact:displayName>pma_tx_buf_cpen_ctrl</ipxact:displayName> + <ipxact:value>cp_l0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_term_p_tune" type="string"> + <ipxact:name>pma_tx_buf_term_p_tune</ipxact:name> + <ipxact:displayName>pma_tx_buf_term_p_tune</ipxact:displayName> + <ipxact:value>rterm_p0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_calibration_en" type="string"> + <ipxact:name>pma_tx_buf_calibration_en</ipxact:name> + <ipxact:displayName>pma_tx_buf_calibration_en</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_low_power_en" type="string"> + <ipxact:name>pma_tx_buf_low_power_en</ipxact:name> + <ipxact:displayName>pma_tx_buf_low_power_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_compensation_en" type="string"> + <ipxact:name>pma_tx_buf_compensation_en</ipxact:name> + <ipxact:displayName>pma_tx_buf_compensation_en</ipxact:displayName> + <ipxact:value>enable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_dcd_detection_en" type="string"> + <ipxact:name>pma_tx_buf_dcd_detection_en</ipxact:name> + <ipxact:displayName>pma_tx_buf_dcd_detection_en</ipxact:displayName> + <ipxact:value>enable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_uc_txvod_cal" type="string"> + <ipxact:name>pma_tx_buf_uc_txvod_cal</ipxact:name> + <ipxact:displayName>pma_tx_buf_uc_txvod_cal</ipxact:displayName> + <ipxact:value>uc_tx_vod_cal_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_uc_txvod_cal_cont" type="string"> + <ipxact:name>pma_tx_buf_uc_txvod_cal_cont</ipxact:name> + <ipxact:displayName>pma_tx_buf_uc_txvod_cal_cont</ipxact:displayName> + <ipxact:value>uc_tx_vod_cal_cont_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_uc_skew_cal" type="string"> + <ipxact:name>pma_tx_buf_uc_skew_cal</ipxact:name> + <ipxact:displayName>pma_tx_buf_uc_skew_cal</ipxact:displayName> + <ipxact:value>uc_skew_cal_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_uc_dcd_cal" type="string"> + <ipxact:name>pma_tx_buf_uc_dcd_cal</ipxact:name> + <ipxact:displayName>pma_tx_buf_uc_dcd_cal</ipxact:displayName> + <ipxact:value>uc_dcd_cal_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_uc_txvod_cal_status" type="string"> + <ipxact:name>pma_tx_buf_uc_txvod_cal_status</ipxact:name> + <ipxact:displayName>pma_tx_buf_uc_txvod_cal_status</ipxact:displayName> + <ipxact:value>uc_tx_vod_cal_notdone</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_uc_skew_cal_status" type="string"> + <ipxact:name>pma_tx_buf_uc_skew_cal_status</ipxact:name> + <ipxact:displayName>pma_tx_buf_uc_skew_cal_status</ipxact:displayName> + <ipxact:value>uc_skew_cal_notdone</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_uc_dcd_cal_status" type="string"> + <ipxact:name>pma_tx_buf_uc_dcd_cal_status</ipxact:name> + <ipxact:displayName>pma_tx_buf_uc_dcd_cal_status</ipxact:displayName> + <ipxact:value>uc_dcd_cal_notdone</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_uc_gen3" type="string"> + <ipxact:name>pma_tx_buf_uc_gen3</ipxact:name> + <ipxact:displayName>pma_tx_buf_uc_gen3</ipxact:displayName> + <ipxact:value>gen3_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_uc_gen4" type="string"> + <ipxact:name>pma_tx_buf_uc_gen4</ipxact:name> + <ipxact:displayName>pma_tx_buf_uc_gen4</ipxact:displayName> + <ipxact:value>gen4_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_uc_vcc_setting" type="string"> + <ipxact:name>pma_tx_buf_uc_vcc_setting</ipxact:name> + <ipxact:displayName>pma_tx_buf_uc_vcc_setting</ipxact:displayName> + <ipxact:value>vcc_setting1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_mcgb_location_for_pcie" type="int"> + <ipxact:name>pma_tx_buf_mcgb_location_for_pcie</ipxact:name> + <ipxact:displayName>pma_tx_buf_mcgb_location_for_pcie</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_xtx_path_prot_mode" type="string"> + <ipxact:name>pma_tx_buf_xtx_path_prot_mode</ipxact:name> + <ipxact:displayName>pma_tx_buf_xtx_path_prot_mode</ipxact:displayName> + <ipxact:value>basic_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_xtx_path_optimal" type="string"> + <ipxact:name>pma_tx_buf_xtx_path_optimal</ipxact:name> + <ipxact:displayName>pma_tx_buf_xtx_path_optimal</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_xtx_path_datarate" type="string"> + <ipxact:name>pma_tx_buf_xtx_path_datarate</ipxact:name> + <ipxact:displayName>pma_tx_buf_xtx_path_datarate</ipxact:displayName> + <ipxact:value>10312500000 bps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_xtx_path_datawidth" type="int"> + <ipxact:name>pma_tx_buf_xtx_path_datawidth</ipxact:name> + <ipxact:displayName>pma_tx_buf_xtx_path_datawidth</ipxact:displayName> + <ipxact:value>32</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_xtx_path_clock_divider_ratio" type="int"> + <ipxact:name>pma_tx_buf_xtx_path_clock_divider_ratio</ipxact:name> + <ipxact:displayName>pma_tx_buf_xtx_path_clock_divider_ratio</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_xtx_path_pma_tx_divclk_hz" type="string"> + <ipxact:name>pma_tx_buf_xtx_path_pma_tx_divclk_hz</ipxact:name> + <ipxact:displayName>pma_tx_buf_xtx_path_pma_tx_divclk_hz</ipxact:displayName> + <ipxact:value>322265625</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_xtx_path_tx_pll_clk_hz" type="string"> + <ipxact:name>pma_tx_buf_xtx_path_tx_pll_clk_hz</ipxact:name> + <ipxact:displayName>pma_tx_buf_xtx_path_tx_pll_clk_hz</ipxact:displayName> + <ipxact:value>5156250000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_link" type="string"> + <ipxact:name>pma_tx_buf_link</ipxact:name> + <ipxact:displayName>pma_tx_buf_link</ipxact:displayName> + <ipxact:value>sr</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_xtx_path_swing_level" type="string"> + <ipxact:name>pma_tx_buf_xtx_path_swing_level</ipxact:name> + <ipxact:displayName>pma_tx_buf_xtx_path_swing_level</ipxact:displayName> + <ipxact:value>lv</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_xtx_path_sup_mode" type="string"> + <ipxact:name>pma_tx_buf_xtx_path_sup_mode</ipxact:name> + <ipxact:displayName>pma_tx_buf_xtx_path_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_xtx_path_initial_settings" type="string"> + <ipxact:name>pma_tx_buf_xtx_path_initial_settings</ipxact:name> + <ipxact:displayName>pma_tx_buf_xtx_path_initial_settings</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_xtx_path_calibration_en" type="string"> + <ipxact:name>pma_tx_buf_xtx_path_calibration_en</ipxact:name> + <ipxact:displayName>pma_tx_buf_xtx_path_calibration_en</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_xtx_path_bonding_mode" type="string"> + <ipxact:name>pma_tx_buf_xtx_path_bonding_mode</ipxact:name> + <ipxact:displayName>pma_tx_buf_xtx_path_bonding_mode</ipxact:displayName> + <ipxact:value>x1_non_bonded</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_pm_speed_grade" type="string"> + <ipxact:name>pma_tx_buf_pm_speed_grade</ipxact:name> + <ipxact:displayName>pma_tx_buf_pm_speed_grade</ipxact:displayName> + <ipxact:value>e3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_power_mode" type="string"> + <ipxact:name>pma_tx_buf_power_mode</ipxact:name> + <ipxact:displayName>pma_tx_buf_power_mode</ipxact:displayName> + <ipxact:value>mid_power</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_power_rail_et" type="int"> + <ipxact:name>pma_tx_buf_power_rail_et</ipxact:name> + <ipxact:displayName>pma_tx_buf_power_rail_et</ipxact:displayName> + <ipxact:value>1030</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_power_rail_eht" type="int"> + <ipxact:name>pma_tx_buf_power_rail_eht</ipxact:name> + <ipxact:displayName>pma_tx_buf_power_rail_eht</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_xtx_path_gt_enabled" type="string"> + <ipxact:name>pma_tx_buf_xtx_path_gt_enabled</ipxact:name> + <ipxact:displayName>pma_tx_buf_xtx_path_gt_enabled</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_xtx_path_analog_mode" type="string"> + <ipxact:name>pma_tx_buf_xtx_path_analog_mode</ipxact:name> + <ipxact:displayName>pma_tx_buf_xtx_path_analog_mode</ipxact:displayName> + <ipxact:value>user_custom</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_compensation_driver_en" type="string"> + <ipxact:name>pma_tx_buf_compensation_driver_en</ipxact:name> + <ipxact:displayName>pma_tx_buf_compensation_driver_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_sense_amp_offset_cal_curr_p" type="int"> + <ipxact:name>pma_tx_buf_sense_amp_offset_cal_curr_p</ipxact:name> + <ipxact:displayName>pma_tx_buf_sense_amp_offset_cal_curr_p</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_chgpmp_current_dn_trim" type="string"> + <ipxact:name>pma_tx_buf_chgpmp_current_dn_trim</ipxact:name> + <ipxact:displayName>pma_tx_buf_chgpmp_current_dn_trim</ipxact:displayName> + <ipxact:value>cp_current_trimming_dn_setting0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_duty_cycle_correction_bandwidth_dn" type="string"> + <ipxact:name>pma_tx_buf_duty_cycle_correction_bandwidth_dn</ipxact:name> + <ipxact:displayName>pma_tx_buf_duty_cycle_correction_bandwidth_dn</ipxact:displayName> + <ipxact:value>dcd_bw_dn_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_sense_amp_offset_cal_curr_n" type="string"> + <ipxact:name>pma_tx_buf_sense_amp_offset_cal_curr_n</ipxact:name> + <ipxact:displayName>pma_tx_buf_sense_amp_offset_cal_curr_n</ipxact:displayName> + <ipxact:value>sa_os_cal_in_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_chgpmp_current_up_trim" type="string"> + <ipxact:name>pma_tx_buf_chgpmp_current_up_trim</ipxact:name> + <ipxact:displayName>pma_tx_buf_chgpmp_current_up_trim</ipxact:displayName> + <ipxact:value>cp_current_trimming_up_setting0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_chgpmp_up_trim_double" type="string"> + <ipxact:name>pma_tx_buf_chgpmp_up_trim_double</ipxact:name> + <ipxact:displayName>pma_tx_buf_chgpmp_up_trim_double</ipxact:displayName> + <ipxact:value>normal_up_trim_current</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_duty_cycle_cp_comp_en" type="string"> + <ipxact:name>pma_tx_buf_duty_cycle_cp_comp_en</ipxact:name> + <ipxact:displayName>pma_tx_buf_duty_cycle_cp_comp_en</ipxact:displayName> + <ipxact:value>cp_comp_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_dcd_clk_div_ctrl" type="string"> + <ipxact:name>pma_tx_buf_dcd_clk_div_ctrl</ipxact:name> + <ipxact:displayName>pma_tx_buf_dcd_clk_div_ctrl</ipxact:displayName> + <ipxact:value>dcd_ck_div128</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_duty_cycle_detector_sa_cal" type="string"> + <ipxact:name>pma_tx_buf_duty_cycle_detector_sa_cal</ipxact:name> + <ipxact:displayName>pma_tx_buf_duty_cycle_detector_sa_cal</ipxact:displayName> + <ipxact:value>dcd_sa_cal_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_duty_cycle_detector_cp_cal" type="string"> + <ipxact:name>pma_tx_buf_duty_cycle_detector_cp_cal</ipxact:name> + <ipxact:displayName>pma_tx_buf_duty_cycle_detector_cp_cal</ipxact:displayName> + <ipxact:value>dcd_cp_cal_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_tri_driver" type="string"> + <ipxact:name>pma_tx_buf_tri_driver</ipxact:name> + <ipxact:displayName>pma_tx_buf_tri_driver</ipxact:displayName> + <ipxact:value>tri_driver_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_cdr_cp_calibration_en" type="string"> + <ipxact:name>pma_tx_buf_cdr_cp_calibration_en</ipxact:name> + <ipxact:displayName>pma_tx_buf_cdr_cp_calibration_en</ipxact:displayName> + <ipxact:value>cdr_cp_cal_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_chgpmp_dn_trim_double" type="string"> + <ipxact:name>pma_tx_buf_chgpmp_dn_trim_double</ipxact:name> + <ipxact:displayName>pma_tx_buf_chgpmp_dn_trim_double</ipxact:displayName> + <ipxact:value>normal_dn_trim_current</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_calibration_resistor_value" type="string"> + <ipxact:name>pma_tx_buf_calibration_resistor_value</ipxact:name> + <ipxact:displayName>pma_tx_buf_calibration_resistor_value</ipxact:displayName> + <ipxact:value>res_setting0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_enable_idle_tx_channel_support" type="string"> + <ipxact:name>pma_tx_buf_enable_idle_tx_channel_support</ipxact:name> + <ipxact:displayName>pma_tx_buf_enable_idle_tx_channel_support</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_silicon_rev" type="string"> + <ipxact:name>cdr_pll_silicon_rev</ipxact:name> + <ipxact:displayName>cdr_pll_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_pma_width" type="int"> + <ipxact:name>cdr_pll_pma_width</ipxact:name> + <ipxact:displayName>cdr_pll_pma_width</ipxact:displayName> + <ipxact:value>32</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_cgb_div" type="int"> + <ipxact:name>cdr_pll_cgb_div</ipxact:name> + <ipxact:displayName>cdr_pll_cgb_div</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_is_cascaded_pll" type="string"> + <ipxact:name>cdr_pll_is_cascaded_pll</ipxact:name> + <ipxact:displayName>cdr_pll_is_cascaded_pll</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_bandwidth_range_high" type="string"> + <ipxact:name>cdr_pll_bandwidth_range_high</ipxact:name> + <ipxact:displayName>cdr_pll_bandwidth_range_high</ipxact:displayName> + <ipxact:value>0 hz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_bandwidth_range_low" type="string"> + <ipxact:name>cdr_pll_bandwidth_range_low</ipxact:name> + <ipxact:displayName>cdr_pll_bandwidth_range_low</ipxact:displayName> + <ipxact:value>0 hz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_datarate" type="string"> + <ipxact:name>cdr_pll_datarate</ipxact:name> + <ipxact:displayName>cdr_pll_datarate</ipxact:displayName> + <ipxact:value>10312500000 bps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_f_max_pfd" type="string"> + <ipxact:name>cdr_pll_f_max_pfd</ipxact:name> + <ipxact:displayName>cdr_pll_f_max_pfd</ipxact:displayName> + <ipxact:value>350000000 Hz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_f_max_ref" type="string"> + <ipxact:name>cdr_pll_f_max_ref</ipxact:name> + <ipxact:displayName>cdr_pll_f_max_ref</ipxact:displayName> + <ipxact:value>800000000 Hz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_f_max_vco" type="string"> + <ipxact:name>cdr_pll_f_max_vco</ipxact:name> + <ipxact:displayName>cdr_pll_f_max_vco</ipxact:displayName> + <ipxact:value>9800000000 Hz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_f_min_gt_channel" type="string"> + <ipxact:name>cdr_pll_f_min_gt_channel</ipxact:name> + <ipxact:displayName>cdr_pll_f_min_gt_channel</ipxact:displayName> + <ipxact:value>8700000000 Hz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_f_min_pfd" type="string"> + <ipxact:name>cdr_pll_f_min_pfd</ipxact:name> + <ipxact:displayName>cdr_pll_f_min_pfd</ipxact:displayName> + <ipxact:value>50000000 Hz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_f_min_ref" type="string"> + <ipxact:name>cdr_pll_f_min_ref</ipxact:name> + <ipxact:displayName>cdr_pll_f_min_ref</ipxact:displayName> + <ipxact:value>50000000 Hz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_f_min_vco" type="string"> + <ipxact:name>cdr_pll_f_min_vco</ipxact:name> + <ipxact:displayName>cdr_pll_f_min_vco</ipxact:displayName> + <ipxact:value>4900000000 Hz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_lpd_counter" type="int"> + <ipxact:name>cdr_pll_lpd_counter</ipxact:name> + <ipxact:displayName>cdr_pll_lpd_counter</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_lpfd_counter" type="int"> + <ipxact:name>cdr_pll_lpfd_counter</ipxact:name> + <ipxact:displayName>cdr_pll_lpfd_counter</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_n_counter_scratch" type="int"> + <ipxact:name>cdr_pll_n_counter_scratch</ipxact:name> + <ipxact:displayName>cdr_pll_n_counter_scratch</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_output_clock_frequency" type="string"> + <ipxact:name>cdr_pll_output_clock_frequency</ipxact:name> + <ipxact:displayName>cdr_pll_output_clock_frequency</ipxact:displayName> + <ipxact:value>5156250000 Hz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_reference_clock_frequency" type="string"> + <ipxact:name>cdr_pll_reference_clock_frequency</ipxact:name> + <ipxact:displayName>cdr_pll_reference_clock_frequency</ipxact:displayName> + <ipxact:value>644531250 hz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_set_cdr_vco_speed" type="int"> + <ipxact:name>cdr_pll_set_cdr_vco_speed</ipxact:name> + <ipxact:displayName>cdr_pll_set_cdr_vco_speed</ipxact:displayName> + <ipxact:value>3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_set_cdr_vco_speed_fix" type="int"> + <ipxact:name>cdr_pll_set_cdr_vco_speed_fix</ipxact:name> + <ipxact:displayName>cdr_pll_set_cdr_vco_speed_fix</ipxact:displayName> + <ipxact:value>60</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_vco_freq" type="string"> + <ipxact:name>cdr_pll_vco_freq</ipxact:name> + <ipxact:displayName>cdr_pll_vco_freq</ipxact:displayName> + <ipxact:value>5156250000 Hz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_atb_select_control" type="string"> + <ipxact:name>cdr_pll_atb_select_control</ipxact:name> + <ipxact:displayName>cdr_pll_atb_select_control</ipxact:displayName> + <ipxact:value>atb_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_auto_reset_on" type="string"> + <ipxact:name>cdr_pll_auto_reset_on</ipxact:name> + <ipxact:displayName>cdr_pll_auto_reset_on</ipxact:displayName> + <ipxact:value>auto_reset_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_bbpd_data_pattern_filter_select" type="string"> + <ipxact:name>cdr_pll_bbpd_data_pattern_filter_select</ipxact:name> + <ipxact:displayName>cdr_pll_bbpd_data_pattern_filter_select</ipxact:displayName> + <ipxact:value>bbpd_data_pat_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_bw_sel" type="string"> + <ipxact:name>cdr_pll_bw_sel</ipxact:name> + <ipxact:displayName>cdr_pll_bw_sel</ipxact:displayName> + <ipxact:value>medium</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_cdr_odi_select" type="string"> + <ipxact:name>cdr_pll_cdr_odi_select</ipxact:name> + <ipxact:displayName>cdr_pll_cdr_odi_select</ipxact:displayName> + <ipxact:value>sel_cdr</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_cdr_phaselock_mode" type="string"> + <ipxact:name>cdr_pll_cdr_phaselock_mode</ipxact:name> + <ipxact:displayName>cdr_pll_cdr_phaselock_mode</ipxact:displayName> + <ipxact:value>no_ignore_lock</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_cdr_powerdown_mode" type="string"> + <ipxact:name>cdr_pll_cdr_powerdown_mode</ipxact:name> + <ipxact:displayName>cdr_pll_cdr_powerdown_mode</ipxact:displayName> + <ipxact:value>power_up</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_chgpmp_current_pd" type="string"> + <ipxact:name>cdr_pll_chgpmp_current_pd</ipxact:name> + <ipxact:displayName>cdr_pll_chgpmp_current_pd</ipxact:displayName> + <ipxact:value>cp_current_pd_setting0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_chgpmp_current_pfd" type="string"> + <ipxact:name>cdr_pll_chgpmp_current_pfd</ipxact:name> + <ipxact:displayName>cdr_pll_chgpmp_current_pfd</ipxact:displayName> + <ipxact:value>cp_current_pfd_setting2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_chgpmp_replicate" type="string"> + <ipxact:name>cdr_pll_chgpmp_replicate</ipxact:name> + <ipxact:displayName>cdr_pll_chgpmp_replicate</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_chgpmp_testmode" type="string"> + <ipxact:name>cdr_pll_chgpmp_testmode</ipxact:name> + <ipxact:displayName>cdr_pll_chgpmp_testmode</ipxact:displayName> + <ipxact:value>cp_test_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_clklow_mux_select" type="string"> + <ipxact:name>cdr_pll_clklow_mux_select</ipxact:name> + <ipxact:displayName>cdr_pll_clklow_mux_select</ipxact:displayName> + <ipxact:value>clklow_mux_cdr_fbclk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_diag_loopback_enable" type="string"> + <ipxact:name>cdr_pll_diag_loopback_enable</ipxact:name> + <ipxact:displayName>cdr_pll_diag_loopback_enable</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_disable_up_dn" type="string"> + <ipxact:name>cdr_pll_disable_up_dn</ipxact:name> + <ipxact:displayName>cdr_pll_disable_up_dn</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_fref_clklow_div" type="int"> + <ipxact:name>cdr_pll_fref_clklow_div</ipxact:name> + <ipxact:displayName>cdr_pll_fref_clklow_div</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_fref_mux_select" type="string"> + <ipxact:name>cdr_pll_fref_mux_select</ipxact:name> + <ipxact:displayName>cdr_pll_fref_mux_select</ipxact:displayName> + <ipxact:value>fref_mux_cdr_refclk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_gpon_lck2ref_control" type="string"> + <ipxact:name>cdr_pll_gpon_lck2ref_control</ipxact:name> + <ipxact:displayName>cdr_pll_gpon_lck2ref_control</ipxact:displayName> + <ipxact:value>gpon_lck2ref_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_initial_settings" type="string"> + <ipxact:name>cdr_pll_initial_settings</ipxact:name> + <ipxact:displayName>cdr_pll_initial_settings</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_lck2ref_delay_control" type="string"> + <ipxact:name>cdr_pll_lck2ref_delay_control</ipxact:name> + <ipxact:displayName>cdr_pll_lck2ref_delay_control</ipxact:displayName> + <ipxact:value>lck2ref_delay_2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_lf_resistor_pd" type="string"> + <ipxact:name>cdr_pll_lf_resistor_pd</ipxact:name> + <ipxact:displayName>cdr_pll_lf_resistor_pd</ipxact:displayName> + <ipxact:value>lf_pd_setting2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_lf_resistor_pfd" type="string"> + <ipxact:name>cdr_pll_lf_resistor_pfd</ipxact:name> + <ipxact:displayName>cdr_pll_lf_resistor_pfd</ipxact:displayName> + <ipxact:value>lf_pfd_setting2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_lf_ripple_cap" type="string"> + <ipxact:name>cdr_pll_lf_ripple_cap</ipxact:name> + <ipxact:displayName>cdr_pll_lf_ripple_cap</ipxact:displayName> + <ipxact:value>lf_no_ripple</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_loop_filter_bias_select" type="string"> + <ipxact:name>cdr_pll_loop_filter_bias_select</ipxact:name> + <ipxact:displayName>cdr_pll_loop_filter_bias_select</ipxact:displayName> + <ipxact:value>lpflt_bias_7</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_loopback_mode" type="string"> + <ipxact:name>cdr_pll_loopback_mode</ipxact:name> + <ipxact:displayName>cdr_pll_loopback_mode</ipxact:displayName> + <ipxact:value>loopback_disabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_ltd_ltr_micro_controller_select" type="string"> + <ipxact:name>cdr_pll_ltd_ltr_micro_controller_select</ipxact:name> + <ipxact:displayName>cdr_pll_ltd_ltr_micro_controller_select</ipxact:displayName> + <ipxact:value>ltd_ltr_pcs</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_m_counter" type="int"> + <ipxact:name>cdr_pll_m_counter</ipxact:name> + <ipxact:displayName>cdr_pll_m_counter</ipxact:displayName> + <ipxact:value>16</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_n_counter" type="int"> + <ipxact:name>cdr_pll_n_counter</ipxact:name> + <ipxact:displayName>cdr_pll_n_counter</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_optimal" type="string"> + <ipxact:name>cdr_pll_optimal</ipxact:name> + <ipxact:displayName>cdr_pll_optimal</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_pd_fastlock_mode" type="string"> + <ipxact:name>cdr_pll_pd_fastlock_mode</ipxact:name> + <ipxact:displayName>cdr_pll_pd_fastlock_mode</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_pd_l_counter" type="int"> + <ipxact:name>cdr_pll_pd_l_counter</ipxact:name> + <ipxact:displayName>cdr_pll_pd_l_counter</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_pfd_l_counter" type="int"> + <ipxact:name>cdr_pll_pfd_l_counter</ipxact:name> + <ipxact:displayName>cdr_pll_pfd_l_counter</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_position" type="string"> + <ipxact:name>cdr_pll_position</ipxact:name> + <ipxact:displayName>cdr_pll_position</ipxact:displayName> + <ipxact:value>position_unknown</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_power_mode" type="string"> + <ipxact:name>cdr_pll_power_mode</ipxact:name> + <ipxact:displayName>cdr_pll_power_mode</ipxact:displayName> + <ipxact:value>mid_power</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_primary_use" type="string"> + <ipxact:name>cdr_pll_primary_use</ipxact:name> + <ipxact:displayName>cdr_pll_primary_use</ipxact:displayName> + <ipxact:value>cdr</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_prot_mode" type="string"> + <ipxact:name>cdr_pll_prot_mode</ipxact:name> + <ipxact:displayName>cdr_pll_prot_mode</ipxact:displayName> + <ipxact:value>basic_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_requires_gt_capable_channel" type="string"> + <ipxact:name>cdr_pll_requires_gt_capable_channel</ipxact:name> + <ipxact:displayName>cdr_pll_requires_gt_capable_channel</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_reverse_serial_loopback" type="string"> + <ipxact:name>cdr_pll_reverse_serial_loopback</ipxact:name> + <ipxact:displayName>cdr_pll_reverse_serial_loopback</ipxact:displayName> + <ipxact:value>no_loopback</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_set_cdr_v2i_enable" type="string"> + <ipxact:name>cdr_pll_set_cdr_v2i_enable</ipxact:name> + <ipxact:displayName>cdr_pll_set_cdr_v2i_enable</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_set_cdr_vco_reset" type="string"> + <ipxact:name>cdr_pll_set_cdr_vco_reset</ipxact:name> + <ipxact:displayName>cdr_pll_set_cdr_vco_reset</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_set_cdr_vco_speed_pciegen3" type="string"> + <ipxact:name>cdr_pll_set_cdr_vco_speed_pciegen3</ipxact:name> + <ipxact:displayName>cdr_pll_set_cdr_vco_speed_pciegen3</ipxact:displayName> + <ipxact:value>cdr_vco_max_speedbin_pciegen3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_side" type="string"> + <ipxact:name>cdr_pll_side</ipxact:name> + <ipxact:displayName>cdr_pll_side</ipxact:displayName> + <ipxact:value>side_unknown</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_pm_speed_grade" type="string"> + <ipxact:name>cdr_pll_pm_speed_grade</ipxact:name> + <ipxact:displayName>cdr_pll_pm_speed_grade</ipxact:displayName> + <ipxact:value>e3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_sup_mode" type="string"> + <ipxact:name>cdr_pll_sup_mode</ipxact:name> + <ipxact:displayName>cdr_pll_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_top_or_bottom" type="string"> + <ipxact:name>cdr_pll_top_or_bottom</ipxact:name> + <ipxact:displayName>cdr_pll_top_or_bottom</ipxact:displayName> + <ipxact:value>tb_unknown</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_tx_pll_prot_mode" type="string"> + <ipxact:name>cdr_pll_tx_pll_prot_mode</ipxact:name> + <ipxact:displayName>cdr_pll_tx_pll_prot_mode</ipxact:displayName> + <ipxact:value>txpll_unused</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_txpll_hclk_driver_enable" type="string"> + <ipxact:name>cdr_pll_txpll_hclk_driver_enable</ipxact:name> + <ipxact:displayName>cdr_pll_txpll_hclk_driver_enable</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_vco_overrange_voltage" type="string"> + <ipxact:name>cdr_pll_vco_overrange_voltage</ipxact:name> + <ipxact:displayName>cdr_pll_vco_overrange_voltage</ipxact:displayName> + <ipxact:value>vco_overrange_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_vco_underrange_voltage" type="string"> + <ipxact:name>cdr_pll_vco_underrange_voltage</ipxact:name> + <ipxact:displayName>cdr_pll_vco_underrange_voltage</ipxact:displayName> + <ipxact:value>vco_underange_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_fb_select" type="string"> + <ipxact:name>cdr_pll_fb_select</ipxact:name> + <ipxact:displayName>cdr_pll_fb_select</ipxact:displayName> + <ipxact:value>direct_fb</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_uc_ro_cal" type="string"> + <ipxact:name>cdr_pll_uc_ro_cal</ipxact:name> + <ipxact:displayName>cdr_pll_uc_ro_cal</ipxact:displayName> + <ipxact:value>uc_ro_cal_on</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_uc_ro_cal_status" type="string"> + <ipxact:name>cdr_pll_uc_ro_cal_status</ipxact:name> + <ipxact:displayName>cdr_pll_uc_ro_cal_status</ipxact:displayName> + <ipxact:value>uc_ro_cal_notdone</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_iqclk_mux_sel" type="string"> + <ipxact:name>cdr_pll_iqclk_mux_sel</ipxact:name> + <ipxact:displayName>cdr_pll_iqclk_mux_sel</ipxact:displayName> + <ipxact:value>power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_uc_cru_rstb" type="string"> + <ipxact:name>cdr_pll_uc_cru_rstb</ipxact:name> + <ipxact:displayName>cdr_pll_uc_cru_rstb</ipxact:displayName> + <ipxact:value>cdr_lf_reset_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_pcie_gen" type="string"> + <ipxact:name>cdr_pll_pcie_gen</ipxact:name> + <ipxact:displayName>cdr_pll_pcie_gen</ipxact:displayName> + <ipxact:value>non_pcie</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_analog_mode" type="string"> + <ipxact:name>cdr_pll_analog_mode</ipxact:name> + <ipxact:displayName>cdr_pll_analog_mode</ipxact:displayName> + <ipxact:value>user_custom</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_f_max_m_counter" type="string"> + <ipxact:name>cdr_pll_f_max_m_counter</ipxact:name> + <ipxact:displayName>cdr_pll_f_max_m_counter</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_chgpmp_vccreg" type="string"> + <ipxact:name>cdr_pll_chgpmp_vccreg</ipxact:name> + <ipxact:displayName>cdr_pll_chgpmp_vccreg</ipxact:displayName> + <ipxact:value>vreg_fw0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_set_cdr_input_freq_range" type="int"> + <ipxact:name>cdr_pll_set_cdr_input_freq_range</ipxact:name> + <ipxact:displayName>cdr_pll_set_cdr_input_freq_range</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_chgpmp_current_dn_trim" type="string"> + <ipxact:name>cdr_pll_chgpmp_current_dn_trim</ipxact:name> + <ipxact:displayName>cdr_pll_chgpmp_current_dn_trim</ipxact:displayName> + <ipxact:value>cp_current_trimming_dn_setting0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_chgpmp_up_pd_trim_double" type="string"> + <ipxact:name>cdr_pll_chgpmp_up_pd_trim_double</ipxact:name> + <ipxact:displayName>cdr_pll_chgpmp_up_pd_trim_double</ipxact:displayName> + <ipxact:value>normal_up_trim_current</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_chgpmp_current_up_pd" type="string"> + <ipxact:name>cdr_pll_chgpmp_current_up_pd</ipxact:name> + <ipxact:displayName>cdr_pll_chgpmp_current_up_pd</ipxact:displayName> + <ipxact:value>cp_current_pd_up_setting3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_f_max_cmu_out_freq" type="string"> + <ipxact:name>cdr_pll_f_max_cmu_out_freq</ipxact:name> + <ipxact:displayName>cdr_pll_f_max_cmu_out_freq</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_chgpmp_current_up_trim" type="string"> + <ipxact:name>cdr_pll_chgpmp_current_up_trim</ipxact:name> + <ipxact:displayName>cdr_pll_chgpmp_current_up_trim</ipxact:displayName> + <ipxact:value>cp_current_trimming_up_setting0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_chgpmp_dn_pd_trim_double" type="string"> + <ipxact:name>cdr_pll_chgpmp_dn_pd_trim_double</ipxact:name> + <ipxact:displayName>cdr_pll_chgpmp_dn_pd_trim_double</ipxact:displayName> + <ipxact:value>normal_dn_trim_current</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_cal_vco_count_length" type="string"> + <ipxact:name>cdr_pll_cal_vco_count_length</ipxact:name> + <ipxact:displayName>cdr_pll_cal_vco_count_length</ipxact:displayName> + <ipxact:value>sel_8b_count</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_chgpmp_current_dn_pd" type="string"> + <ipxact:name>cdr_pll_chgpmp_current_dn_pd</ipxact:name> + <ipxact:displayName>cdr_pll_chgpmp_current_dn_pd</ipxact:displayName> + <ipxact:value>cp_current_pd_dn_setting3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_enable_idle_rx_channel_support" type="string"> + <ipxact:name>cdr_pll_enable_idle_rx_channel_support</ipxact:name> + <ipxact:displayName>cdr_pll_enable_idle_rx_channel_support</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_deser_silicon_rev" type="string"> + <ipxact:name>pma_rx_deser_silicon_rev</ipxact:name> + <ipxact:displayName>pma_rx_deser_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_deser_clkdiv_source" type="string"> + <ipxact:name>pma_rx_deser_clkdiv_source</ipxact:name> + <ipxact:displayName>pma_rx_deser_clkdiv_source</ipxact:displayName> + <ipxact:value>vco_bypass_normal</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_deser_clkdivrx_user_mode" type="string"> + <ipxact:name>pma_rx_deser_clkdivrx_user_mode</ipxact:name> + <ipxact:displayName>pma_rx_deser_clkdivrx_user_mode</ipxact:displayName> + <ipxact:value>clkdivrx_user_disabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_deser_datarate" type="string"> + <ipxact:name>pma_rx_deser_datarate</ipxact:name> + <ipxact:displayName>pma_rx_deser_datarate</ipxact:displayName> + <ipxact:value>10312500000 bps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_deser_deser_factor" type="int"> + <ipxact:name>pma_rx_deser_deser_factor</ipxact:name> + <ipxact:displayName>pma_rx_deser_deser_factor</ipxact:displayName> + <ipxact:value>32</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_deser_deser_powerdown" type="string"> + <ipxact:name>pma_rx_deser_deser_powerdown</ipxact:name> + <ipxact:displayName>pma_rx_deser_deser_powerdown</ipxact:displayName> + <ipxact:value>deser_power_up</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_deser_force_adaptation_outputs" type="string"> + <ipxact:name>pma_rx_deser_force_adaptation_outputs</ipxact:name> + <ipxact:displayName>pma_rx_deser_force_adaptation_outputs</ipxact:displayName> + <ipxact:value>normal_outputs</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_deser_force_clkdiv_for_testing" type="string"> + <ipxact:name>pma_rx_deser_force_clkdiv_for_testing</ipxact:name> + <ipxact:displayName>pma_rx_deser_force_clkdiv_for_testing</ipxact:displayName> + <ipxact:value>normal_clkdiv</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_deser_optimal" type="string"> + <ipxact:name>pma_rx_deser_optimal</ipxact:name> + <ipxact:displayName>pma_rx_deser_optimal</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_deser_sdclk_enable" type="string"> + <ipxact:name>pma_rx_deser_sdclk_enable</ipxact:name> + <ipxact:displayName>pma_rx_deser_sdclk_enable</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_deser_sup_mode" type="string"> + <ipxact:name>pma_rx_deser_sup_mode</ipxact:name> + <ipxact:displayName>pma_rx_deser_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_deser_rst_n_adapt_odi" type="string"> + <ipxact:name>pma_rx_deser_rst_n_adapt_odi</ipxact:name> + <ipxact:displayName>pma_rx_deser_rst_n_adapt_odi</ipxact:displayName> + <ipxact:value>no_rst_adapt_odi</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_deser_bitslip_bypass" type="string"> + <ipxact:name>pma_rx_deser_bitslip_bypass</ipxact:name> + <ipxact:displayName>pma_rx_deser_bitslip_bypass</ipxact:displayName> + <ipxact:value>bs_bypass_yes</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_deser_prot_mode" type="string"> + <ipxact:name>pma_rx_deser_prot_mode</ipxact:name> + <ipxact:displayName>pma_rx_deser_prot_mode</ipxact:displayName> + <ipxact:value>basic_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_deser_pcie_gen" type="string"> + <ipxact:name>pma_rx_deser_pcie_gen</ipxact:name> + <ipxact:displayName>pma_rx_deser_pcie_gen</ipxact:displayName> + <ipxact:value>non_pcie</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_deser_pcie_gen_bitwidth" type="string"> + <ipxact:name>pma_rx_deser_pcie_gen_bitwidth</ipxact:name> + <ipxact:displayName>pma_rx_deser_pcie_gen_bitwidth</ipxact:displayName> + <ipxact:value>pcie_gen3_32b</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_deser_tdr_mode" type="string"> + <ipxact:name>pma_rx_deser_tdr_mode</ipxact:name> + <ipxact:displayName>pma_rx_deser_tdr_mode</ipxact:displayName> + <ipxact:value>select_bbpd_data</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="data_rate_bps" type="string"> + <ipxact:name>data_rate_bps</ipxact:name> + <ipxact:displayName>data_rate_bps</ipxact:displayName> + <ipxact:value>10312500000 bps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_protocol_mode" type="string"> + <ipxact:name>l_protocol_mode</ipxact:name> + <ipxact:displayName>l_protocol_mode</ipxact:displayName> + <ipxact:value>teng_baser_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pcs_speedgrade" type="string"> + <ipxact:name>pcs_speedgrade</ipxact:name> + <ipxact:displayName>pcs_speedgrade</ipxact:displayName> + <ipxact:value>e3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_speedgrade" type="string"> + <ipxact:name>pma_speedgrade</ipxact:name> + <ipxact:displayName>pma_speedgrade</ipxact:displayName> + <ipxact:value>e3</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_module_parameters> + <altera:altera_system_parameters> + <ipxact:parameters> + <ipxact:parameter parameterId="device" type="string"> + <ipxact:name>device</ipxact:name> + <ipxact:displayName>Device</ipxact:displayName> + <ipxact:value>10AX115U3F45E2SG</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="deviceFamily" type="string"> + <ipxact:name>deviceFamily</ipxact:name> + <ipxact:displayName>Device family</ipxact:displayName> + <ipxact:value>Arria 10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="deviceSpeedGrade" type="string"> + <ipxact:name>deviceSpeedGrade</ipxact:name> + <ipxact:displayName>Device Speed Grade</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="generationId" type="int"> + <ipxact:name>generationId</ipxact:name> + <ipxact:displayName>Generation Id</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="bonusData" type="string"> + <ipxact:name>bonusData</ipxact:name> + <ipxact:displayName>bonusData</ipxact:displayName> + <ipxact:value>bonusData +{ + element xcvr_native_a10_0 + { + datum _sortIndex + { + value = "0"; + type = "int"; + } + } +} +</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hideFromIPCatalog" type="bit"> + <ipxact:name>hideFromIPCatalog</ipxact:name> + <ipxact:displayName>Hide from IP Catalog</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="lockedInterfaceDefinition" type="string"> + <ipxact:name>lockedInterfaceDefinition</ipxact:name> + <ipxact:displayName>lockedInterfaceDefinition</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="systemInfos" type="string"> + <ipxact:name>systemInfos</ipxact:name> + <ipxact:displayName>systemInfos</ipxact:displayName> + <ipxact:value><systemInfosDefinition> + <connPtSystemInfos/> +</systemInfosDefinition></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_system_parameters> + <altera:altera_interface_boundary> + <altera:interface_mapping altera:name="reconfig_avmm" altera:internal="xcvr_native_a10_0.reconfig_avmm" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="reconfig_address" altera:internal="reconfig_address"></altera:port_mapping> + <altera:port_mapping altera:name="reconfig_read" altera:internal="reconfig_read"></altera:port_mapping> + <altera:port_mapping altera:name="reconfig_readdata" altera:internal="reconfig_readdata"></altera:port_mapping> + <altera:port_mapping altera:name="reconfig_waitrequest" altera:internal="reconfig_waitrequest"></altera:port_mapping> + <altera:port_mapping altera:name="reconfig_write" altera:internal="reconfig_write"></altera:port_mapping> + <altera:port_mapping altera:name="reconfig_writedata" altera:internal="reconfig_writedata"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="reconfig_clk" altera:internal="xcvr_native_a10_0.reconfig_clk" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="reconfig_clk" altera:internal="reconfig_clk"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="reconfig_reset" altera:internal="xcvr_native_a10_0.reconfig_reset" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="reconfig_reset" altera:internal="reconfig_reset"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_analogreset" altera:internal="xcvr_native_a10_0.rx_analogreset" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_analogreset" altera:internal="rx_analogreset"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_cal_busy" altera:internal="xcvr_native_a10_0.rx_cal_busy" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_cal_busy" altera:internal="rx_cal_busy"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_cdr_refclk0" altera:internal="xcvr_native_a10_0.rx_cdr_refclk0" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_cdr_refclk0" altera:internal="rx_cdr_refclk0"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_clkout" altera:internal="xcvr_native_a10_0.rx_clkout" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_clkout" altera:internal="rx_clkout"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_control" altera:internal="xcvr_native_a10_0.rx_control" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_control" altera:internal="rx_control"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_coreclkin" altera:internal="xcvr_native_a10_0.rx_coreclkin" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_coreclkin" altera:internal="rx_coreclkin"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_digitalreset" altera:internal="xcvr_native_a10_0.rx_digitalreset" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_digitalreset" altera:internal="rx_digitalreset"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_enh_blk_lock" altera:internal="xcvr_native_a10_0.rx_enh_blk_lock" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_enh_blk_lock" altera:internal="rx_enh_blk_lock"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_enh_data_valid" altera:internal="xcvr_native_a10_0.rx_enh_data_valid" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_enh_data_valid" altera:internal="rx_enh_data_valid"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_enh_fifo_del" altera:internal="xcvr_native_a10_0.rx_enh_fifo_del" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_enh_fifo_del" altera:internal="rx_enh_fifo_del"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_enh_fifo_empty" altera:internal="xcvr_native_a10_0.rx_enh_fifo_empty" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_enh_fifo_empty" altera:internal="rx_enh_fifo_empty"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_enh_fifo_full" altera:internal="xcvr_native_a10_0.rx_enh_fifo_full" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_enh_fifo_full" altera:internal="rx_enh_fifo_full"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_enh_fifo_insert" altera:internal="xcvr_native_a10_0.rx_enh_fifo_insert" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_enh_fifo_insert" altera:internal="rx_enh_fifo_insert"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_enh_highber" altera:internal="xcvr_native_a10_0.rx_enh_highber" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_enh_highber" altera:internal="rx_enh_highber"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_is_lockedtodata" altera:internal="xcvr_native_a10_0.rx_is_lockedtodata" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_is_lockedtodata" altera:internal="rx_is_lockedtodata"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_is_lockedtoref" altera:internal="xcvr_native_a10_0.rx_is_lockedtoref" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_is_lockedtoref" altera:internal="rx_is_lockedtoref"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_parallel_data" altera:internal="xcvr_native_a10_0.rx_parallel_data" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_parallel_data" altera:internal="rx_parallel_data"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_prbs_done" altera:internal="xcvr_native_a10_0.rx_prbs_done" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_prbs_done" altera:internal="rx_prbs_done"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_prbs_err" altera:internal="xcvr_native_a10_0.rx_prbs_err" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_prbs_err" altera:internal="rx_prbs_err"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_prbs_err_clr" altera:internal="xcvr_native_a10_0.rx_prbs_err_clr" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_prbs_err_clr" altera:internal="rx_prbs_err_clr"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_serial_data" altera:internal="xcvr_native_a10_0.rx_serial_data" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_serial_data" altera:internal="rx_serial_data"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_seriallpbken" altera:internal="xcvr_native_a10_0.rx_seriallpbken" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_seriallpbken" altera:internal="rx_seriallpbken"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_analogreset" altera:internal="xcvr_native_a10_0.tx_analogreset" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_analogreset" altera:internal="tx_analogreset"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_cal_busy" altera:internal="xcvr_native_a10_0.tx_cal_busy" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_cal_busy" altera:internal="tx_cal_busy"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_clkout" altera:internal="xcvr_native_a10_0.tx_clkout" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_clkout" altera:internal="tx_clkout"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_control" altera:internal="xcvr_native_a10_0.tx_control" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_control" altera:internal="tx_control"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_coreclkin" altera:internal="xcvr_native_a10_0.tx_coreclkin" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_coreclkin" altera:internal="tx_coreclkin"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_digitalreset" altera:internal="xcvr_native_a10_0.tx_digitalreset" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_digitalreset" altera:internal="tx_digitalreset"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_enh_data_valid" altera:internal="xcvr_native_a10_0.tx_enh_data_valid" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_enh_data_valid" altera:internal="tx_enh_data_valid"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_enh_fifo_empty" altera:internal="xcvr_native_a10_0.tx_enh_fifo_empty" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_enh_fifo_empty" altera:internal="tx_enh_fifo_empty"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_enh_fifo_full" altera:internal="xcvr_native_a10_0.tx_enh_fifo_full" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_enh_fifo_full" altera:internal="tx_enh_fifo_full"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_enh_fifo_pempty" altera:internal="xcvr_native_a10_0.tx_enh_fifo_pempty" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_enh_fifo_pempty" altera:internal="tx_enh_fifo_pempty"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_enh_fifo_pfull" altera:internal="xcvr_native_a10_0.tx_enh_fifo_pfull" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_enh_fifo_pfull" altera:internal="tx_enh_fifo_pfull"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_err_ins" altera:internal="xcvr_native_a10_0.tx_err_ins" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_err_ins" altera:internal="tx_err_ins"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_parallel_data" altera:internal="xcvr_native_a10_0.tx_parallel_data" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_parallel_data" altera:internal="tx_parallel_data"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_serial_clk0" altera:internal="xcvr_native_a10_0.tx_serial_clk0" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_serial_clk0" altera:internal="tx_serial_clk0"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_serial_data" altera:internal="xcvr_native_a10_0.tx_serial_data" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_serial_data" altera:internal="tx_serial_data"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="unused_rx_control" altera:internal="xcvr_native_a10_0.unused_rx_control" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="unused_rx_control" altera:internal="unused_rx_control"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="unused_rx_parallel_data" altera:internal="xcvr_native_a10_0.unused_rx_parallel_data" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="unused_rx_parallel_data" altera:internal="unused_rx_parallel_data"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="unused_tx_control" altera:internal="xcvr_native_a10_0.unused_tx_control" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="unused_tx_control" altera:internal="unused_tx_control"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="unused_tx_parallel_data" altera:internal="xcvr_native_a10_0.unused_tx_parallel_data" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="unused_tx_parallel_data" altera:internal="unused_tx_parallel_data"></altera:port_mapping> + </altera:interface_mapping> + </altera:altera_interface_boundary> + <altera:altera_has_warnings>false</altera:altera_has_warnings> + <altera:altera_has_errors>false</altera:altera_has_errors> + </ipxact:vendorExtensions> +</ipxact:component> \ No newline at end of file diff --git a/libraries/technology/ip_arria10_e2sg/phy_10gbase_r_4/ip_arria10_e2sg_phy_10gbase_r_4.qsys b/libraries/technology/ip_arria10_e2sg/phy_10gbase_r_4/ip_arria10_e2sg_phy_10gbase_r_4.qsys deleted file mode 100644 index ee891a25cf..0000000000 --- a/libraries/technology/ip_arria10_e2sg/phy_10gbase_r_4/ip_arria10_e2sg_phy_10gbase_r_4.qsys +++ /dev/null @@ -1,627 +0,0 @@ -<?xml version="1.0" encoding="UTF-8"?> -<system name="ip_arria10_e2sg_phy_10gbase_r_4"> - <component - name="$${FILENAME}" - displayName="$${FILENAME}" - version="1.0" - description="" - tags="AUTHORSHIP=Intel Corporation /// INTERNAL_COMPONENT=true" - categories="System" - tool="QsysStandard" /> - <parameter name="bonusData"><![CDATA[bonusData -{ - element $system - { - } - element xcvr_native_a10_0 - { - datum _sortIndex - { - value = "0"; - type = "int"; - } - } -} -]]></parameter> - <parameter name="device" value="10AX115U3F45E2SG" /> - <parameter name="deviceFamily" value="Arria 10" /> - <parameter name="deviceSpeedGrade" value="2" /> - <parameter name="fabricMode" value="QSYS" /> - <parameter name="generateLegacySim" value="false" /> - <parameter name="generationId" value="0" /> - <parameter name="globalResetBus" value="false" /> - <parameter name="hdlLanguage" value="VERILOG" /> - <parameter name="hideFromIPCatalog" value="true" /> - <parameter name="lockedInterfaceDefinition" value="" /> - <parameter name="sopcBorderPoints" value="false" /> - <parameter name="systemHash" value="0" /> - <parameter name="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos/> -</systemInfosDefinition>]]></parameter> - <parameter name="systemScripts" value="" /> - <parameter name="testBenchDutName" value="" /> - <parameter name="timeStamp" value="0" /> - <parameter name="useTestBenchNamingPattern" value="false" /> - <instanceScript></instanceScript> - <interface - name="reconfig_avmm" - internal="xcvr_native_a10_0.reconfig_avmm" - type="conduit" - dir="end"> - <port name="reconfig_address" internal="reconfig_address" /> - <port name="reconfig_read" internal="reconfig_read" /> - <port name="reconfig_readdata" internal="reconfig_readdata" /> - <port name="reconfig_waitrequest" internal="reconfig_waitrequest" /> - <port name="reconfig_write" internal="reconfig_write" /> - <port name="reconfig_writedata" internal="reconfig_writedata" /> - </interface> - <interface - name="reconfig_clk" - internal="xcvr_native_a10_0.reconfig_clk" - type="conduit" - dir="end"> - <port name="reconfig_clk" internal="reconfig_clk" /> - </interface> - <interface - name="reconfig_reset" - internal="xcvr_native_a10_0.reconfig_reset" - type="conduit" - dir="end"> - <port name="reconfig_reset" internal="reconfig_reset" /> - </interface> - <interface - name="rx_analogreset" - internal="xcvr_native_a10_0.rx_analogreset" - type="conduit" - dir="end"> - <port name="rx_analogreset" internal="rx_analogreset" /> - </interface> - <interface - name="rx_cal_busy" - internal="xcvr_native_a10_0.rx_cal_busy" - type="conduit" - dir="end"> - <port name="rx_cal_busy" internal="rx_cal_busy" /> - </interface> - <interface - name="rx_cdr_refclk0" - internal="xcvr_native_a10_0.rx_cdr_refclk0" - type="conduit" - dir="end"> - <port name="rx_cdr_refclk0" internal="rx_cdr_refclk0" /> - </interface> - <interface - name="rx_clkout" - internal="xcvr_native_a10_0.rx_clkout" - type="conduit" - dir="end"> - <port name="rx_clkout" internal="rx_clkout" /> - </interface> - <interface - name="rx_control" - internal="xcvr_native_a10_0.rx_control" - type="conduit" - dir="end"> - <port name="rx_control" internal="rx_control" /> - </interface> - <interface - name="rx_coreclkin" - internal="xcvr_native_a10_0.rx_coreclkin" - type="conduit" - dir="end"> - <port name="rx_coreclkin" internal="rx_coreclkin" /> - </interface> - <interface - name="rx_digitalreset" - internal="xcvr_native_a10_0.rx_digitalreset" - type="conduit" - dir="end"> - <port name="rx_digitalreset" internal="rx_digitalreset" /> - </interface> - <interface - name="rx_enh_blk_lock" - internal="xcvr_native_a10_0.rx_enh_blk_lock" - type="conduit" - dir="end"> - <port name="rx_enh_blk_lock" internal="rx_enh_blk_lock" /> - </interface> - <interface - name="rx_enh_data_valid" - internal="xcvr_native_a10_0.rx_enh_data_valid" - type="conduit" - dir="end"> - <port name="rx_enh_data_valid" internal="rx_enh_data_valid" /> - </interface> - <interface - name="rx_enh_fifo_del" - internal="xcvr_native_a10_0.rx_enh_fifo_del" - type="conduit" - dir="end"> - <port name="rx_enh_fifo_del" internal="rx_enh_fifo_del" /> - </interface> - <interface - name="rx_enh_fifo_empty" - internal="xcvr_native_a10_0.rx_enh_fifo_empty" - type="conduit" - dir="end"> - <port name="rx_enh_fifo_empty" internal="rx_enh_fifo_empty" /> - </interface> - <interface - name="rx_enh_fifo_full" - internal="xcvr_native_a10_0.rx_enh_fifo_full" - type="conduit" - dir="end"> - <port name="rx_enh_fifo_full" internal="rx_enh_fifo_full" /> - </interface> - <interface - name="rx_enh_fifo_insert" - internal="xcvr_native_a10_0.rx_enh_fifo_insert" - type="conduit" - dir="end"> - <port name="rx_enh_fifo_insert" internal="rx_enh_fifo_insert" /> - </interface> - <interface - name="rx_enh_highber" - internal="xcvr_native_a10_0.rx_enh_highber" - type="conduit" - dir="end"> - <port name="rx_enh_highber" internal="rx_enh_highber" /> - </interface> - <interface - name="rx_is_lockedtodata" - internal="xcvr_native_a10_0.rx_is_lockedtodata" - type="conduit" - dir="end"> - <port name="rx_is_lockedtodata" internal="rx_is_lockedtodata" /> - </interface> - <interface - name="rx_is_lockedtoref" - internal="xcvr_native_a10_0.rx_is_lockedtoref" - type="conduit" - dir="end"> - <port name="rx_is_lockedtoref" internal="rx_is_lockedtoref" /> - </interface> - <interface - name="rx_parallel_data" - internal="xcvr_native_a10_0.rx_parallel_data" - type="conduit" - dir="end"> - <port name="rx_parallel_data" internal="rx_parallel_data" /> - </interface> - <interface - name="rx_prbs_done" - internal="xcvr_native_a10_0.rx_prbs_done" - type="conduit" - dir="end"> - <port name="rx_prbs_done" internal="rx_prbs_done" /> - </interface> - <interface - name="rx_prbs_err" - internal="xcvr_native_a10_0.rx_prbs_err" - type="conduit" - dir="end"> - <port name="rx_prbs_err" internal="rx_prbs_err" /> - </interface> - <interface - name="rx_prbs_err_clr" - internal="xcvr_native_a10_0.rx_prbs_err_clr" - type="conduit" - dir="end"> - <port name="rx_prbs_err_clr" internal="rx_prbs_err_clr" /> - </interface> - <interface - name="rx_serial_data" - internal="xcvr_native_a10_0.rx_serial_data" - type="conduit" - dir="end"> - <port name="rx_serial_data" internal="rx_serial_data" /> - </interface> - <interface - name="rx_seriallpbken" - internal="xcvr_native_a10_0.rx_seriallpbken" - type="conduit" - dir="end"> - <port name="rx_seriallpbken" internal="rx_seriallpbken" /> - </interface> - <interface - name="tx_analogreset" - internal="xcvr_native_a10_0.tx_analogreset" - type="conduit" - dir="end"> - <port name="tx_analogreset" internal="tx_analogreset" /> - </interface> - <interface - name="tx_cal_busy" - internal="xcvr_native_a10_0.tx_cal_busy" - type="conduit" - dir="end"> - <port name="tx_cal_busy" internal="tx_cal_busy" /> - </interface> - <interface - name="tx_clkout" - internal="xcvr_native_a10_0.tx_clkout" - type="conduit" - dir="end"> - <port name="tx_clkout" internal="tx_clkout" /> - </interface> - <interface - name="tx_control" - internal="xcvr_native_a10_0.tx_control" - type="conduit" - dir="end"> - <port name="tx_control" internal="tx_control" /> - </interface> - <interface - name="tx_coreclkin" - internal="xcvr_native_a10_0.tx_coreclkin" - type="conduit" - dir="end"> - <port name="tx_coreclkin" internal="tx_coreclkin" /> - </interface> - <interface - name="tx_digitalreset" - internal="xcvr_native_a10_0.tx_digitalreset" - type="conduit" - dir="end"> - <port name="tx_digitalreset" internal="tx_digitalreset" /> - </interface> - <interface - name="tx_enh_data_valid" - internal="xcvr_native_a10_0.tx_enh_data_valid" - type="conduit" - dir="end"> - <port name="tx_enh_data_valid" internal="tx_enh_data_valid" /> - </interface> - <interface - name="tx_enh_fifo_empty" - internal="xcvr_native_a10_0.tx_enh_fifo_empty" - type="conduit" - dir="end"> - <port name="tx_enh_fifo_empty" internal="tx_enh_fifo_empty" /> - </interface> - <interface - name="tx_enh_fifo_full" - internal="xcvr_native_a10_0.tx_enh_fifo_full" - type="conduit" - dir="end"> - <port name="tx_enh_fifo_full" internal="tx_enh_fifo_full" /> - </interface> - <interface - name="tx_enh_fifo_pempty" - internal="xcvr_native_a10_0.tx_enh_fifo_pempty" - type="conduit" - dir="end"> - <port name="tx_enh_fifo_pempty" internal="tx_enh_fifo_pempty" /> - </interface> - <interface - name="tx_enh_fifo_pfull" - internal="xcvr_native_a10_0.tx_enh_fifo_pfull" - type="conduit" - dir="end"> - <port name="tx_enh_fifo_pfull" internal="tx_enh_fifo_pfull" /> - </interface> - <interface - name="tx_err_ins" - internal="xcvr_native_a10_0.tx_err_ins" - type="conduit" - dir="end"> - <port name="tx_err_ins" internal="tx_err_ins" /> - </interface> - <interface - name="tx_parallel_data" - internal="xcvr_native_a10_0.tx_parallel_data" - type="conduit" - dir="end"> - <port name="tx_parallel_data" internal="tx_parallel_data" /> - </interface> - <interface name="tx_pma_clkout" internal="xcvr_native_a10_0.tx_pma_clkout" /> - <interface - name="tx_pma_div_clkout" - internal="xcvr_native_a10_0.tx_pma_div_clkout" /> - <interface - name="tx_serial_clk0" - internal="xcvr_native_a10_0.tx_serial_clk0" - type="conduit" - dir="end"> - <port name="tx_serial_clk0" internal="tx_serial_clk0" /> - </interface> - <interface - name="tx_serial_data" - internal="xcvr_native_a10_0.tx_serial_data" - type="conduit" - dir="end"> - <port name="tx_serial_data" internal="tx_serial_data" /> - </interface> - <interface - name="unused_rx_control" - internal="xcvr_native_a10_0.unused_rx_control" - type="conduit" - dir="end"> - <port name="unused_rx_control" internal="unused_rx_control" /> - </interface> - <interface - name="unused_rx_parallel_data" - internal="xcvr_native_a10_0.unused_rx_parallel_data" - type="conduit" - dir="end"> - <port name="unused_rx_parallel_data" internal="unused_rx_parallel_data" /> - </interface> - <interface - name="unused_tx_control" - internal="xcvr_native_a10_0.unused_tx_control" - type="conduit" - dir="end"> - <port name="unused_tx_control" internal="unused_tx_control" /> - </interface> - <interface - name="unused_tx_parallel_data" - internal="xcvr_native_a10_0.unused_tx_parallel_data" - type="conduit" - dir="end"> - <port name="unused_tx_parallel_data" internal="unused_tx_parallel_data" /> - </interface> - <module - name="xcvr_native_a10_0" - kind="altera_xcvr_native_a10" - version="19.1" - enabled="1" - autoexport="1"> - <parameter name="anlg_enable_rx_default_ovr" value="0" /> - <parameter name="anlg_enable_tx_default_ovr" value="0" /> - <parameter name="anlg_link" value="sr" /> - <parameter name="anlg_rx_adp_ctle_acgain_4s">radp_ctle_acgain_4s_1</parameter> - <parameter name="anlg_rx_adp_ctle_eqz_1s_sel">radp_ctle_eqz_1s_sel_3</parameter> - <parameter name="anlg_rx_adp_dfe_fxtap1" value="radp_dfe_fxtap1_0" /> - <parameter name="anlg_rx_adp_dfe_fxtap10" value="radp_dfe_fxtap10_0" /> - <parameter name="anlg_rx_adp_dfe_fxtap11" value="radp_dfe_fxtap11_0" /> - <parameter name="anlg_rx_adp_dfe_fxtap2" value="radp_dfe_fxtap2_0" /> - <parameter name="anlg_rx_adp_dfe_fxtap3" value="radp_dfe_fxtap3_0" /> - <parameter name="anlg_rx_adp_dfe_fxtap4" value="radp_dfe_fxtap4_0" /> - <parameter name="anlg_rx_adp_dfe_fxtap5" value="radp_dfe_fxtap5_0" /> - <parameter name="anlg_rx_adp_dfe_fxtap6" value="radp_dfe_fxtap6_0" /> - <parameter name="anlg_rx_adp_dfe_fxtap7" value="radp_dfe_fxtap7_0" /> - <parameter name="anlg_rx_adp_dfe_fxtap8" value="radp_dfe_fxtap8_0" /> - <parameter name="anlg_rx_adp_dfe_fxtap9" value="radp_dfe_fxtap9_0" /> - <parameter name="anlg_rx_adp_vga_sel" value="radp_vga_sel_2" /> - <parameter name="anlg_rx_eq_dc_gain_trim" value="stg2_gain7" /> - <parameter name="anlg_rx_one_stage_enable" value="s1_mode" /> - <parameter name="anlg_rx_term_sel" value="r_r1" /> - <parameter name="anlg_tx_analog_mode" value="user_custom" /> - <parameter name="anlg_tx_compensation_en" value="enable" /> - <parameter name="anlg_tx_pre_emp_sign_1st_post_tap" value="fir_post_1t_neg" /> - <parameter name="anlg_tx_pre_emp_sign_2nd_post_tap" value="fir_post_2t_neg" /> - <parameter name="anlg_tx_pre_emp_sign_pre_tap_1t" value="fir_pre_1t_neg" /> - <parameter name="anlg_tx_pre_emp_sign_pre_tap_2t" value="fir_pre_2t_neg" /> - <parameter name="anlg_tx_pre_emp_switching_ctrl_1st_post_tap" value="0" /> - <parameter name="anlg_tx_pre_emp_switching_ctrl_2nd_post_tap" value="0" /> - <parameter name="anlg_tx_pre_emp_switching_ctrl_pre_tap_1t" value="0" /> - <parameter name="anlg_tx_pre_emp_switching_ctrl_pre_tap_2t" value="0" /> - <parameter name="anlg_tx_slew_rate_ctrl" value="slew_r7" /> - <parameter name="anlg_tx_term_sel" value="r_r1" /> - <parameter name="anlg_tx_vod_output_swing_ctrl" value="0" /> - <parameter name="anlg_voltage" value="1_1V" /> - <parameter name="base_device" value="NIGHTFURY5" /> - <parameter name="bonded_mode" value="not_bonded" /> - <parameter name="cdr_refclk_cnt" value="1" /> - <parameter name="cdr_refclk_select" value="0" /> - <parameter name="channels" value="4" /> - <parameter name="design_environment" value="NATIVE" /> - <parameter name="device" value="10AX115U3F45E2SG" /> - <parameter name="device_family" value="Arria 10" /> - <parameter name="disable_continuous_dfe" value="false" /> - <parameter name="duplex_mode" value="duplex" /> - <parameter name="enable_analog_settings" value="0" /> - <parameter name="enable_hard_reset" value="0" /> - <parameter name="enable_hip" value="0" /> - <parameter name="enable_parallel_loopback" value="0" /> - <parameter name="enable_pcie_data_mask_option" value="0" /> - <parameter name="enable_pcie_dfe_ip" value="false" /> - <parameter name="enable_port_krfec_rx_enh_frame" value="0" /> - <parameter name="enable_port_krfec_rx_enh_frame_diag_status" value="0" /> - <parameter name="enable_port_krfec_tx_enh_frame" value="0" /> - <parameter name="enable_port_pipe_rx_polarity" value="0" /> - <parameter name="enable_port_rx_analog_reset_ack" value="0" /> - <parameter name="enable_port_rx_enh_bitslip" value="0" /> - <parameter name="enable_port_rx_enh_blk_lock" value="1" /> - <parameter name="enable_port_rx_enh_clr_errblk_count" value="0" /> - <parameter name="enable_port_rx_enh_clr_errblk_count_c10" value="0" /> - <parameter name="enable_port_rx_enh_crc32_err" value="0" /> - <parameter name="enable_port_rx_enh_data_valid" value="1" /> - <parameter name="enable_port_rx_enh_fifo_align_clr" value="0" /> - <parameter name="enable_port_rx_enh_fifo_align_val" value="0" /> - <parameter name="enable_port_rx_enh_fifo_cnt" value="0" /> - <parameter name="enable_port_rx_enh_fifo_del" value="1" /> - <parameter name="enable_port_rx_enh_fifo_empty" value="1" /> - <parameter name="enable_port_rx_enh_fifo_full" value="1" /> - <parameter name="enable_port_rx_enh_fifo_insert" value="1" /> - <parameter name="enable_port_rx_enh_fifo_pempty" value="0" /> - <parameter name="enable_port_rx_enh_fifo_pfull" value="0" /> - <parameter name="enable_port_rx_enh_fifo_rd_en" value="0" /> - <parameter name="enable_port_rx_enh_frame" value="0" /> - <parameter name="enable_port_rx_enh_frame_diag_status" value="0" /> - <parameter name="enable_port_rx_enh_frame_lock" value="0" /> - <parameter name="enable_port_rx_enh_highber" value="1" /> - <parameter name="enable_port_rx_enh_highber_clr_cnt" value="0" /> - <parameter name="enable_port_rx_is_lockedtodata" value="1" /> - <parameter name="enable_port_rx_is_lockedtoref" value="1" /> - <parameter name="enable_port_rx_pma_clkout" value="0" /> - <parameter name="enable_port_rx_pma_clkslip" value="0" /> - <parameter name="enable_port_rx_pma_div_clkout" value="0" /> - <parameter name="enable_port_rx_pma_iqtxrx_clkout" value="0" /> - <parameter name="enable_port_rx_pma_qpipulldn" value="0" /> - <parameter name="enable_port_rx_polinv" value="0" /> - <parameter name="enable_port_rx_seriallpbken" value="1" /> - <parameter name="enable_port_rx_seriallpbken_tx" value="1" /> - <parameter name="enable_port_rx_signaldetect" value="0" /> - <parameter name="enable_port_rx_std_bitrev_ena" value="0" /> - <parameter name="enable_port_rx_std_bitslip" value="0" /> - <parameter name="enable_port_rx_std_bitslipboundarysel" value="0" /> - <parameter name="enable_port_rx_std_byterev_ena" value="0" /> - <parameter name="enable_port_rx_std_pcfifo_empty" value="0" /> - <parameter name="enable_port_rx_std_pcfifo_full" value="0" /> - <parameter name="enable_port_rx_std_rmfifo_empty" value="0" /> - <parameter name="enable_port_rx_std_rmfifo_full" value="0" /> - <parameter name="enable_port_rx_std_signaldetect" value="0" /> - <parameter name="enable_port_rx_std_wa_a1a2size" value="0" /> - <parameter name="enable_port_rx_std_wa_patternalign" value="0" /> - <parameter name="enable_port_tx_analog_reset_ack" value="0" /> - <parameter name="enable_port_tx_enh_bitslip" value="0" /> - <parameter name="enable_port_tx_enh_fifo_cnt" value="0" /> - <parameter name="enable_port_tx_enh_fifo_empty" value="1" /> - <parameter name="enable_port_tx_enh_fifo_full" value="1" /> - <parameter name="enable_port_tx_enh_fifo_pempty" value="1" /> - <parameter name="enable_port_tx_enh_fifo_pfull" value="1" /> - <parameter name="enable_port_tx_enh_frame" value="0" /> - <parameter name="enable_port_tx_enh_frame_burst_en" value="0" /> - <parameter name="enable_port_tx_enh_frame_diag_status" value="0" /> - <parameter name="enable_port_tx_pma_clkout" value="0" /> - <parameter name="enable_port_tx_pma_div_clkout" value="0" /> - <parameter name="enable_port_tx_pma_elecidle" value="0" /> - <parameter name="enable_port_tx_pma_iqtxrx_clkout" value="0" /> - <parameter name="enable_port_tx_pma_qpipulldn" value="0" /> - <parameter name="enable_port_tx_pma_qpipullup" value="0" /> - <parameter name="enable_port_tx_pma_rxfound" value="0" /> - <parameter name="enable_port_tx_pma_txdetectrx" value="0" /> - <parameter name="enable_port_tx_polinv" value="0" /> - <parameter name="enable_port_tx_std_bitslipboundarysel" value="0" /> - <parameter name="enable_port_tx_std_pcfifo_empty" value="0" /> - <parameter name="enable_port_tx_std_pcfifo_full" value="0" /> - <parameter name="enable_ports_adaptation" value="0" /> - <parameter name="enable_ports_pipe_g3_analog" value="0" /> - <parameter name="enable_ports_pipe_hclk" value="0" /> - <parameter name="enable_ports_pipe_rx_elecidle" value="0" /> - <parameter name="enable_ports_pipe_sw" value="0" /> - <parameter name="enable_ports_rx_manual_cdr_mode" value="0" /> - <parameter name="enable_ports_rx_manual_ppm" value="0" /> - <parameter name="enable_ports_rx_prbs" value="1" /> - <parameter name="enable_simple_interface" value="1" /> - <parameter name="enable_skp_ports" value="0" /> - <parameter name="enable_split_interface" value="0" /> - <parameter name="enable_transparent_pcs" value="0" /> - <parameter name="enable_upi_pipeline_options" value="0" /> - <parameter name="enh_low_latency_enable" value="0" /> - <parameter name="enh_pcs_pma_width" value="32" /> - <parameter name="enh_pld_pcs_width" value="66" /> - <parameter name="enh_rx_64b66b_enable" value="1" /> - <parameter name="enh_rx_bitslip_enable" value="0" /> - <parameter name="enh_rx_blksync_enable" value="1" /> - <parameter name="enh_rx_crcchk_enable" value="0" /> - <parameter name="enh_rx_descram_enable" value="1" /> - <parameter name="enh_rx_dispchk_enable" value="0" /> - <parameter name="enh_rx_frmsync_enable" value="0" /> - <parameter name="enh_rx_frmsync_mfrm_length" value="2048" /> - <parameter name="enh_rx_krfec_err_mark_enable" value="0" /> - <parameter name="enh_rx_krfec_err_mark_type" value="10G" /> - <parameter name="enh_rx_polinv_enable" value="0" /> - <parameter name="enh_rxfifo_align_del" value="0" /> - <parameter name="enh_rxfifo_control_del" value="0" /> - <parameter name="enh_rxfifo_mode" value="10GBase-R" /> - <parameter name="enh_rxfifo_pempty" value="2" /> - <parameter name="enh_rxfifo_pfull" value="23" /> - <parameter name="enh_rxtxfifo_double_width" value="0" /> - <parameter name="enh_tx_64b66b_enable" value="1" /> - <parameter name="enh_tx_bitslip_enable" value="0" /> - <parameter name="enh_tx_crcerr_enable" value="0" /> - <parameter name="enh_tx_crcgen_enable" value="0" /> - <parameter name="enh_tx_dispgen_enable" value="0" /> - <parameter name="enh_tx_frmgen_burst_enable" value="0" /> - <parameter name="enh_tx_frmgen_enable" value="0" /> - <parameter name="enh_tx_frmgen_mfrm_length" value="2048" /> - <parameter name="enh_tx_krfec_burst_err_enable" value="0" /> - <parameter name="enh_tx_krfec_burst_err_len" value="1" /> - <parameter name="enh_tx_polinv_enable" value="0" /> - <parameter name="enh_tx_randomdispbit_enable" value="0" /> - <parameter name="enh_tx_scram_enable" value="1" /> - <parameter name="enh_tx_scram_seed" value="288230376151711743" /> - <parameter name="enh_tx_sh_err" value="0" /> - <parameter name="enh_txfifo_mode" value="Phase compensation" /> - <parameter name="enh_txfifo_pempty" value="2" /> - <parameter name="enh_txfifo_pfull" value="11" /> - <parameter name="generate_add_hdl_instance_example" value="0" /> - <parameter name="generate_docs" value="1" /> - <parameter name="message_level" value="error" /> - <parameter name="number_physical_bonding_clocks" value="1" /> - <parameter name="pcie_rate_match" value="Bypass" /> - <parameter name="pcs_direct_width" value="8" /> - <parameter name="pcs_tx_delay1_ctrl" value="delay1_path0" /> - <parameter name="pcs_tx_delay1_data_sel" value="one_ff_delay" /> - <parameter name="pcs_tx_delay2_ctrl" value="delay2_path0" /> - <parameter name="pll_select" value="0" /> - <parameter name="plls" value="1" /> - <parameter name="pma_mode" value="basic" /> - <parameter name="protocol_mode" value="teng_baser_mode" /> - <parameter name="rcfg_enable" value="1" /> - <parameter name="rcfg_enable_avmm_busy_port" value="0" /> - <parameter name="rcfg_file_prefix">altera_xcvr_native_a10</parameter> - <parameter name="rcfg_h_file_enable" value="1" /> - <parameter name="rcfg_iface_enable" value="0" /> - <parameter name="rcfg_jtag_enable" value="1" /> - <parameter name="rcfg_mif_file_enable" value="1" /> - <parameter name="rcfg_multi_enable" value="0" /> - <parameter name="rcfg_profile_cnt" value="2" /> - <parameter name="rcfg_profile_data0" value="" /> - <parameter name="rcfg_profile_data1" value="" /> - <parameter name="rcfg_profile_data2" value="" /> - <parameter name="rcfg_profile_data3" value="" /> - <parameter name="rcfg_profile_data4" value="" /> - <parameter name="rcfg_profile_data5" value="" /> - <parameter name="rcfg_profile_data6" value="" /> - <parameter name="rcfg_profile_data7" value="" /> - <parameter name="rcfg_profile_select" value="1" /> - <parameter name="rcfg_reduced_files_enable" value="0" /> - <parameter name="rcfg_separate_avmm_busy" value="0" /> - <parameter name="rcfg_shared" value="1" /> - <parameter name="rcfg_sv_file_enable" value="1" /> - <parameter name="rx_pma_ctle_adaptation_mode" value="manual" /> - <parameter name="rx_pma_dfe_adaptation_mode" value="disabled" /> - <parameter name="rx_pma_dfe_fixed_taps" value="3" /> - <parameter name="rx_pma_div_clkout_divider" value="0" /> - <parameter name="rx_ppm_detect_threshold" value="1000" /> - <parameter name="set_capability_reg_enable" value="1" /> - <parameter name="set_cdr_refclk_freq" value="644.531250" /> - <parameter name="set_csr_soft_logic_enable" value="1" /> - <parameter name="set_data_rate" value="10312.5" /> - <parameter name="set_disconnect_analog_resets" value="0" /> - <parameter name="set_embedded_debug_enable" value="0" /> - <parameter name="set_enable_calibration" value="0" /> - <parameter name="set_hip_cal_en" value="0" /> - <parameter name="set_odi_soft_logic_enable" value="0" /> - <parameter name="set_pcs_bonding_master" value="Auto" /> - <parameter name="set_prbs_soft_logic_enable" value="1" /> - <parameter name="set_rcfg_emb_strm_enable" value="0" /> - <parameter name="set_user_identifier" value="0" /> - <parameter name="sim_reduced_counters" value="false" /> - <parameter name="std_data_mask_count_multi" value="0" /> - <parameter name="std_low_latency_bypass_enable" value="0" /> - <parameter name="std_pcs_pma_width" value="10" /> - <parameter name="std_rx_8b10b_enable" value="0" /> - <parameter name="std_rx_bitrev_enable" value="0" /> - <parameter name="std_rx_byte_deser_mode" value="Disabled" /> - <parameter name="std_rx_byterev_enable" value="0" /> - <parameter name="std_rx_pcfifo_mode" value="low_latency" /> - <parameter name="std_rx_polinv_enable" value="0" /> - <parameter name="std_rx_rmfifo_mode" value="disabled" /> - <parameter name="std_rx_rmfifo_pattern_n" value="0" /> - <parameter name="std_rx_rmfifo_pattern_p" value="0" /> - <parameter name="std_rx_word_aligner_fast_sync_status_enable" value="0" /> - <parameter name="std_rx_word_aligner_mode" value="bitslip" /> - <parameter name="std_rx_word_aligner_pattern" value="0" /> - <parameter name="std_rx_word_aligner_pattern_len" value="7" /> - <parameter name="std_rx_word_aligner_renumber" value="3" /> - <parameter name="std_rx_word_aligner_rgnumber" value="3" /> - <parameter name="std_rx_word_aligner_rknumber" value="3" /> - <parameter name="std_rx_word_aligner_rvnumber" value="0" /> - <parameter name="std_tx_8b10b_disp_ctrl_enable" value="0" /> - <parameter name="std_tx_8b10b_enable" value="0" /> - <parameter name="std_tx_bitrev_enable" value="0" /> - <parameter name="std_tx_bitslip_enable" value="0" /> - <parameter name="std_tx_byte_ser_mode" value="Disabled" /> - <parameter name="std_tx_byterev_enable" value="0" /> - <parameter name="std_tx_pcfifo_mode" value="low_latency" /> - <parameter name="std_tx_polinv_enable" value="0" /> - <parameter name="support_mode" value="user_mode" /> - <parameter name="tx_pma_clk_div" value="1" /> - <parameter name="tx_pma_div_clkout_divider" value="33" /> - <parameter name="validation_rule_select" value="" /> - </module> -</system> diff --git a/libraries/technology/ip_arria10_e2sg/phy_10gbase_r_48/hdllib.cfg b/libraries/technology/ip_arria10_e2sg/phy_10gbase_r_48/hdllib.cfg index 4723a9d7c4..a4887f778e 100644 --- a/libraries/technology/ip_arria10_e2sg/phy_10gbase_r_48/hdllib.cfg +++ b/libraries/technology/ip_arria10_e2sg/phy_10gbase_r_48/hdllib.cfg @@ -20,5 +20,5 @@ quartus_qip_files = [generate_ip_libs] qsys-generate_ip_files = - ip_arria10_e2sg_phy_10gbase_r_48.qsys + ip_arria10_e2sg_phy_10gbase_r_48.ip diff --git a/libraries/technology/ip_arria10_e2sg/phy_10gbase_r_48/ip_arria10_e2sg_phy_10gbase_r_48.ip b/libraries/technology/ip_arria10_e2sg/phy_10gbase_r_48/ip_arria10_e2sg_phy_10gbase_r_48.ip new file mode 100644 index 0000000000..ab1c59d8bf --- /dev/null +++ b/libraries/technology/ip_arria10_e2sg/phy_10gbase_r_48/ip_arria10_e2sg_phy_10gbase_r_48.ip @@ -0,0 +1,10738 @@ +<?xml version="1.0" ?> +<ipxact:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact2014/extensions" xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"> + <ipxact:vendor>Intel Corporation</ipxact:vendor> + <ipxact:library>ip_arria10_e2sg_phy_10gbase_r_48</ipxact:library> + <ipxact:name>xcvr_native_a10_0</ipxact:name> + <ipxact:version>19.1</ipxact:version> + <ipxact:busInterfaces> + <ipxact:busInterface> + <ipxact:name>tx_analogreset</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>tx_analogreset</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_analogreset</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_digitalreset</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>tx_digitalreset</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_digitalreset</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_analogreset</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_analogreset</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_analogreset</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_digitalreset</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_digitalreset</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_digitalreset</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_cal_busy</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>tx_cal_busy</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_cal_busy</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_cal_busy</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_cal_busy</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_cal_busy</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_serial_clk0</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_serial_clk0</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_cdr_refclk0</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_cdr_refclk0</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_serial_data</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>tx_serial_data</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_serial_data</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_serial_data</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_serial_data</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_serial_data</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_seriallpbken</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_seriallpbken</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_seriallpbken</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_is_lockedtoref</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_is_lockedtoref</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_is_lockedtoref</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_is_lockedtodata</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_is_lockedtodata</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_is_lockedtodata</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_coreclkin</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_coreclkin</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_coreclkin</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_coreclkin</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_clkout</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_clkout</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_clkout</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_clkout</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_parallel_data</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>tx_parallel_data</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_parallel_data</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_control</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>tx_control</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_control</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_err_ins</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>tx_err_ins</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_err_ins</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>unused_tx_parallel_data</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>unused_tx_parallel_data</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>unused_tx_parallel_data</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>unused_tx_control</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>unused_tx_control</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>unused_tx_control</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_parallel_data</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_parallel_data</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_parallel_data</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_control</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_control</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_control</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>unused_rx_parallel_data</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>unused_rx_parallel_data</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>unused_rx_parallel_data</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>unused_rx_control</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>unused_rx_control</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>unused_rx_control</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_prbs_err_clr</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_prbs_err_clr</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_prbs_err_clr</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_prbs_done</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_prbs_done</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_prbs_done</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_prbs_err</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_prbs_err</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_prbs_err</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_enh_data_valid</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>tx_enh_data_valid</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_enh_data_valid</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_enh_fifo_full</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>tx_enh_fifo_full</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_enh_fifo_full</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_enh_fifo_pfull</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>tx_enh_fifo_pfull</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_enh_fifo_pfull</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_enh_fifo_empty</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>tx_enh_fifo_empty</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_enh_fifo_empty</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_enh_fifo_pempty</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>tx_enh_fifo_pempty</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_enh_fifo_pempty</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_enh_data_valid</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_enh_data_valid</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_enh_data_valid</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_enh_fifo_full</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_enh_fifo_full</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_enh_fifo_full</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_enh_fifo_empty</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_enh_fifo_empty</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_enh_fifo_empty</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_enh_fifo_del</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_enh_fifo_del</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_enh_fifo_del</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_enh_fifo_insert</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_enh_fifo_insert</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_enh_fifo_insert</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_enh_highber</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_enh_highber</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_enh_highber</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_enh_blk_lock</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_enh_blk_lock</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_enh_blk_lock</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>reconfig_clk</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>reconfig_clk</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>reconfig_reset</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>reset</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>reconfig_reset</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>reconfig_avmm</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>write</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>reconfig_write</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>read</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>reconfig_read</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>address</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>reconfig_address</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>writedata</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>reconfig_writedata</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>readdata</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>reconfig_readdata</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>waitrequest</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>reconfig_waitrequest</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + </ipxact:busInterfaces> + <ipxact:model> + <ipxact:views> + <ipxact:view> + <ipxact:name>QUARTUS_SYNTH</ipxact:name> + <ipxact:envIdentifier>:quartus.altera.com:</ipxact:envIdentifier> + <ipxact:componentInstantiationRef>QUARTUS_SYNTH</ipxact:componentInstantiationRef> + </ipxact:view> + </ipxact:views> + <ipxact:instantiations> + <ipxact:componentInstantiation> + <ipxact:name>QUARTUS_SYNTH</ipxact:name> + <ipxact:moduleName>altera_xcvr_native_a10</ipxact:moduleName> + <ipxact:fileSetRef> + <ipxact:localName>QUARTUS_SYNTH</ipxact:localName> + </ipxact:fileSetRef> + </ipxact:componentInstantiation> + </ipxact:instantiations> + <ipxact:ports> + <ipxact:port> + <ipxact:name>tx_analogreset</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>47</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_digitalreset</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>47</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_analogreset</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>47</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_digitalreset</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>47</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_cal_busy</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>47</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_cal_busy</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>47</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_serial_clk0</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>47</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_cdr_refclk0</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_serial_data</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>47</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_serial_data</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>47</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_seriallpbken</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>47</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_is_lockedtoref</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>47</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_is_lockedtodata</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>47</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_coreclkin</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>47</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_coreclkin</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>47</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_clkout</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>47</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_clkout</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>47</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_parallel_data</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>3071</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_control</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>383</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_err_ins</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>47</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>unused_tx_parallel_data</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>3071</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>unused_tx_control</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>431</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_parallel_data</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>3071</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_control</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>383</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>unused_rx_parallel_data</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>3071</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>unused_rx_control</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>575</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_prbs_err_clr</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>47</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_prbs_done</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>47</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_prbs_err</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>47</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_enh_data_valid</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>47</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_enh_fifo_full</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>47</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_enh_fifo_pfull</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>47</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_enh_fifo_empty</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>47</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_enh_fifo_pempty</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>47</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_enh_data_valid</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>47</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_enh_fifo_full</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>47</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_enh_fifo_empty</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>47</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_enh_fifo_del</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>47</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_enh_fifo_insert</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>47</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_enh_highber</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>47</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_enh_blk_lock</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>47</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>reconfig_clk</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>reconfig_reset</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>reconfig_write</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>reconfig_read</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>reconfig_address</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>15</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>reconfig_writedata</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>31</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>reconfig_readdata</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>31</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>reconfig_waitrequest</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + </ipxact:ports> + </ipxact:model> + <ipxact:vendorExtensions> + <altera:entity_info> + <ipxact:vendor>Intel Corporation</ipxact:vendor> + <ipxact:library>ip_arria10_e2sg_phy_10gbase_r_48</ipxact:library> + <ipxact:name>altera_xcvr_native_a10</ipxact:name> + <ipxact:version>19.1</ipxact:version> + </altera:entity_info> + <altera:altera_module_parameters> + <ipxact:parameters> + <ipxact:parameter parameterId="device_family" type="string"> + <ipxact:name>device_family</ipxact:name> + <ipxact:displayName>device_family</ipxact:displayName> + <ipxact:value>Arria 10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="device" type="string"> + <ipxact:name>device</ipxact:name> + <ipxact:displayName>device</ipxact:displayName> + <ipxact:value>10AX115U3F45E2SG</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="base_device" type="string"> + <ipxact:name>base_device</ipxact:name> + <ipxact:displayName>base_device</ipxact:displayName> + <ipxact:value>NIGHTFURY5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="design_environment" type="string"> + <ipxact:name>design_environment</ipxact:name> + <ipxact:displayName>design_environment</ipxact:displayName> + <ipxact:value>NATIVE</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="device_revision" type="string"> + <ipxact:name>device_revision</ipxact:name> + <ipxact:displayName>device_revision</ipxact:displayName> + <ipxact:value>20nm5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="message_level" type="string"> + <ipxact:name>message_level</ipxact:name> + <ipxact:displayName>Message level for rule violations</ipxact:displayName> + <ipxact:value>error</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_voltage" type="string"> + <ipxact:name>anlg_voltage</ipxact:name> + <ipxact:displayName>VCCR_GXB and VCCT_GXB supply voltage for the Transceiver</ipxact:displayName> + <ipxact:value>1_0V</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_link" type="string"> + <ipxact:name>anlg_link</ipxact:name> + <ipxact:displayName>Tranceiver Link Type</ipxact:displayName> + <ipxact:value>sr</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="support_mode" type="string"> + <ipxact:name>support_mode</ipxact:name> + <ipxact:displayName>Protocol support mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="protocol_mode" type="string"> + <ipxact:name>protocol_mode</ipxact:name> + <ipxact:displayName>Transceiver configuration rules</ipxact:displayName> + <ipxact:value>teng_baser_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_mode" type="string"> + <ipxact:name>pma_mode</ipxact:name> + <ipxact:displayName>PMA configuration rules</ipxact:displayName> + <ipxact:value>basic</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="duplex_mode" type="string"> + <ipxact:name>duplex_mode</ipxact:name> + <ipxact:displayName>Transceiver mode</ipxact:displayName> + <ipxact:value>duplex</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="channels" type="int"> + <ipxact:name>channels</ipxact:name> + <ipxact:displayName>Number of data channels</ipxact:displayName> + <ipxact:value>48</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_data_rate" type="string"> + <ipxact:name>set_data_rate</ipxact:name> + <ipxact:displayName>Data rate</ipxact:displayName> + <ipxact:value>10312.5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_iface_enable" type="int"> + <ipxact:name>rcfg_iface_enable</ipxact:name> + <ipxact:displayName>Enable datapath and interface reconfiguration</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_simple_interface" type="int"> + <ipxact:name>enable_simple_interface</ipxact:name> + <ipxact:displayName>Enable simplified data interface</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_split_interface" type="int"> + <ipxact:name>enable_split_interface</ipxact:name> + <ipxact:displayName>Provide separate interface for each channel</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_enable_calibration" type="int"> + <ipxact:name>set_enable_calibration</ipxact:name> + <ipxact:displayName>Enable calibration</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_calibration" type="int"> + <ipxact:name>enable_calibration</ipxact:name> + <ipxact:displayName>enable_calibration</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_disconnect_analog_resets" type="int"> + <ipxact:name>set_disconnect_analog_resets</ipxact:name> + <ipxact:displayName>Disconnect analog resets</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_analog_resets" type="int"> + <ipxact:name>enable_analog_resets</ipxact:name> + <ipxact:displayName>Enable analog resets</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_reset_sequence" type="int"> + <ipxact:name>enable_reset_sequence</ipxact:name> + <ipxact:displayName>Enable reset sequence</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_transparent_pcs" type="int"> + <ipxact:name>enable_transparent_pcs</ipxact:name> + <ipxact:displayName>Enable transparent PCS</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_parallel_loopback" type="int"> + <ipxact:name>enable_parallel_loopback</ipxact:name> + <ipxact:displayName>Enable parallel loopback</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_upi_pipeline_options" type="int"> + <ipxact:name>enable_upi_pipeline_options</ipxact:name> + <ipxact:displayName>Enable UPI Pipeline Options</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pcs_tx_delay1_ctrl" type="string"> + <ipxact:name>pcs_tx_delay1_ctrl</ipxact:name> + <ipxact:displayName>Delay1 setting</ipxact:displayName> + <ipxact:value>delay1_path0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pcs_tx_delay1_data_sel" type="string"> + <ipxact:name>pcs_tx_delay1_data_sel</ipxact:name> + <ipxact:displayName>Delay1 mode</ipxact:displayName> + <ipxact:value>one_ff_delay</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pcs_tx_delay2_ctrl" type="string"> + <ipxact:name>pcs_tx_delay2_ctrl</ipxact:name> + <ipxact:displayName>Delay2 setting</ipxact:displayName> + <ipxact:value>delay2_path0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="bonded_mode" type="string"> + <ipxact:name>bonded_mode</ipxact:name> + <ipxact:displayName>TX channel bonding mode</ipxact:displayName> + <ipxact:value>not_bonded</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_pcs_bonding_master" type="string"> + <ipxact:name>set_pcs_bonding_master</ipxact:name> + <ipxact:displayName>PCS TX channel bonding master</ipxact:displayName> + <ipxact:value>Auto</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pcs_bonding_master" type="int"> + <ipxact:name>pcs_bonding_master</ipxact:name> + <ipxact:displayName>Actual PCS TX channel bonding master</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="tx_pma_clk_div" type="int"> + <ipxact:name>tx_pma_clk_div</ipxact:name> + <ipxact:displayName>TX local clock division factor</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="plls" type="int"> + <ipxact:name>plls</ipxact:name> + <ipxact:displayName>Number of TX PLL clock inputs per channel</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_select" type="int"> + <ipxact:name>pll_select</ipxact:name> + <ipxact:displayName>Initial TX PLL clock input selection</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_analog_reset_ack" type="int"> + <ipxact:name>enable_port_tx_analog_reset_ack</ipxact:name> + <ipxact:displayName>Enable tx_analog_reset_ack port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_pma_clkout" type="int"> + <ipxact:name>enable_port_tx_pma_clkout</ipxact:name> + <ipxact:displayName>Enable tx_pma_clkout port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_pma_div_clkout" type="int"> + <ipxact:name>enable_port_tx_pma_div_clkout</ipxact:name> + <ipxact:displayName>Enable tx_pma_div_clkout port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="tx_pma_div_clkout_divider" type="string"> + <ipxact:name>tx_pma_div_clkout_divider</ipxact:name> + <ipxact:displayName>tx_pma_div_clkout division factor</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_pma_iqtxrx_clkout" type="int"> + <ipxact:name>enable_port_tx_pma_iqtxrx_clkout</ipxact:name> + <ipxact:displayName>Enable tx_pma_iqtxrx_clkout port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_pma_elecidle" type="int"> + <ipxact:name>enable_port_tx_pma_elecidle</ipxact:name> + <ipxact:displayName>Enable tx_pma_elecidle port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_pma_qpipullup" type="int"> + <ipxact:name>enable_port_tx_pma_qpipullup</ipxact:name> + <ipxact:displayName>Enable tx_pma_qpipullup port (QPI)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_pma_qpipulldn" type="int"> + <ipxact:name>enable_port_tx_pma_qpipulldn</ipxact:name> + <ipxact:displayName>Enable tx_pma_qpipulldn port (QPI)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_pma_txdetectrx" type="int"> + <ipxact:name>enable_port_tx_pma_txdetectrx</ipxact:name> + <ipxact:displayName>Enable tx_pma_txdetectrx port (QPI)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_pma_rxfound" type="int"> + <ipxact:name>enable_port_tx_pma_rxfound</ipxact:name> + <ipxact:displayName>Enable tx_pma_rxfound port (QPI)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_seriallpbken_tx" type="int"> + <ipxact:name>enable_port_rx_seriallpbken_tx</ipxact:name> + <ipxact:displayName>Enable rx_seriallpbken port</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="number_physical_bonding_clocks" type="int"> + <ipxact:name>number_physical_bonding_clocks</ipxact:name> + <ipxact:displayName>Number of physical bonding clock ports to use.</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_refclk_cnt" type="int"> + <ipxact:name>cdr_refclk_cnt</ipxact:name> + <ipxact:displayName>Number of CDR reference clocks</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_refclk_select" type="int"> + <ipxact:name>cdr_refclk_select</ipxact:name> + <ipxact:displayName>Selected CDR reference clock</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_cdr_refclk_freq" type="string"> + <ipxact:name>set_cdr_refclk_freq</ipxact:name> + <ipxact:displayName>Selected CDR reference clock frequency</ipxact:displayName> + <ipxact:value>644.531250</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rx_ppm_detect_threshold" type="string"> + <ipxact:name>rx_ppm_detect_threshold</ipxact:name> + <ipxact:displayName>PPM detector threshold</ipxact:displayName> + <ipxact:value>1000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rx_pma_ctle_adaptation_mode" type="string"> + <ipxact:name>rx_pma_ctle_adaptation_mode</ipxact:name> + <ipxact:displayName>CTLE mode</ipxact:displayName> + <ipxact:value>manual</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rx_pma_dfe_adaptation_mode" type="string"> + <ipxact:name>rx_pma_dfe_adaptation_mode</ipxact:name> + <ipxact:displayName>DFE mode</ipxact:displayName> + <ipxact:value>disabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rx_pma_dfe_fixed_taps" type="int"> + <ipxact:name>rx_pma_dfe_fixed_taps</ipxact:name> + <ipxact:displayName>Number of fixed dfe taps</ipxact:displayName> + <ipxact:value>3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_ports_adaptation" type="int"> + <ipxact:name>enable_ports_adaptation</ipxact:name> + <ipxact:displayName>Enable adaptation control ports</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_analog_reset_ack" type="int"> + <ipxact:name>enable_port_rx_analog_reset_ack</ipxact:name> + <ipxact:displayName>Enable rx_analog_reset_ack port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_pma_clkout" type="int"> + <ipxact:name>enable_port_rx_pma_clkout</ipxact:name> + <ipxact:displayName>Enable rx_pma_clkout port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_pma_div_clkout" type="int"> + <ipxact:name>enable_port_rx_pma_div_clkout</ipxact:name> + <ipxact:displayName>Enable rx_pma_div_clkout port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rx_pma_div_clkout_divider" type="string"> + <ipxact:name>rx_pma_div_clkout_divider</ipxact:name> + <ipxact:displayName>rx_pma_div_clkout division factor</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_pma_iqtxrx_clkout" type="int"> + <ipxact:name>enable_port_rx_pma_iqtxrx_clkout</ipxact:name> + <ipxact:displayName>Enable rx_pma_iqtxrx_clkout port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_pma_clkslip" type="int"> + <ipxact:name>enable_port_rx_pma_clkslip</ipxact:name> + <ipxact:displayName>Enable rx_pma_clkslip port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_pma_qpipulldn" type="int"> + <ipxact:name>enable_port_rx_pma_qpipulldn</ipxact:name> + <ipxact:displayName>Enable rx_pma_qpipulldn port (QPI)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_is_lockedtodata" type="int"> + <ipxact:name>enable_port_rx_is_lockedtodata</ipxact:name> + <ipxact:displayName>Enable rx_is_lockedtodata port</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_is_lockedtoref" type="int"> + <ipxact:name>enable_port_rx_is_lockedtoref</ipxact:name> + <ipxact:displayName>Enable rx_is_lockedtoref port</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_ports_rx_manual_cdr_mode" type="int"> + <ipxact:name>enable_ports_rx_manual_cdr_mode</ipxact:name> + <ipxact:displayName>Enable rx_set_locktodata and rx_set_locktoref ports</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_ports_rx_manual_ppm" type="int"> + <ipxact:name>enable_ports_rx_manual_ppm</ipxact:name> + <ipxact:displayName>Enable rx_fref and rx_clklow ports</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_signaldetect" type="int"> + <ipxact:name>enable_port_rx_signaldetect</ipxact:name> + <ipxact:displayName>Enable rx_signaldetect port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_seriallpbken" type="int"> + <ipxact:name>enable_port_rx_seriallpbken</ipxact:name> + <ipxact:displayName>Enable rx_seriallpbken port</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_ports_rx_prbs" type="int"> + <ipxact:name>enable_ports_rx_prbs</ipxact:name> + <ipxact:displayName>Enable PRBS verifier control and status ports</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_pcs_pma_width" type="int"> + <ipxact:name>std_pcs_pma_width</ipxact:name> + <ipxact:displayName>Standard PCS / PMA interface width</ipxact:displayName> + <ipxact:value>10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="display_std_tx_pld_pcs_width" type="int"> + <ipxact:name>display_std_tx_pld_pcs_width</ipxact:name> + <ipxact:displayName>FPGA fabric / Standard TX PCS interface width</ipxact:displayName> + <ipxact:value>10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="display_std_rx_pld_pcs_width" type="int"> + <ipxact:name>display_std_rx_pld_pcs_width</ipxact:name> + <ipxact:displayName>FPGA fabric / Standard RX PCS interface width</ipxact:displayName> + <ipxact:value>10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_low_latency_bypass_enable" type="int"> + <ipxact:name>std_low_latency_bypass_enable</ipxact:name> + <ipxact:displayName>Enable 'Standard PCS' low latency mode</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_hip" type="int"> + <ipxact:name>enable_hip</ipxact:name> + <ipxact:displayName>Enable PCIe hard IP support</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_skp_ports" type="int"> + <ipxact:name>enable_skp_ports</ipxact:name> + <ipxact:displayName>Enable SKP ports for Gen3</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_hard_reset" type="int"> + <ipxact:name>enable_hard_reset</ipxact:name> + <ipxact:displayName>Enable hard reset controller (HIP)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_hip_cal_en" type="int"> + <ipxact:name>set_hip_cal_en</ipxact:name> + <ipxact:displayName>Enable PCIe hard IP calibration</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hip_cal_en" type="string"> + <ipxact:name>hip_cal_en</ipxact:name> + <ipxact:displayName>hip_cal_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_pcie_data_mask_option" type="int"> + <ipxact:name>enable_pcie_data_mask_option</ipxact:name> + <ipxact:displayName>Enable PCIe data mask count multiplier control</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_data_mask_count_multi" type="int"> + <ipxact:name>std_data_mask_count_multi</ipxact:name> + <ipxact:displayName>PCIe data mask count multiplier</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_tx_pcfifo_mode" type="string"> + <ipxact:name>std_tx_pcfifo_mode</ipxact:name> + <ipxact:displayName>TX FIFO mode</ipxact:displayName> + <ipxact:value>low_latency</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_rx_pcfifo_mode" type="string"> + <ipxact:name>std_rx_pcfifo_mode</ipxact:name> + <ipxact:displayName>RX FIFO mode</ipxact:displayName> + <ipxact:value>low_latency</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_std_pcfifo_full" type="int"> + <ipxact:name>enable_port_tx_std_pcfifo_full</ipxact:name> + <ipxact:displayName>Enable tx_std_pcfifo_full port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_std_pcfifo_empty" type="int"> + <ipxact:name>enable_port_tx_std_pcfifo_empty</ipxact:name> + <ipxact:displayName>Enable tx_std_pcfifo_empty port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_std_pcfifo_full" type="int"> + <ipxact:name>enable_port_rx_std_pcfifo_full</ipxact:name> + <ipxact:displayName>Enable rx_std_pcfifo_full port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_std_pcfifo_empty" type="int"> + <ipxact:name>enable_port_rx_std_pcfifo_empty</ipxact:name> + <ipxact:displayName>Enable rx_std_pcfifo_empty port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_tx_byte_ser_mode" type="string"> + <ipxact:name>std_tx_byte_ser_mode</ipxact:name> + <ipxact:displayName>TX byte serializer mode</ipxact:displayName> + <ipxact:value>Disabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_rx_byte_deser_mode" type="string"> + <ipxact:name>std_rx_byte_deser_mode</ipxact:name> + <ipxact:displayName>RX byte deserializer mode</ipxact:displayName> + <ipxact:value>Disabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_tx_8b10b_enable" type="int"> + <ipxact:name>std_tx_8b10b_enable</ipxact:name> + <ipxact:displayName>Enable TX 8B/10B encoder</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_tx_8b10b_disp_ctrl_enable" type="int"> + <ipxact:name>std_tx_8b10b_disp_ctrl_enable</ipxact:name> + <ipxact:displayName>Enable TX 8B/10B disparity control</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_rx_8b10b_enable" type="int"> + <ipxact:name>std_rx_8b10b_enable</ipxact:name> + <ipxact:displayName>Enable RX 8B/10B decoder</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_rx_rmfifo_mode" type="string"> + <ipxact:name>std_rx_rmfifo_mode</ipxact:name> + <ipxact:displayName>RX rate match FIFO mode</ipxact:displayName> + <ipxact:value>disabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_rx_rmfifo_pattern_n" type="int"> + <ipxact:name>std_rx_rmfifo_pattern_n</ipxact:name> + <ipxact:displayName>RX rate match insert/delete -ve pattern (hex)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_rx_rmfifo_pattern_p" type="int"> + <ipxact:name>std_rx_rmfifo_pattern_p</ipxact:name> + <ipxact:displayName>RX rate match insert/delete +ve pattern (hex)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_std_rmfifo_full" type="int"> + <ipxact:name>enable_port_rx_std_rmfifo_full</ipxact:name> + <ipxact:displayName>Enable rx_std_rmfifo_full port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_std_rmfifo_empty" type="int"> + <ipxact:name>enable_port_rx_std_rmfifo_empty</ipxact:name> + <ipxact:displayName>Enable rx_std_rmfifo_empty port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pcie_rate_match" type="string"> + <ipxact:name>pcie_rate_match</ipxact:name> + <ipxact:displayName>PCI Express Gen 3 rate match FIFO mode</ipxact:displayName> + <ipxact:value>Bypass</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_tx_bitslip_enable" type="int"> + <ipxact:name>std_tx_bitslip_enable</ipxact:name> + <ipxact:displayName>Enable TX bitslip</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_std_bitslipboundarysel" type="int"> + <ipxact:name>enable_port_tx_std_bitslipboundarysel</ipxact:name> + <ipxact:displayName>Enable tx_std_bitslipboundarysel port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_rx_word_aligner_mode" type="string"> + <ipxact:name>std_rx_word_aligner_mode</ipxact:name> + <ipxact:displayName>RX word aligner mode</ipxact:displayName> + <ipxact:value>bitslip</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_rx_word_aligner_pattern_len" type="int"> + <ipxact:name>std_rx_word_aligner_pattern_len</ipxact:name> + <ipxact:displayName>RX word aligner pattern length</ipxact:displayName> + <ipxact:value>7</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_rx_word_aligner_pattern" type="longint"> + <ipxact:name>std_rx_word_aligner_pattern</ipxact:name> + <ipxact:displayName>RX word aligner pattern (hex)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_rx_word_aligner_rknumber" type="int"> + <ipxact:name>std_rx_word_aligner_rknumber</ipxact:name> + <ipxact:displayName>Number of word alignment patterns to achieve sync</ipxact:displayName> + <ipxact:value>3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_rx_word_aligner_renumber" type="int"> + <ipxact:name>std_rx_word_aligner_renumber</ipxact:name> + <ipxact:displayName>Number of invalid data words to lose sync</ipxact:displayName> + <ipxact:value>3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_rx_word_aligner_rgnumber" type="int"> + <ipxact:name>std_rx_word_aligner_rgnumber</ipxact:name> + <ipxact:displayName>Number of valid data words to decrement error count</ipxact:displayName> + <ipxact:value>3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_rx_word_aligner_rvnumber" type="int"> + <ipxact:name>std_rx_word_aligner_rvnumber</ipxact:name> + <ipxact:displayName>Number of valid data patterns required to achieve word alignment</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_rx_word_aligner_fast_sync_status_enable" type="int"> + <ipxact:name>std_rx_word_aligner_fast_sync_status_enable</ipxact:name> + <ipxact:displayName>Enable fast sync status reporting for deterministic latency SM</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_std_wa_patternalign" type="int"> + <ipxact:name>enable_port_rx_std_wa_patternalign</ipxact:name> + <ipxact:displayName>Enable rx_std_wa_patternalign port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_std_wa_a1a2size" type="int"> + <ipxact:name>enable_port_rx_std_wa_a1a2size</ipxact:name> + <ipxact:displayName>Enable rx_std_wa_a1a2size port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_std_bitslipboundarysel" type="int"> + <ipxact:name>enable_port_rx_std_bitslipboundarysel</ipxact:name> + <ipxact:displayName>Enable rx_std_bitslipboundarysel port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_std_bitslip" type="int"> + <ipxact:name>enable_port_rx_std_bitslip</ipxact:name> + <ipxact:displayName>Enable rx_bitslip port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_tx_bitrev_enable" type="int"> + <ipxact:name>std_tx_bitrev_enable</ipxact:name> + <ipxact:displayName>Enable TX bit reversal</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_tx_byterev_enable" type="int"> + <ipxact:name>std_tx_byterev_enable</ipxact:name> + <ipxact:displayName>Enable TX byte reversal</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_tx_polinv_enable" type="int"> + <ipxact:name>std_tx_polinv_enable</ipxact:name> + <ipxact:displayName>Enable TX polarity inversion</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_polinv" type="int"> + <ipxact:name>enable_port_tx_polinv</ipxact:name> + <ipxact:displayName>Enable tx_polinv port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_rx_bitrev_enable" type="int"> + <ipxact:name>std_rx_bitrev_enable</ipxact:name> + <ipxact:displayName>Enable RX bit reversal</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_std_bitrev_ena" type="int"> + <ipxact:name>enable_port_rx_std_bitrev_ena</ipxact:name> + <ipxact:displayName>Enable rx_std_bitrev_ena port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_rx_byterev_enable" type="int"> + <ipxact:name>std_rx_byterev_enable</ipxact:name> + <ipxact:displayName>Enable RX byte reversal</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_std_byterev_ena" type="int"> + <ipxact:name>enable_port_rx_std_byterev_ena</ipxact:name> + <ipxact:displayName>Enable rx_std_byterev_ena port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="std_rx_polinv_enable" type="int"> + <ipxact:name>std_rx_polinv_enable</ipxact:name> + <ipxact:displayName>Enable RX polarity inversion</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_polinv" type="int"> + <ipxact:name>enable_port_rx_polinv</ipxact:name> + <ipxact:displayName>Enable rx_polinv port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_std_signaldetect" type="int"> + <ipxact:name>enable_port_rx_std_signaldetect</ipxact:name> + <ipxact:displayName>Enable rx_std_signaldetect port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_ports_pipe_sw" type="int"> + <ipxact:name>enable_ports_pipe_sw</ipxact:name> + <ipxact:displayName>Enable PCIe dynamic datarate switch ports</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_ports_pipe_hclk" type="int"> + <ipxact:name>enable_ports_pipe_hclk</ipxact:name> + <ipxact:displayName>Enable PCIe pipe_hclk_in and pipe_hclk_out ports</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_ports_pipe_g3_analog" type="int"> + <ipxact:name>enable_ports_pipe_g3_analog</ipxact:name> + <ipxact:displayName>Enable PCIe Gen 3 analog control ports</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_ports_pipe_rx_elecidle" type="int"> + <ipxact:name>enable_ports_pipe_rx_elecidle</ipxact:name> + <ipxact:displayName>Enable PCIe electrical idle control and status ports</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_pipe_rx_polarity" type="int"> + <ipxact:name>enable_port_pipe_rx_polarity</ipxact:name> + <ipxact:displayName>Enable PCIe pipe_rx_polarity port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_pcs_pma_width" type="int"> + <ipxact:name>enh_pcs_pma_width</ipxact:name> + <ipxact:displayName>Enhanced PCS / PMA interface width</ipxact:displayName> + <ipxact:value>32</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_pld_pcs_width" type="int"> + <ipxact:name>enh_pld_pcs_width</ipxact:name> + <ipxact:displayName>FPGA fabric / Enhanced PCS interface width</ipxact:displayName> + <ipxact:value>66</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_low_latency_enable" type="int"> + <ipxact:name>enh_low_latency_enable</ipxact:name> + <ipxact:displayName>Enable 'Enhanced PCS' low latency mode</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_rxtxfifo_double_width" type="int"> + <ipxact:name>enh_rxtxfifo_double_width</ipxact:name> + <ipxact:displayName>Enable RX/TX FIFO double width mode</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_txfifo_mode" type="string"> + <ipxact:name>enh_txfifo_mode</ipxact:name> + <ipxact:displayName>TX FIFO mode</ipxact:displayName> + <ipxact:value>Phase compensation</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_txfifo_pfull" type="int"> + <ipxact:name>enh_txfifo_pfull</ipxact:name> + <ipxact:displayName>TX FIFO partially full threshold</ipxact:displayName> + <ipxact:value>11</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_txfifo_pempty" type="int"> + <ipxact:name>enh_txfifo_pempty</ipxact:name> + <ipxact:displayName>TX FIFO partially empty threshold</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_enh_fifo_full" type="int"> + <ipxact:name>enable_port_tx_enh_fifo_full</ipxact:name> + <ipxact:displayName>Enable tx_enh_fifo_full port</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_enh_fifo_pfull" type="int"> + <ipxact:name>enable_port_tx_enh_fifo_pfull</ipxact:name> + <ipxact:displayName>Enable tx_enh_fifo_pfull port</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_enh_fifo_empty" type="int"> + <ipxact:name>enable_port_tx_enh_fifo_empty</ipxact:name> + <ipxact:displayName>Enable tx_enh_fifo_empty port</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_enh_fifo_pempty" type="int"> + <ipxact:name>enable_port_tx_enh_fifo_pempty</ipxact:name> + <ipxact:displayName>Enable tx_enh_fifo_pempty port</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_enh_fifo_cnt" type="int"> + <ipxact:name>enable_port_tx_enh_fifo_cnt</ipxact:name> + <ipxact:displayName>Enable tx_enh_fifo_cnt port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_rxfifo_mode" type="string"> + <ipxact:name>enh_rxfifo_mode</ipxact:name> + <ipxact:displayName>RX FIFO mode</ipxact:displayName> + <ipxact:value>10GBase-R</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_rxfifo_pfull" type="int"> + <ipxact:name>enh_rxfifo_pfull</ipxact:name> + <ipxact:displayName>RX FIFO partially full threshold</ipxact:displayName> + <ipxact:value>23</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_rxfifo_pempty" type="int"> + <ipxact:name>enh_rxfifo_pempty</ipxact:name> + <ipxact:displayName>RX FIFO partially empty threshold</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_rxfifo_align_del" type="int"> + <ipxact:name>enh_rxfifo_align_del</ipxact:name> + <ipxact:displayName>Enable RX FIFO alignment word deletion (Interlaken)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_rxfifo_control_del" type="int"> + <ipxact:name>enh_rxfifo_control_del</ipxact:name> + <ipxact:displayName>Enable RX FIFO control word deletion (Interlaken)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_data_valid" type="int"> + <ipxact:name>enable_port_rx_enh_data_valid</ipxact:name> + <ipxact:displayName>Enable rx_enh_data_valid port</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_fifo_full" type="int"> + <ipxact:name>enable_port_rx_enh_fifo_full</ipxact:name> + <ipxact:displayName>Enable rx_enh_fifo_full port</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_fifo_pfull" type="int"> + <ipxact:name>enable_port_rx_enh_fifo_pfull</ipxact:name> + <ipxact:displayName>Enable rx_enh_fifo_pfull port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_fifo_empty" type="int"> + <ipxact:name>enable_port_rx_enh_fifo_empty</ipxact:name> + <ipxact:displayName>Enable rx_enh_fifo_empty port</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_fifo_pempty" type="int"> + <ipxact:name>enable_port_rx_enh_fifo_pempty</ipxact:name> + <ipxact:displayName>Enable rx_enh_fifo_pempty port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_fifo_cnt" type="int"> + <ipxact:name>enable_port_rx_enh_fifo_cnt</ipxact:name> + <ipxact:displayName>Enable rx_enh_fifo_cnt port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_fifo_del" type="int"> + <ipxact:name>enable_port_rx_enh_fifo_del</ipxact:name> + <ipxact:displayName>Enable rx_enh_fifo_del port (10GBASE-R)</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_fifo_insert" type="int"> + <ipxact:name>enable_port_rx_enh_fifo_insert</ipxact:name> + <ipxact:displayName>Enable rx_enh_fifo_insert port (10GBASE-R)</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_fifo_rd_en" type="int"> + <ipxact:name>enable_port_rx_enh_fifo_rd_en</ipxact:name> + <ipxact:displayName>Enable rx_enh_fifo_rd_en port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_fifo_align_val" type="int"> + <ipxact:name>enable_port_rx_enh_fifo_align_val</ipxact:name> + <ipxact:displayName>Enable rx_enh_fifo_align_val port (Interlaken)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_fifo_align_clr" type="int"> + <ipxact:name>enable_port_rx_enh_fifo_align_clr</ipxact:name> + <ipxact:displayName>Enable rx_enh_fifo_align_clr port (Interlaken)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_tx_frmgen_enable" type="int"> + <ipxact:name>enh_tx_frmgen_enable</ipxact:name> + <ipxact:displayName>Enable Interlaken frame generator</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_tx_frmgen_mfrm_length" type="int"> + <ipxact:name>enh_tx_frmgen_mfrm_length</ipxact:name> + <ipxact:displayName>Frame generator metaframe length</ipxact:displayName> + <ipxact:value>2048</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_tx_frmgen_burst_enable" type="int"> + <ipxact:name>enh_tx_frmgen_burst_enable</ipxact:name> + <ipxact:displayName>Enable frame generator burst control</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_enh_frame" type="int"> + <ipxact:name>enable_port_tx_enh_frame</ipxact:name> + <ipxact:displayName>Enable tx_enh_frame port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_enh_frame_diag_status" type="int"> + <ipxact:name>enable_port_tx_enh_frame_diag_status</ipxact:name> + <ipxact:displayName>Enable tx_enh_frame_diag_status port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_enh_frame_burst_en" type="int"> + <ipxact:name>enable_port_tx_enh_frame_burst_en</ipxact:name> + <ipxact:displayName>Enable tx_enh_frame_burst_en port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_rx_frmsync_enable" type="int"> + <ipxact:name>enh_rx_frmsync_enable</ipxact:name> + <ipxact:displayName>Enable Interlaken frame synchronizer</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_rx_frmsync_mfrm_length" type="int"> + <ipxact:name>enh_rx_frmsync_mfrm_length</ipxact:name> + <ipxact:displayName>Frame synchronizer metaframe length</ipxact:displayName> + <ipxact:value>2048</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_frame" type="int"> + <ipxact:name>enable_port_rx_enh_frame</ipxact:name> + <ipxact:displayName>Enable rx_enh_frame port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_frame_lock" type="int"> + <ipxact:name>enable_port_rx_enh_frame_lock</ipxact:name> + <ipxact:displayName>Enable rx_enh_frame_lock port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_frame_diag_status" type="int"> + <ipxact:name>enable_port_rx_enh_frame_diag_status</ipxact:name> + <ipxact:displayName>Enable rx_enh_frame_diag_status port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_tx_crcgen_enable" type="int"> + <ipxact:name>enh_tx_crcgen_enable</ipxact:name> + <ipxact:displayName>Enable Interlaken TX CRC-32 generator</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_tx_crcerr_enable" type="int"> + <ipxact:name>enh_tx_crcerr_enable</ipxact:name> + <ipxact:displayName>Enable Interlaken TX CRC-32 generator error insertion</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_rx_crcchk_enable" type="int"> + <ipxact:name>enh_rx_crcchk_enable</ipxact:name> + <ipxact:displayName>Enable Interlaken RX CRC-32 checker</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_crc32_err" type="int"> + <ipxact:name>enable_port_rx_enh_crc32_err</ipxact:name> + <ipxact:displayName>Enable rx_enh_crc32_err port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_highber" type="int"> + <ipxact:name>enable_port_rx_enh_highber</ipxact:name> + <ipxact:displayName>Enable rx_enh_highber port (10GBASE-R)</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_highber_clr_cnt" type="int"> + <ipxact:name>enable_port_rx_enh_highber_clr_cnt</ipxact:name> + <ipxact:displayName>Enable rx_enh_highber_clr_cnt port (10GBASE-R)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_clr_errblk_count" type="int"> + <ipxact:name>enable_port_rx_enh_clr_errblk_count</ipxact:name> + <ipxact:displayName>Enable rx_enh_clr_errblk_count port (10GBASE-R & FEC)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_clr_errblk_count_c10" type="int"> + <ipxact:name>enable_port_rx_enh_clr_errblk_count_c10</ipxact:name> + <ipxact:displayName>Enable rx_enh_clr_errblk_count port (10GBASE-R)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_tx_64b66b_enable" type="int"> + <ipxact:name>enh_tx_64b66b_enable</ipxact:name> + <ipxact:displayName>Enable TX 64b/66b encoder</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_rx_64b66b_enable" type="int"> + <ipxact:name>enh_rx_64b66b_enable</ipxact:name> + <ipxact:displayName>Enable RX 64b/66b decoder</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_tx_sh_err" type="int"> + <ipxact:name>enh_tx_sh_err</ipxact:name> + <ipxact:displayName>Enable TX sync header error insertion</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_tx_scram_enable" type="int"> + <ipxact:name>enh_tx_scram_enable</ipxact:name> + <ipxact:displayName>Enable TX scrambler (10GBASE-R/Interlaken)</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_tx_scram_seed" type="longint"> + <ipxact:name>enh_tx_scram_seed</ipxact:name> + <ipxact:displayName>TX scrambler seed (10GBASE-R/Interlaken)</ipxact:displayName> + <ipxact:value>288230376151711743</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_rx_descram_enable" type="int"> + <ipxact:name>enh_rx_descram_enable</ipxact:name> + <ipxact:displayName>Enable RX descrambler (10GBASE-R/Interlaken)</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_tx_dispgen_enable" type="int"> + <ipxact:name>enh_tx_dispgen_enable</ipxact:name> + <ipxact:displayName>Enable Interlaken TX disparity generator</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_rx_dispchk_enable" type="int"> + <ipxact:name>enh_rx_dispchk_enable</ipxact:name> + <ipxact:displayName>Enable Interlaken RX disparity checker</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_tx_randomdispbit_enable" type="int"> + <ipxact:name>enh_tx_randomdispbit_enable</ipxact:name> + <ipxact:displayName>Enable Interlaken TX random disparity bit</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_rx_blksync_enable" type="int"> + <ipxact:name>enh_rx_blksync_enable</ipxact:name> + <ipxact:displayName>Enable RX block synchronizer</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_blk_lock" type="int"> + <ipxact:name>enable_port_rx_enh_blk_lock</ipxact:name> + <ipxact:displayName>Enable rx_enh_blk_lock port</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_tx_bitslip_enable" type="int"> + <ipxact:name>enh_tx_bitslip_enable</ipxact:name> + <ipxact:displayName>Enable TX data bitslip</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_tx_polinv_enable" type="int"> + <ipxact:name>enh_tx_polinv_enable</ipxact:name> + <ipxact:displayName>Enable TX data polarity inversion</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_rx_bitslip_enable" type="int"> + <ipxact:name>enh_rx_bitslip_enable</ipxact:name> + <ipxact:displayName>Enable RX data bitslip</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_rx_polinv_enable" type="int"> + <ipxact:name>enh_rx_polinv_enable</ipxact:name> + <ipxact:displayName>Enable RX data polarity inversion</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_tx_enh_bitslip" type="int"> + <ipxact:name>enable_port_tx_enh_bitslip</ipxact:name> + <ipxact:displayName>Enable tx_enh_bitslip port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_rx_enh_bitslip" type="int"> + <ipxact:name>enable_port_rx_enh_bitslip</ipxact:name> + <ipxact:displayName>Enable rx_bitslip port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_rx_krfec_err_mark_enable" type="int"> + <ipxact:name>enh_rx_krfec_err_mark_enable</ipxact:name> + <ipxact:displayName>Enable RX KR-FEC error marking</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_rx_krfec_err_mark_type" type="string"> + <ipxact:name>enh_rx_krfec_err_mark_type</ipxact:name> + <ipxact:displayName>Error marking type</ipxact:displayName> + <ipxact:value>10G</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_tx_krfec_burst_err_enable" type="int"> + <ipxact:name>enh_tx_krfec_burst_err_enable</ipxact:name> + <ipxact:displayName>Enable KR-FEC TX error insertion</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enh_tx_krfec_burst_err_len" type="int"> + <ipxact:name>enh_tx_krfec_burst_err_len</ipxact:name> + <ipxact:displayName>KR-FEC TX error insertion spacing</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_krfec_tx_enh_frame" type="int"> + <ipxact:name>enable_port_krfec_tx_enh_frame</ipxact:name> + <ipxact:displayName>Enable tx_enh_frame port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_krfec_rx_enh_frame" type="int"> + <ipxact:name>enable_port_krfec_rx_enh_frame</ipxact:name> + <ipxact:displayName>Enable rx_enh_frame port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_port_krfec_rx_enh_frame_diag_status" type="int"> + <ipxact:name>enable_port_krfec_rx_enh_frame_diag_status</ipxact:name> + <ipxact:displayName>Enable rx_enh_frame_diag_status port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pcs_direct_width" type="int"> + <ipxact:name>pcs_direct_width</ipxact:name> + <ipxact:displayName>PCS Direct interface width</ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="generate_docs" type="int"> + <ipxact:name>generate_docs</ipxact:name> + <ipxact:displayName>Generate parameter documentation file</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="generate_add_hdl_instance_example" type="int"> + <ipxact:name>generate_add_hdl_instance_example</ipxact:name> + <ipxact:displayName>Generate '_hw.tcl' 'add_hdl_instance' example file</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="validation_rule_select" type="string"> + <ipxact:name>validation_rule_select</ipxact:name> + <ipxact:displayName>View validation rule for parameter</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_advanced_options" type="int"> + <ipxact:name>enable_advanced_options</ipxact:name> + <ipxact:displayName>enable_advanced_options</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_physical_bonding_clocks" type="int"> + <ipxact:name>enable_physical_bonding_clocks</ipxact:name> + <ipxact:displayName>enable_physical_bonding_clocks</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_debug_options" type="int"> + <ipxact:name>enable_debug_options</ipxact:name> + <ipxact:displayName>enable_debug_options</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_advanced_avmm_options" type="int"> + <ipxact:name>enable_advanced_avmm_options</ipxact:name> + <ipxact:displayName>enable_advanced_avmm_options</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_odi_accelerator" type="int"> + <ipxact:name>enable_odi_accelerator</ipxact:name> + <ipxact:displayName>enable_odi_accelerator</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_advanced_upi_options" type="int"> + <ipxact:name>enable_advanced_upi_options</ipxact:name> + <ipxact:displayName>enable_advanced_upi_options</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_channels" type="int"> + <ipxact:name>l_channels</ipxact:name> + <ipxact:displayName>l_channels</ipxact:displayName> + <ipxact:value>48</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="tx_enable" type="int"> + <ipxact:name>tx_enable</ipxact:name> + <ipxact:displayName>tx_enable</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="datapath_select" type="string"> + <ipxact:name>datapath_select</ipxact:name> + <ipxact:displayName>datapath_select</ipxact:displayName> + <ipxact:value>Enhanced</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rx_enable" type="int"> + <ipxact:name>rx_enable</ipxact:name> + <ipxact:displayName>rx_enable</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_split_iface" type="int"> + <ipxact:name>l_split_iface</ipxact:name> + <ipxact:displayName>l_split_iface</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_pcs_pma_width" type="int"> + <ipxact:name>l_pcs_pma_width</ipxact:name> + <ipxact:displayName>l_pcs_pma_width</ipxact:displayName> + <ipxact:value>32</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_tx_pld_pcs_width" type="int"> + <ipxact:name>l_tx_pld_pcs_width</ipxact:name> + <ipxact:displayName>l_tx_pld_pcs_width</ipxact:displayName> + <ipxact:value>66</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_rx_pld_pcs_width" type="int"> + <ipxact:name>l_rx_pld_pcs_width</ipxact:name> + <ipxact:displayName>l_rx_pld_pcs_width</ipxact:displayName> + <ipxact:value>66</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_pll_settings" type="string"> + <ipxact:name>l_pll_settings</ipxact:name> + <ipxact:displayName>l_pll_settings</ipxact:displayName> + <ipxact:value>343.750000 {refclk 343.750000 m 15 n 1 lpfd 1 lpd 1 fvco 5156.25} 687.500000 {refclk 687.500000 m 15 n 2 lpfd 1 lpd 1 fvco 5156.25} 322.265625 {refclk 322.265625 m 16 n 1 lpfd 1 lpd 1 fvco 5156.25} 644.531250 {refclk 644.531250 m 16 n 2 lpfd 1 lpd 1 fvco 5156.25} 303.308824 {refclk 303.308824 m 17 n 1 lpfd 1 lpd 1 fvco 5156.25} 606.617647 {refclk 606.617647 m 17 n 2 lpfd 1 lpd 1 fvco 5156.25} 286.458333 {refclk 286.458333 m 18 n 1 lpfd 1 lpd 1 fvco 5156.25} 572.916667 {refclk 572.916667 m 18 n 2 lpfd 1 lpd 1 fvco 5156.25} 271.381579 {refclk 271.381579 m 19 n 1 lpfd 1 lpd 1 fvco 5156.25} 542.763158 {refclk 542.763158 m 19 n 2 lpfd 1 lpd 1 fvco 5156.25} 257.812500 {refclk 257.812500 m 20 n 1 lpfd 1 lpd 1 fvco 5156.25} 515.625000 {refclk 515.625000 m 20 n 2 lpfd 1 lpd 1 fvco 5156.25} 245.535714 {refclk 245.535714 m 21 n 1 lpfd 1 lpd 1 fvco 5156.25} 491.071429 {refclk 491.071429 m 21 n 2 lpfd 1 lpd 1 fvco 5156.25} 234.375000 {refclk 234.375000 m 22 n 1 lpfd 1 lpd 1 fvco 5156.25} 468.750000 {refclk 468.750000 m 22 n 2 lpfd 1 lpd 1 fvco 5156.25} 224.184783 {refclk 224.184783 m 23 n 1 lpfd 1 lpd 1 fvco 5156.25} 448.369565 {refclk 448.369565 m 23 n 2 lpfd 1 lpd 1 fvco 5156.25} 214.843750 {refclk 214.843750 m 24 n 1 lpfd 1 lpd 1 fvco 5156.25} 429.687500 {refclk 429.687500 m 24 n 2 lpfd 1 lpd 1 fvco 5156.25} 206.250000 {refclk 206.250000 m 25 n 1 lpfd 1 lpd 1 fvco 5156.25} 412.500000 {refclk 412.500000 m 25 n 2 lpfd 1 lpd 1 fvco 5156.25} 198.317308 {refclk 198.317308 m 26 n 1 lpfd 1 lpd 1 fvco 5156.25} 396.634615 {refclk 396.634615 m 26 n 2 lpfd 1 lpd 1 fvco 5156.25} 793.269231 {refclk 793.269231 m 26 n 4 lpfd 1 lpd 1 fvco 5156.25} 190.972222 {refclk 190.972222 m 27 n 1 lpfd 1 lpd 1 fvco 5156.25} 381.944444 {refclk 381.944444 m 27 n 2 lpfd 1 lpd 1 fvco 5156.25} 763.888889 {refclk 763.888889 m 27 n 4 lpfd 1 lpd 1 fvco 5156.25} 184.151786 {refclk 184.151786 m 28 n 1 lpfd 1 lpd 1 fvco 5156.25} 368.303571 {refclk 368.303571 m 28 n 2 lpfd 1 lpd 1 fvco 5156.25} 736.607143 {refclk 736.607143 m 28 n 4 lpfd 1 lpd 1 fvco 5156.25} 177.801724 {refclk 177.801724 m 29 n 1 lpfd 1 lpd 1 fvco 5156.25} 355.603448 {refclk 355.603448 m 29 n 2 lpfd 1 lpd 1 fvco 5156.25} 711.206897 {refclk 711.206897 m 29 n 4 lpfd 1 lpd 1 fvco 5156.25} 171.875000 {refclk 171.875000 m 30 n 1 lpfd 1 lpd 1 fvco 5156.25} 166.330645 {refclk 166.330645 m 31 n 1 lpfd 1 lpd 1 fvco 5156.25} 332.661290 {refclk 332.661290 m 31 n 2 lpfd 1 lpd 1 fvco 5156.25} 665.322581 {refclk 665.322581 m 31 n 4 lpfd 1 lpd 1 fvco 5156.25} 161.132812 {refclk 161.132812 m 32 n 1 lpfd 1 lpd 1 fvco 5156.25} 156.250000 {refclk 156.250000 m 33 n 1 lpfd 1 lpd 1 fvco 5156.25} 312.500000 {refclk 312.500000 m 33 n 2 lpfd 1 lpd 1 fvco 5156.25} 625.000000 {refclk 625.000000 m 33 n 4 lpfd 1 lpd 1 fvco 5156.25} 151.654412 {refclk 151.654412 m 34 n 1 lpfd 1 lpd 1 fvco 5156.25} 147.321429 {refclk 147.321429 m 35 n 1 lpfd 1 lpd 1 fvco 5156.25} 294.642857 {refclk 294.642857 m 35 n 2 lpfd 1 lpd 1 fvco 5156.25} 589.285714 {refclk 589.285714 m 35 n 4 lpfd 1 lpd 1 fvco 5156.25} 143.229167 {refclk 143.229167 m 36 n 1 lpfd 1 lpd 1 fvco 5156.25} 139.358108 {refclk 139.358108 m 37 n 1 lpfd 1 lpd 1 fvco 5156.25} 278.716216 {refclk 278.716216 m 37 n 2 lpfd 1 lpd 1 fvco 5156.25} 557.432432 {refclk 557.432432 m 37 n 4 lpfd 1 lpd 1 fvco 5156.25} 135.690789 {refclk 135.690789 m 38 n 1 lpfd 1 lpd 1 fvco 5156.25} 132.211538 {refclk 132.211538 m 39 n 1 lpfd 1 lpd 1 fvco 5156.25} 264.423077 {refclk 264.423077 m 39 n 2 lpfd 1 lpd 1 fvco 5156.25} 528.846154 {refclk 528.846154 m 39 n 4 lpfd 1 lpd 1 fvco 5156.25} 128.906250 {refclk 128.906250 m 40 n 1 lpfd 1 lpd 1 fvco 5156.25} 125.762195 {refclk 125.762195 m 41 n 1 lpfd 1 lpd 1 fvco 5156.25} 251.524390 {refclk 251.524390 m 41 n 2 lpfd 1 lpd 1 fvco 5156.25} 503.048780 {refclk 503.048780 m 41 n 4 lpfd 1 lpd 1 fvco 5156.25} 122.767857 {refclk 122.767857 m 42 n 1 lpfd 1 lpd 1 fvco 5156.25} 119.912791 {refclk 119.912791 m 43 n 1 lpfd 1 lpd 1 fvco 5156.25} 239.825581 {refclk 239.825581 m 43 n 2 lpfd 1 lpd 1 fvco 5156.25} 479.651163 {refclk 479.651163 m 43 n 4 lpfd 1 lpd 1 fvco 5156.25} 117.187500 {refclk 117.187500 m 44 n 1 lpfd 1 lpd 1 fvco 5156.25} 114.583333 {refclk 114.583333 m 45 n 1 lpfd 1 lpd 1 fvco 5156.25} 229.166667 {refclk 229.166667 m 45 n 2 lpfd 1 lpd 1 fvco 5156.25} 458.333333 {refclk 458.333333 m 45 n 4 lpfd 1 lpd 1 fvco 5156.25} 112.092391 {refclk 112.092391 m 46 n 1 lpfd 1 lpd 1 fvco 5156.25} 109.707447 {refclk 109.707447 m 47 n 1 lpfd 1 lpd 1 fvco 5156.25} 219.414894 {refclk 219.414894 m 47 n 2 lpfd 1 lpd 1 fvco 5156.25} 438.829787 {refclk 438.829787 m 47 n 4 lpfd 1 lpd 1 fvco 5156.25} 107.421875 {refclk 107.421875 m 48 n 1 lpfd 1 lpd 1 fvco 5156.25} 105.229592 {refclk 105.229592 m 49 n 1 lpfd 1 lpd 1 fvco 5156.25} 210.459184 {refclk 210.459184 m 49 n 2 lpfd 1 lpd 1 fvco 5156.25} 420.918367 {refclk 420.918367 m 49 n 4 lpfd 1 lpd 1 fvco 5156.25} 103.125000 {refclk 103.125000 m 50 n 1 lpfd 1 lpd 1 fvco 5156.25} 101.102941 {refclk 101.102941 m 51 n 1 lpfd 1 lpd 1 fvco 5156.25} 202.205882 {refclk 202.205882 m 51 n 2 lpfd 1 lpd 1 fvco 5156.25} 404.411765 {refclk 404.411765 m 51 n 4 lpfd 1 lpd 1 fvco 5156.25} 99.158654 {refclk 99.158654 m 52 n 1 lpfd 1 lpd 1 fvco 5156.25} 97.287736 {refclk 97.287736 m 53 n 1 lpfd 1 lpd 1 fvco 5156.25} 194.575472 {refclk 194.575472 m 53 n 2 lpfd 1 lpd 1 fvco 5156.25} 389.150943 {refclk 389.150943 m 53 n 4 lpfd 1 lpd 1 fvco 5156.25} 778.301887 {refclk 778.301887 m 53 n 8 lpfd 1 lpd 1 fvco 5156.25} 95.486111 {refclk 95.486111 m 54 n 1 lpfd 1 lpd 1 fvco 5156.25} 93.750000 {refclk 93.750000 m 55 n 1 lpfd 1 lpd 1 fvco 5156.25} 187.500000 {refclk 187.500000 m 55 n 2 lpfd 1 lpd 1 fvco 5156.25} 375.000000 {refclk 375.000000 m 55 n 4 lpfd 1 lpd 1 fvco 5156.25} 750.000000 {refclk 750.000000 m 55 n 8 lpfd 1 lpd 1 fvco 5156.25} 92.075893 {refclk 92.075893 m 56 n 1 lpfd 1 lpd 1 fvco 5156.25} 90.460526 {refclk 90.460526 m 57 n 1 lpfd 1 lpd 1 fvco 5156.25} 180.921053 {refclk 180.921053 m 57 n 2 lpfd 1 lpd 1 fvco 5156.25} 361.842105 {refclk 361.842105 m 57 n 4 lpfd 1 lpd 1 fvco 5156.25} 723.684211 {refclk 723.684211 m 57 n 8 lpfd 1 lpd 1 fvco 5156.25} 88.900862 {refclk 88.900862 m 58 n 1 lpfd 1 lpd 1 fvco 5156.25} 87.394068 {refclk 87.394068 m 59 n 1 lpfd 1 lpd 1 fvco 5156.25} 174.788136 {refclk 174.788136 m 59 n 2 lpfd 1 lpd 1 fvco 5156.25} 349.576271 {refclk 349.576271 m 59 n 4 lpfd 1 lpd 1 fvco 5156.25} 699.152542 {refclk 699.152542 m 59 n 8 lpfd 1 lpd 1 fvco 5156.25} 85.937500 {refclk 85.937500 m 60 n 1 lpfd 1 lpd 1 fvco 5156.25} 84.528689 {refclk 84.528689 m 61 n 1 lpfd 1 lpd 1 fvco 5156.25} 169.057377 {refclk 169.057377 m 61 n 2 lpfd 1 lpd 1 fvco 5156.25} 338.114754 {refclk 338.114754 m 61 n 4 lpfd 1 lpd 1 fvco 5156.25} 676.229508 {refclk 676.229508 m 61 n 8 lpfd 1 lpd 1 fvco 5156.25} 83.165323 {refclk 83.165323 m 62 n 1 lpfd 1 lpd 1 fvco 5156.25} 81.845238 {refclk 81.845238 m 63 n 1 lpfd 1 lpd 1 fvco 5156.25} 163.690476 {refclk 163.690476 m 63 n 2 lpfd 1 lpd 1 fvco 5156.25} 327.380952 {refclk 327.380952 m 63 n 4 lpfd 1 lpd 1 fvco 5156.25} 654.761905 {refclk 654.761905 m 63 n 8 lpfd 1 lpd 1 fvco 5156.25} 80.566406 {refclk 80.566406 m 64 n 1 lpfd 1 lpd 1 fvco 5156.25} 79.326923 {refclk 79.326923 m 65 n 1 lpfd 1 lpd 1 fvco 5156.25} 158.653846 {refclk 158.653846 m 65 n 2 lpfd 1 lpd 1 fvco 5156.25} 317.307692 {refclk 317.307692 m 65 n 4 lpfd 1 lpd 1 fvco 5156.25} 634.615385 {refclk 634.615385 m 65 n 8 lpfd 1 lpd 1 fvco 5156.25} 78.125000 {refclk 78.125000 m 66 n 1 lpfd 1 lpd 1 fvco 5156.25} 76.958955 {refclk 76.958955 m 67 n 1 lpfd 1 lpd 1 fvco 5156.25} 153.917910 {refclk 153.917910 m 67 n 2 lpfd 1 lpd 1 fvco 5156.25} 307.835821 {refclk 307.835821 m 67 n 4 lpfd 1 lpd 1 fvco 5156.25} 615.671642 {refclk 615.671642 m 67 n 8 lpfd 1 lpd 1 fvco 5156.25} 75.827206 {refclk 75.827206 m 68 n 1 lpfd 1 lpd 1 fvco 5156.25} 74.728261 {refclk 74.728261 m 69 n 1 lpfd 1 lpd 1 fvco 5156.25} 149.456522 {refclk 149.456522 m 69 n 2 lpfd 1 lpd 1 fvco 5156.25} 298.913043 {refclk 298.913043 m 69 n 4 lpfd 1 lpd 1 fvco 5156.25} 597.826087 {refclk 597.826087 m 69 n 8 lpfd 1 lpd 1 fvco 5156.25} 73.660714 {refclk 73.660714 m 70 n 1 lpfd 1 lpd 1 fvco 5156.25} 72.623239 {refclk 72.623239 m 71 n 1 lpfd 1 lpd 1 fvco 5156.25} 145.246479 {refclk 145.246479 m 71 n 2 lpfd 1 lpd 1 fvco 5156.25} 290.492958 {refclk 290.492958 m 71 n 4 lpfd 1 lpd 1 fvco 5156.25} 580.985915 {refclk 580.985915 m 71 n 8 lpfd 1 lpd 1 fvco 5156.25} 71.614583 {refclk 71.614583 m 72 n 1 lpfd 1 lpd 1 fvco 5156.25} 70.633562 {refclk 70.633562 m 73 n 1 lpfd 1 lpd 1 fvco 5156.25} 141.267123 {refclk 141.267123 m 73 n 2 lpfd 1 lpd 1 fvco 5156.25} 282.534247 {refclk 282.534247 m 73 n 4 lpfd 1 lpd 1 fvco 5156.25} 565.068493 {refclk 565.068493 m 73 n 8 lpfd 1 lpd 1 fvco 5156.25} 69.679054 {refclk 69.679054 m 74 n 1 lpfd 1 lpd 1 fvco 5156.25} 68.750000 {refclk 68.750000 m 75 n 1 lpfd 1 lpd 1 fvco 5156.25} 137.500000 {refclk 137.500000 m 75 n 2 lpfd 1 lpd 1 fvco 5156.25} 275.000000 {refclk 275.000000 m 75 n 4 lpfd 1 lpd 1 fvco 5156.25} 550.000000 {refclk 550.000000 m 75 n 8 lpfd 1 lpd 1 fvco 5156.25} 67.845395 {refclk 67.845395 m 76 n 1 lpfd 1 lpd 1 fvco 5156.25} 66.964286 {refclk 66.964286 m 77 n 1 lpfd 1 lpd 1 fvco 5156.25} 133.928571 {refclk 133.928571 m 77 n 2 lpfd 1 lpd 1 fvco 5156.25} 267.857143 {refclk 267.857143 m 77 n 4 lpfd 1 lpd 1 fvco 5156.25} 535.714286 {refclk 535.714286 m 77 n 8 lpfd 1 lpd 1 fvco 5156.25} 66.105769 {refclk 66.105769 m 78 n 1 lpfd 1 lpd 1 fvco 5156.25} 65.268987 {refclk 65.268987 m 79 n 1 lpfd 1 lpd 1 fvco 5156.25} 130.537975 {refclk 130.537975 m 79 n 2 lpfd 1 lpd 1 fvco 5156.25} 261.075949 {refclk 261.075949 m 79 n 4 lpfd 1 lpd 1 fvco 5156.25} 522.151899 {refclk 522.151899 m 79 n 8 lpfd 1 lpd 1 fvco 5156.25} 64.453125 {refclk 64.453125 m 80 n 1 lpfd 1 lpd 1 fvco 5156.25} 63.657407 {refclk 63.657407 m 81 n 1 lpfd 1 lpd 1 fvco 5156.25} 127.314815 {refclk 127.314815 m 81 n 2 lpfd 1 lpd 1 fvco 5156.25} 254.629630 {refclk 254.629630 m 81 n 4 lpfd 1 lpd 1 fvco 5156.25} 509.259259 {refclk 509.259259 m 81 n 8 lpfd 1 lpd 1 fvco 5156.25} 62.881098 {refclk 62.881098 m 82 n 1 lpfd 1 lpd 1 fvco 5156.25} 62.123494 {refclk 62.123494 m 83 n 1 lpfd 1 lpd 1 fvco 5156.25} 124.246988 {refclk 124.246988 m 83 n 2 lpfd 1 lpd 1 fvco 5156.25} 248.493976 {refclk 248.493976 m 83 n 4 lpfd 1 lpd 1 fvco 5156.25} 496.987952 {refclk 496.987952 m 83 n 8 lpfd 1 lpd 1 fvco 5156.25} 61.383929 {refclk 61.383929 m 84 n 1 lpfd 1 lpd 1 fvco 5156.25} 60.661765 {refclk 60.661765 m 85 n 1 lpfd 1 lpd 1 fvco 5156.25} 121.323529 {refclk 121.323529 m 85 n 2 lpfd 1 lpd 1 fvco 5156.25} 242.647059 {refclk 242.647059 m 85 n 4 lpfd 1 lpd 1 fvco 5156.25} 485.294118 {refclk 485.294118 m 85 n 8 lpfd 1 lpd 1 fvco 5156.25} 59.956395 {refclk 59.956395 m 86 n 1 lpfd 1 lpd 1 fvco 5156.25} 59.267241 {refclk 59.267241 m 87 n 1 lpfd 1 lpd 1 fvco 5156.25} 118.534483 {refclk 118.534483 m 87 n 2 lpfd 1 lpd 1 fvco 5156.25} 237.068966 {refclk 237.068966 m 87 n 4 lpfd 1 lpd 1 fvco 5156.25} 474.137931 {refclk 474.137931 m 87 n 8 lpfd 1 lpd 1 fvco 5156.25} 58.593750 {refclk 58.593750 m 88 n 1 lpfd 1 lpd 1 fvco 5156.25} 57.935393 {refclk 57.935393 m 89 n 1 lpfd 1 lpd 1 fvco 5156.25} 115.870787 {refclk 115.870787 m 89 n 2 lpfd 1 lpd 1 fvco 5156.25} 231.741573 {refclk 231.741573 m 89 n 4 lpfd 1 lpd 1 fvco 5156.25} 463.483146 {refclk 463.483146 m 89 n 8 lpfd 1 lpd 1 fvco 5156.25} 57.291667 {refclk 57.291667 m 90 n 1 lpfd 1 lpd 1 fvco 5156.25} 56.662088 {refclk 56.662088 m 91 n 1 lpfd 1 lpd 1 fvco 5156.25} 113.324176 {refclk 113.324176 m 91 n 2 lpfd 1 lpd 1 fvco 5156.25} 226.648352 {refclk 226.648352 m 91 n 4 lpfd 1 lpd 1 fvco 5156.25} 453.296703 {refclk 453.296703 m 91 n 8 lpfd 1 lpd 1 fvco 5156.25} 56.046196 {refclk 56.046196 m 92 n 1 lpfd 1 lpd 1 fvco 5156.25} 55.443548 {refclk 55.443548 m 93 n 1 lpfd 1 lpd 1 fvco 5156.25} 110.887097 {refclk 110.887097 m 93 n 2 lpfd 1 lpd 1 fvco 5156.25} 221.774194 {refclk 221.774194 m 93 n 4 lpfd 1 lpd 1 fvco 5156.25} 443.548387 {refclk 443.548387 m 93 n 8 lpfd 1 lpd 1 fvco 5156.25} 54.853723 {refclk 54.853723 m 94 n 1 lpfd 1 lpd 1 fvco 5156.25} 54.276316 {refclk 54.276316 m 95 n 1 lpfd 1 lpd 1 fvco 5156.25} 108.552632 {refclk 108.552632 m 95 n 2 lpfd 1 lpd 1 fvco 5156.25} 217.105263 {refclk 217.105263 m 95 n 4 lpfd 1 lpd 1 fvco 5156.25} 434.210526 {refclk 434.210526 m 95 n 8 lpfd 1 lpd 1 fvco 5156.25} 53.710938 {refclk 53.710938 m 96 n 1 lpfd 1 lpd 1 fvco 5156.25} 53.157216 {refclk 53.157216 m 97 n 1 lpfd 1 lpd 1 fvco 5156.25} 106.314433 {refclk 106.314433 m 97 n 2 lpfd 1 lpd 1 fvco 5156.25} 212.628866 {refclk 212.628866 m 97 n 4 lpfd 1 lpd 1 fvco 5156.25} 425.257732 {refclk 425.257732 m 97 n 8 lpfd 1 lpd 1 fvco 5156.25} 52.614796 {refclk 52.614796 m 98 n 1 lpfd 1 lpd 1 fvco 5156.25} 52.083333 {refclk 52.083333 m 99 n 1 lpfd 1 lpd 1 fvco 5156.25} 104.166667 {refclk 104.166667 m 99 n 2 lpfd 1 lpd 1 fvco 5156.25} 208.333333 {refclk 208.333333 m 99 n 4 lpfd 1 lpd 1 fvco 5156.25} 416.666667 {refclk 416.666667 m 99 n 8 lpfd 1 lpd 1 fvco 5156.25} 51.562500 {refclk 51.562500 m 100 n 1 lpfd 1 lpd 1 fvco 5156.25} 51.051980 {refclk 51.051980 m 101 n 1 lpfd 1 lpd 1 fvco 5156.25} 102.103960 {refclk 102.103960 m 101 n 2 lpfd 1 lpd 1 fvco 5156.25} 204.207921 {refclk 204.207921 m 101 n 4 lpfd 1 lpd 1 fvco 5156.25} 408.415842 {refclk 408.415842 m 101 n 8 lpfd 1 lpd 1 fvco 5156.25} 50.551471 {refclk 50.551471 m 102 n 1 lpfd 1 lpd 1 fvco 5156.25} 50.060680 {refclk 50.060680 m 103 n 1 lpfd 1 lpd 1 fvco 5156.25} 100.121359 {refclk 100.121359 m 103 n 2 lpfd 1 lpd 1 fvco 5156.25} 200.242718 {refclk 200.242718 m 103 n 4 lpfd 1 lpd 1 fvco 5156.25} 400.485437 {refclk 400.485437 m 103 n 8 lpfd 1 lpd 1 fvco 5156.25} allowed_ranges {50.060680 50.551471 51.051980 51.562500 52.083333 52.614796 53.157216 53.710938 54.276316 54.853723 55.443548 56.046196 56.662088 57.291667 57.935393 58.593750 59.267241 59.956395 60.661765 61.383929 62.123494 62.881098 63.657407 64.453125 65.268987 66.105769 66.964286 67.845395 68.750000 69.679054 70.633562 71.614583 72.623239 73.660714 74.728261 75.827206 76.958955 78.125000 79.326923 80.566406 81.845238 83.165323 84.528689 85.937500 87.394068 88.900862 90.460526 92.075893 93.750000 95.486111 97.287736 99.158654 100.121359 101.102941 102.103960 103.125000 104.166667 105.229592 106.314433 107.421875 108.552632 109.707447 110.887097 112.092391 113.324176 114.583333 115.870787 117.187500 118.534483 119.912791 121.323529 122.767857 124.246988 125.762195 127.314815 128.906250 130.537975 132.211538 133.928571 135.690789 137.500000 139.358108 141.267123 143.229167 145.246479 147.321429 149.456522 151.654412 153.917910 156.250000 158.653846 161.132812 163.690476 166.330645 169.057377 171.875000 174.788136 177.801724 180.921053 184.151786 187.500000 190.972222 194.575472 198.317308 200.242718 202.205882 204.207921 206.250000 208.333333 210.459184 212.628866 214.843750 217.105263 219.414894 221.774194 224.184783 226.648352 229.166667 231.741573 234.375000 237.068966 239.825581 242.647059 245.535714 248.493976 251.524390 254.629630 257.812500 261.075949 264.423077 267.857143 271.381579 275.000000 278.716216 282.534247 286.458333 290.492958 294.642857 298.913043 303.308824 307.835821 312.500000 317.307692 322.265625 327.380952 332.661290 338.114754 343.750000 349.576271 355.603448 361.842105 368.303571 375.000000 381.944444 389.150943 396.634615 400.485437 404.411765 408.415842 412.500000 416.666667 420.918367 425.257732 429.687500 434.210526 438.829787 443.548387 448.369565 453.296703 458.333333 463.483146 468.750000 474.137931 479.651163 485.294118 491.071429 496.987952 503.048780 509.259259 515.625000 522.151899 528.846154 535.714286 542.763158 550.000000 557.432432 565.068493 572.916667 580.985915 589.285714 597.826087 606.617647 615.671642 625.000000 634.615385 644.531250 654.761905 665.322581 676.229508 687.500000 699.152542 711.206897 723.684211 736.607143 750.000000 763.888889 778.301887 793.269231}</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_pll_settings_key" type="string"> + <ipxact:name>l_pll_settings_key</ipxact:name> + <ipxact:displayName>l_pll_settings_key</ipxact:displayName> + <ipxact:value>644.531250</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_enable_pma_bonding" type="int"> + <ipxact:name>l_enable_pma_bonding</ipxact:name> + <ipxact:displayName>l_enable_pma_bonding</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_enable_reve_support" type="int"> + <ipxact:name>l_enable_reve_support</ipxact:name> + <ipxact:displayName>l_enable_reve_support</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_std" type="int"> + <ipxact:name>enable_std</ipxact:name> + <ipxact:displayName>enable_std</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_enable_std_pipe" type="int"> + <ipxact:name>l_enable_std_pipe</ipxact:name> + <ipxact:displayName>l_enable_std_pipe</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_enable_tx_std" type="int"> + <ipxact:name>l_enable_tx_std</ipxact:name> + <ipxact:displayName>l_enable_tx_std</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_enable_rx_std" type="int"> + <ipxact:name>l_enable_rx_std</ipxact:name> + <ipxact:displayName>l_enable_rx_std</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_enable_tx_std_iface" type="int"> + <ipxact:name>l_enable_tx_std_iface</ipxact:name> + <ipxact:displayName>l_enable_tx_std_iface</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_enable_rx_std_iface" type="int"> + <ipxact:name>l_enable_rx_std_iface</ipxact:name> + <ipxact:displayName>l_enable_rx_std_iface</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_std_tx_word_count" type="int"> + <ipxact:name>l_std_tx_word_count</ipxact:name> + <ipxact:displayName>l_std_tx_word_count</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_std_tx_word_width" type="int"> + <ipxact:name>l_std_tx_word_width</ipxact:name> + <ipxact:displayName>l_std_tx_word_width</ipxact:displayName> + <ipxact:value>10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_std_tx_field_width" type="int"> + <ipxact:name>l_std_tx_field_width</ipxact:name> + <ipxact:displayName>l_std_tx_field_width</ipxact:displayName> + <ipxact:value>11</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_std_rx_word_count" type="int"> + <ipxact:name>l_std_rx_word_count</ipxact:name> + <ipxact:displayName>l_std_rx_word_count</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_std_rx_word_width" type="int"> + <ipxact:name>l_std_rx_word_width</ipxact:name> + <ipxact:displayName>l_std_rx_word_width</ipxact:displayName> + <ipxact:value>10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_std_rx_field_width" type="int"> + <ipxact:name>l_std_rx_field_width</ipxact:name> + <ipxact:displayName>l_std_rx_field_width</ipxact:displayName> + <ipxact:value>16</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_std_tx_pld_pcs_width" type="int"> + <ipxact:name>l_std_tx_pld_pcs_width</ipxact:name> + <ipxact:displayName>l_std_tx_pld_pcs_width</ipxact:displayName> + <ipxact:value>10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_std_rx_pld_pcs_width" type="int"> + <ipxact:name>l_std_rx_pld_pcs_width</ipxact:name> + <ipxact:displayName>l_std_rx_pld_pcs_width</ipxact:displayName> + <ipxact:value>10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_std_data_mask_count_multi" type="int"> + <ipxact:name>l_std_data_mask_count_multi</ipxact:name> + <ipxact:displayName>l_std_data_mask_count_multi</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_enh" type="int"> + <ipxact:name>enable_enh</ipxact:name> + <ipxact:displayName>enable_enh</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_enable_tx_enh" type="int"> + <ipxact:name>l_enable_tx_enh</ipxact:name> + <ipxact:displayName>l_enable_tx_enh</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_enable_rx_enh" type="int"> + <ipxact:name>l_enable_rx_enh</ipxact:name> + <ipxact:displayName>l_enable_rx_enh</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_enable_tx_enh_iface" type="int"> + <ipxact:name>l_enable_tx_enh_iface</ipxact:name> + <ipxact:displayName>l_enable_tx_enh_iface</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_enable_rx_enh_iface" type="int"> + <ipxact:name>l_enable_rx_enh_iface</ipxact:name> + <ipxact:displayName>l_enable_rx_enh_iface</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_pcs_dir" type="int"> + <ipxact:name>enable_pcs_dir</ipxact:name> + <ipxact:displayName>enable_pcs_dir</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_enable_tx_pcs_dir" type="int"> + <ipxact:name>l_enable_tx_pcs_dir</ipxact:name> + <ipxact:displayName>l_enable_tx_pcs_dir</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_enable_rx_pcs_dir" type="int"> + <ipxact:name>l_enable_rx_pcs_dir</ipxact:name> + <ipxact:displayName>l_enable_rx_pcs_dir</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_rcfg_ifaces" type="int"> + <ipxact:name>l_rcfg_ifaces</ipxact:name> + <ipxact:displayName>l_rcfg_ifaces</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_rcfg_addr_bits" type="int"> + <ipxact:name>l_rcfg_addr_bits</ipxact:name> + <ipxact:displayName>l_rcfg_addr_bits</ipxact:displayName> + <ipxact:value>16</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="is_c10" type="int"> + <ipxact:name>is_c10</ipxact:name> + <ipxact:displayName>is_c10</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_enable" type="int"> + <ipxact:name>rcfg_enable</ipxact:name> + <ipxact:displayName>Enable dynamic reconfiguration</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_shared" type="int"> + <ipxact:name>rcfg_shared</ipxact:name> + <ipxact:displayName>Share reconfiguration interface</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_jtag_enable" type="int"> + <ipxact:name>rcfg_jtag_enable</ipxact:name> + <ipxact:displayName>Enable Native PHY Debug Master Endpoint</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_separate_avmm_busy" type="int"> + <ipxact:name>rcfg_separate_avmm_busy</ipxact:name> + <ipxact:displayName>Separate reconfig_waitrequest from the status of AVMM arbitration with PreSICE</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_enable_avmm_busy_port" type="int"> + <ipxact:name>rcfg_enable_avmm_busy_port</ipxact:name> + <ipxact:displayName>Enable avmm_busy port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adme_prot_mode" type="string"> + <ipxact:name>adme_prot_mode</ipxact:name> + <ipxact:displayName>adme_prot_mode</ipxact:displayName> + <ipxact:value>teng_baser_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adme_pma_mode" type="string"> + <ipxact:name>adme_pma_mode</ipxact:name> + <ipxact:displayName>adme_pma_mode</ipxact:displayName> + <ipxact:value>basic</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adme_tx_power_mode" type="string"> + <ipxact:name>adme_tx_power_mode</ipxact:name> + <ipxact:displayName>adme_tx_power_mode</ipxact:displayName> + <ipxact:value>mid_power</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adme_data_rate" type="string"> + <ipxact:name>adme_data_rate</ipxact:name> + <ipxact:displayName>adme_data_rate</ipxact:displayName> + <ipxact:value>10312500000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_pcie_dfe_ip" type="bit"> + <ipxact:name>enable_pcie_dfe_ip</ipxact:name> + <ipxact:displayName>Enable PICe DFE IP</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="sim_reduced_counters" type="bit"> + <ipxact:name>sim_reduced_counters</ipxact:name> + <ipxact:displayName>Enable fast sim</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="disable_continuous_dfe" type="bit"> + <ipxact:name>disable_continuous_dfe</ipxact:name> + <ipxact:displayName>Disable DFE Continuous</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_embedded_debug_enable" type="int"> + <ipxact:name>set_embedded_debug_enable</ipxact:name> + <ipxact:displayName>Enable embedded debug</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_capability_reg_enable" type="int"> + <ipxact:name>set_capability_reg_enable</ipxact:name> + <ipxact:displayName>Enable capability registers</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_user_identifier" type="int"> + <ipxact:name>set_user_identifier</ipxact:name> + <ipxact:displayName>Set user-defined IP identifier</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_csr_soft_logic_enable" type="int"> + <ipxact:name>set_csr_soft_logic_enable</ipxact:name> + <ipxact:displayName>Enable control and status registers</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_prbs_soft_logic_enable" type="int"> + <ipxact:name>set_prbs_soft_logic_enable</ipxact:name> + <ipxact:displayName>Enable PRBS soft accumulators</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_odi_soft_logic_enable" type="int"> + <ipxact:name>set_odi_soft_logic_enable</ipxact:name> + <ipxact:displayName>Enable ODI acceleration logic</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="dbg_embedded_debug_enable" type="int"> + <ipxact:name>dbg_embedded_debug_enable</ipxact:name> + <ipxact:displayName>dbg_embedded_debug_enable</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="dbg_capability_reg_enable" type="int"> + <ipxact:name>dbg_capability_reg_enable</ipxact:name> + <ipxact:displayName>dbg_capability_reg_enable</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="dbg_user_identifier" type="int"> + <ipxact:name>dbg_user_identifier</ipxact:name> + <ipxact:displayName>dbg_user_identifier</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="dbg_stat_soft_logic_enable" type="int"> + <ipxact:name>dbg_stat_soft_logic_enable</ipxact:name> + <ipxact:displayName>dbg_stat_soft_logic_enable</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="dbg_ctrl_soft_logic_enable" type="int"> + <ipxact:name>dbg_ctrl_soft_logic_enable</ipxact:name> + <ipxact:displayName>dbg_ctrl_soft_logic_enable</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="dbg_prbs_soft_logic_enable" type="int"> + <ipxact:name>dbg_prbs_soft_logic_enable</ipxact:name> + <ipxact:displayName>dbg_prbs_soft_logic_enable</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="dbg_odi_soft_logic_enable" type="int"> + <ipxact:name>dbg_odi_soft_logic_enable</ipxact:name> + <ipxact:displayName>dbg_odi_soft_logic_enable</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_file_prefix" type="string"> + <ipxact:name>rcfg_file_prefix</ipxact:name> + <ipxact:displayName>Configuration file prefix</ipxact:displayName> + <ipxact:value>altera_xcvr_native_a10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_sv_file_enable" type="int"> + <ipxact:name>rcfg_sv_file_enable</ipxact:name> + <ipxact:displayName>Generate SystemVerilog package file</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_h_file_enable" type="int"> + <ipxact:name>rcfg_h_file_enable</ipxact:name> + <ipxact:displayName>Generate C header file</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_mif_file_enable" type="int"> + <ipxact:name>rcfg_mif_file_enable</ipxact:name> + <ipxact:displayName>Generate MIF (Memory Initialization File)</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_multi_enable" type="int"> + <ipxact:name>rcfg_multi_enable</ipxact:name> + <ipxact:displayName>Enable multiple reconfiguration profiles</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_rcfg_emb_strm_enable" type="int"> + <ipxact:name>set_rcfg_emb_strm_enable</ipxact:name> + <ipxact:displayName>Enable embedded reconfiguration streamer</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_emb_strm_enable" type="int"> + <ipxact:name>rcfg_emb_strm_enable</ipxact:name> + <ipxact:displayName>rcfg_emb_strm_enable</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_reduced_files_enable" type="int"> + <ipxact:name>rcfg_reduced_files_enable</ipxact:name> + <ipxact:displayName>Generate reduced reconfiguration files</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_profile_cnt" type="int"> + <ipxact:name>rcfg_profile_cnt</ipxact:name> + <ipxact:displayName>Number of reconfiguration profiles</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_profile_select" type="int"> + <ipxact:name>rcfg_profile_select</ipxact:name> + <ipxact:displayName>Selected reconfiguration profile</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_profile_data0" type="string"> + <ipxact:name>rcfg_profile_data0</ipxact:name> + <ipxact:displayName>rcfg_profile_data0</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_profile_data1" type="string"> + <ipxact:name>rcfg_profile_data1</ipxact:name> + <ipxact:displayName>rcfg_profile_data1</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_profile_data2" type="string"> + <ipxact:name>rcfg_profile_data2</ipxact:name> + <ipxact:displayName>rcfg_profile_data2</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_profile_data3" type="string"> + <ipxact:name>rcfg_profile_data3</ipxact:name> + <ipxact:displayName>rcfg_profile_data3</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_profile_data4" type="string"> + <ipxact:name>rcfg_profile_data4</ipxact:name> + <ipxact:displayName>rcfg_profile_data4</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_profile_data5" type="string"> + <ipxact:name>rcfg_profile_data5</ipxact:name> + <ipxact:displayName>rcfg_profile_data5</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_profile_data6" type="string"> + <ipxact:name>rcfg_profile_data6</ipxact:name> + <ipxact:displayName>rcfg_profile_data6</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_profile_data7" type="string"> + <ipxact:name>rcfg_profile_data7</ipxact:name> + <ipxact:displayName>rcfg_profile_data7</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_params" type="string"> + <ipxact:name>rcfg_params</ipxact:name> + <ipxact:displayName>rcfg_params</ipxact:displayName> + <ipxact:value>anlg_voltage,anlg_link,support_mode,protocol_mode,pma_mode,duplex_mode,channels,set_data_rate,rcfg_iface_enable,enable_simple_interface,enable_split_interface,set_enable_calibration,enable_parallel_loopback,enable_upi_pipeline_options,pcs_tx_delay1_ctrl,pcs_tx_delay1_data_sel,pcs_tx_delay2_ctrl,bonded_mode,set_pcs_bonding_master,tx_pma_clk_div,plls,pll_select,enable_port_tx_analog_reset_ack,enable_port_tx_pma_clkout,enable_port_tx_pma_div_clkout,tx_pma_div_clkout_divider,enable_port_tx_pma_iqtxrx_clkout,enable_port_tx_pma_elecidle,enable_port_tx_pma_qpipullup,enable_port_tx_pma_qpipulldn,enable_port_tx_pma_txdetectrx,enable_port_tx_pma_rxfound,enable_port_rx_seriallpbken_tx,number_physical_bonding_clocks,cdr_refclk_cnt,cdr_refclk_select,set_cdr_refclk_freq,rx_ppm_detect_threshold,rx_pma_ctle_adaptation_mode,rx_pma_dfe_adaptation_mode,rx_pma_dfe_fixed_taps,enable_ports_adaptation,enable_port_rx_analog_reset_ack,enable_port_rx_pma_clkout,enable_port_rx_pma_div_clkout,rx_pma_div_clkout_divider,enable_port_rx_pma_iqtxrx_clkout,enable_port_rx_pma_clkslip,enable_port_rx_pma_qpipulldn,enable_port_rx_is_lockedtodata,enable_port_rx_is_lockedtoref,enable_ports_rx_manual_cdr_mode,enable_ports_rx_manual_ppm,enable_port_rx_signaldetect,enable_port_rx_seriallpbken,enable_ports_rx_prbs,std_pcs_pma_width,std_low_latency_bypass_enable,enable_hip,enable_hard_reset,set_hip_cal_en,std_tx_pcfifo_mode,std_rx_pcfifo_mode,enable_port_tx_std_pcfifo_full,enable_port_tx_std_pcfifo_empty,enable_port_rx_std_pcfifo_full,enable_port_rx_std_pcfifo_empty,std_tx_byte_ser_mode,std_rx_byte_deser_mode,std_tx_8b10b_enable,std_tx_8b10b_disp_ctrl_enable,std_rx_8b10b_enable,std_rx_rmfifo_mode,std_rx_rmfifo_pattern_n,std_rx_rmfifo_pattern_p,enable_port_rx_std_rmfifo_full,enable_port_rx_std_rmfifo_empty,pcie_rate_match,std_tx_bitslip_enable,enable_port_tx_std_bitslipboundarysel,std_rx_word_aligner_mode,std_rx_word_aligner_pattern_len,std_rx_word_aligner_pattern,std_rx_word_aligner_rknumber,std_rx_word_aligner_renumber,std_rx_word_aligner_rgnumber,std_rx_word_aligner_fast_sync_status_enable,enable_port_rx_std_wa_patternalign,enable_port_rx_std_wa_a1a2size,enable_port_rx_std_bitslipboundarysel,enable_port_rx_std_bitslip,std_tx_bitrev_enable,std_tx_byterev_enable,std_tx_polinv_enable,enable_port_tx_polinv,std_rx_bitrev_enable,enable_port_rx_std_bitrev_ena,std_rx_byterev_enable,enable_port_rx_std_byterev_ena,std_rx_polinv_enable,enable_port_rx_polinv,enable_port_rx_std_signaldetect,enable_ports_pipe_sw,enable_ports_pipe_hclk,enable_ports_pipe_g3_analog,enable_ports_pipe_rx_elecidle,enable_port_pipe_rx_polarity,enh_pcs_pma_width,enh_pld_pcs_width,enh_low_latency_enable,enh_rxtxfifo_double_width,enh_txfifo_mode,enh_txfifo_pfull,enh_txfifo_pempty,enable_port_tx_enh_fifo_full,enable_port_tx_enh_fifo_pfull,enable_port_tx_enh_fifo_empty,enable_port_tx_enh_fifo_pempty,enable_port_tx_enh_fifo_cnt,enh_rxfifo_mode,enh_rxfifo_pfull,enh_rxfifo_pempty,enh_rxfifo_align_del,enh_rxfifo_control_del,enable_port_rx_enh_data_valid,enable_port_rx_enh_fifo_full,enable_port_rx_enh_fifo_pfull,enable_port_rx_enh_fifo_empty,enable_port_rx_enh_fifo_pempty,enable_port_rx_enh_fifo_cnt,enable_port_rx_enh_fifo_del,enable_port_rx_enh_fifo_insert,enable_port_rx_enh_fifo_rd_en,enable_port_rx_enh_fifo_align_val,enable_port_rx_enh_fifo_align_clr,enh_tx_frmgen_enable,enh_tx_frmgen_mfrm_length,enh_tx_frmgen_burst_enable,enable_port_tx_enh_frame,enable_port_tx_enh_frame_diag_status,enable_port_tx_enh_frame_burst_en,enh_rx_frmsync_enable,enh_rx_frmsync_mfrm_length,enable_port_rx_enh_frame,enable_port_rx_enh_frame_lock,enable_port_rx_enh_frame_diag_status,enh_tx_crcgen_enable,enh_tx_crcerr_enable,enh_rx_crcchk_enable,enable_port_rx_enh_crc32_err,enable_port_rx_enh_highber,enable_port_rx_enh_highber_clr_cnt,enable_port_rx_enh_clr_errblk_count,enable_port_rx_enh_clr_errblk_count_c10,enh_tx_64b66b_enable,enh_rx_64b66b_enable,enh_tx_sh_err,enh_tx_scram_enable,enh_tx_scram_seed,enh_rx_descram_enable,enh_tx_dispgen_enable,enh_rx_dispchk_enable,enh_tx_randomdispbit_enable,enh_rx_blksync_enable,enable_port_rx_enh_blk_lock,enh_tx_bitslip_enable,enh_tx_polinv_enable,enh_rx_bitslip_enable,enh_rx_polinv_enable,enable_port_tx_enh_bitslip,enable_port_rx_enh_bitslip,enh_rx_krfec_err_mark_enable,enh_rx_krfec_err_mark_type,enh_tx_krfec_burst_err_enable,enh_tx_krfec_burst_err_len,enable_port_krfec_tx_enh_frame,enable_port_krfec_rx_enh_frame,enable_port_krfec_rx_enh_frame_diag_status,pcs_direct_width,enable_analog_settings,anlg_tx_analog_mode,anlg_enable_tx_default_ovr,anlg_tx_vod_output_swing_ctrl,anlg_tx_pre_emp_sign_pre_tap_1t,anlg_tx_pre_emp_switching_ctrl_pre_tap_1t,anlg_tx_pre_emp_sign_pre_tap_2t,anlg_tx_pre_emp_switching_ctrl_pre_tap_2t,anlg_tx_pre_emp_sign_1st_post_tap,anlg_tx_pre_emp_switching_ctrl_1st_post_tap,anlg_tx_pre_emp_sign_2nd_post_tap,anlg_tx_pre_emp_switching_ctrl_2nd_post_tap,anlg_tx_slew_rate_ctrl,anlg_tx_compensation_en,anlg_tx_term_sel,anlg_enable_rx_default_ovr,anlg_rx_one_stage_enable,anlg_rx_eq_dc_gain_trim,anlg_rx_adp_ctle_acgain_4s,anlg_rx_adp_ctle_eqz_1s_sel,anlg_rx_adp_vga_sel,anlg_rx_adp_dfe_fxtap1,anlg_rx_adp_dfe_fxtap2,anlg_rx_adp_dfe_fxtap3,anlg_rx_adp_dfe_fxtap4,anlg_rx_adp_dfe_fxtap5,anlg_rx_adp_dfe_fxtap6,anlg_rx_adp_dfe_fxtap7,anlg_rx_adp_dfe_fxtap8,anlg_rx_adp_dfe_fxtap9,anlg_rx_adp_dfe_fxtap10,anlg_rx_adp_dfe_fxtap11,anlg_rx_term_sel</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_param_labels" type="string"> + <ipxact:name>rcfg_param_labels</ipxact:name> + <ipxact:displayName>IP Parameters</ipxact:displayName> + <ipxact:value>VCCR_GXB and VCCT_GXB supply voltage for the Transceiver,Tranceiver Link Type,Protocol support mode,Transceiver configuration rules,PMA configuration rules,Transceiver mode,Number of data channels,Data rate,Enable datapath and interface reconfiguration,Enable simplified data interface,Provide separate interface for each channel,Enable calibration,Enable parallel loopback,Enable UPI Pipeline Options,Delay1 setting,Delay1 mode,Delay2 setting,TX channel bonding mode,PCS TX channel bonding master,TX local clock division factor,Number of TX PLL clock inputs per channel,Initial TX PLL clock input selection,Enable tx_analog_reset_ack port,Enable tx_pma_clkout port,Enable tx_pma_div_clkout port,tx_pma_div_clkout division factor,Enable tx_pma_iqtxrx_clkout port,Enable tx_pma_elecidle port,Enable tx_pma_qpipullup port (QPI),Enable tx_pma_qpipulldn port (QPI),Enable tx_pma_txdetectrx port (QPI),Enable tx_pma_rxfound port (QPI),Enable rx_seriallpbken port,Number of physical bonding clock ports to use.,Number of CDR reference clocks,Selected CDR reference clock,Selected CDR reference clock frequency,PPM detector threshold,CTLE mode,DFE mode,Number of fixed dfe taps,Enable adaptation control ports,Enable rx_analog_reset_ack port,Enable rx_pma_clkout port,Enable rx_pma_div_clkout port,rx_pma_div_clkout division factor,Enable rx_pma_iqtxrx_clkout port,Enable rx_pma_clkslip port,Enable rx_pma_qpipulldn port (QPI),Enable rx_is_lockedtodata port,Enable rx_is_lockedtoref port,Enable rx_set_locktodata and rx_set_locktoref ports,Enable rx_fref and rx_clklow ports,Enable rx_signaldetect port,Enable rx_seriallpbken port,Enable PRBS verifier control and status ports,Standard PCS / PMA interface width,Enable 'Standard PCS' low latency mode,Enable PCIe hard IP support,Enable hard reset controller (HIP),Enable PCIe hard IP calibration,TX FIFO mode,RX FIFO mode,Enable tx_std_pcfifo_full port,Enable tx_std_pcfifo_empty port,Enable rx_std_pcfifo_full port,Enable rx_std_pcfifo_empty port,TX byte serializer mode,RX byte deserializer mode,Enable TX 8B/10B encoder,Enable TX 8B/10B disparity control,Enable RX 8B/10B decoder,RX rate match FIFO mode,RX rate match insert/delete -ve pattern (hex),RX rate match insert/delete +ve pattern (hex),Enable rx_std_rmfifo_full port,Enable rx_std_rmfifo_empty port,PCI Express Gen 3 rate match FIFO mode,Enable TX bitslip,Enable tx_std_bitslipboundarysel port,RX word aligner mode,RX word aligner pattern length,RX word aligner pattern (hex),Number of word alignment patterns to achieve sync,Number of invalid data words to lose sync,Number of valid data words to decrement error count,Enable fast sync status reporting for deterministic latency SM,Enable rx_std_wa_patternalign port,Enable rx_std_wa_a1a2size port,Enable rx_std_bitslipboundarysel port,Enable rx_bitslip port,Enable TX bit reversal,Enable TX byte reversal,Enable TX polarity inversion,Enable tx_polinv port,Enable RX bit reversal,Enable rx_std_bitrev_ena port,Enable RX byte reversal,Enable rx_std_byterev_ena port,Enable RX polarity inversion,Enable rx_polinv port,Enable rx_std_signaldetect port,Enable PCIe dynamic datarate switch ports,Enable PCIe pipe_hclk_in and pipe_hclk_out ports,Enable PCIe Gen 3 analog control ports,Enable PCIe electrical idle control and status ports,Enable PCIe pipe_rx_polarity port,Enhanced PCS / PMA interface width,FPGA fabric / Enhanced PCS interface width,Enable 'Enhanced PCS' low latency mode,Enable RX/TX FIFO double width mode,TX FIFO mode,TX FIFO partially full threshold,TX FIFO partially empty threshold,Enable tx_enh_fifo_full port,Enable tx_enh_fifo_pfull port,Enable tx_enh_fifo_empty port,Enable tx_enh_fifo_pempty port,Enable tx_enh_fifo_cnt port,RX FIFO mode,RX FIFO partially full threshold,RX FIFO partially empty threshold,Enable RX FIFO alignment word deletion (Interlaken),Enable RX FIFO control word deletion (Interlaken),Enable rx_enh_data_valid port,Enable rx_enh_fifo_full port,Enable rx_enh_fifo_pfull port,Enable rx_enh_fifo_empty port,Enable rx_enh_fifo_pempty port,Enable rx_enh_fifo_cnt port,Enable rx_enh_fifo_del port (10GBASE-R),Enable rx_enh_fifo_insert port (10GBASE-R),Enable rx_enh_fifo_rd_en port,Enable rx_enh_fifo_align_val port (Interlaken),Enable rx_enh_fifo_align_clr port (Interlaken),Enable Interlaken frame generator,Frame generator metaframe length,Enable frame generator burst control,Enable tx_enh_frame port,Enable tx_enh_frame_diag_status port,Enable tx_enh_frame_burst_en port,Enable Interlaken frame synchronizer,Frame synchronizer metaframe length,Enable rx_enh_frame port,Enable rx_enh_frame_lock port,Enable rx_enh_frame_diag_status port,Enable Interlaken TX CRC-32 generator,Enable Interlaken TX CRC-32 generator error insertion,Enable Interlaken RX CRC-32 checker,Enable rx_enh_crc32_err port,Enable rx_enh_highber port (10GBASE-R),Enable rx_enh_highber_clr_cnt port (10GBASE-R),Enable rx_enh_clr_errblk_count port (10GBASE-R & FEC),Enable rx_enh_clr_errblk_count port (10GBASE-R),Enable TX 64b/66b encoder,Enable RX 64b/66b decoder,Enable TX sync header error insertion,Enable TX scrambler (10GBASE-R/Interlaken),TX scrambler seed (10GBASE-R/Interlaken),Enable RX descrambler (10GBASE-R/Interlaken),Enable Interlaken TX disparity generator,Enable Interlaken RX disparity checker,Enable Interlaken TX random disparity bit,Enable RX block synchronizer,Enable rx_enh_blk_lock port,Enable TX data bitslip,Enable TX data polarity inversion,Enable RX data bitslip,Enable RX data polarity inversion,Enable tx_enh_bitslip port,Enable rx_bitslip port,Enable RX KR-FEC error marking,Error marking type,Enable KR-FEC TX error insertion,KR-FEC TX error insertion spacing,Enable tx_enh_frame port,Enable rx_enh_frame port,Enable rx_enh_frame_diag_status port,PCS Direct interface width,Include PMA analog settings in configuration files,Analog Mode (Intel-recommended Default Setting Rules),Override Intel-recommended Analog Mode Default Settings,Output Swing Level (VOD),Pre-Emphasis First Pre-Tap Polarity,Pre-Emphasis First Pre-Tap Magnitude,Pre-Emphasis Second Pre-Tap Polarity,Pre-Emphasis Second Pre-Tap Magnitude,Pre-Emphasis First Post-Tap Polarity,Pre-Emphasis First Post-Tap Magnitude,Pre-Emphasis Second Post-Tap Polarity,Pre-Emphasis Second Post-Tap Magnitude,Slew Rate Control,High-Speed Compensation,On-Chip Termination,Override Intel-recommended Default Settings,CTLE (Continuous Time Linear Equalizer) mode,DC Gain Control of High Gain Mode CTLE,AC Gain Control of High Gain Mode CTLE,AC Gain Control of High Data Rate Mode CTLE,Variable Gain Amplifier (VGA) Voltage Swing Select,Decision Feedback Equalizer (DFE) Fixed Tap 1 Co-efficient,Decision Feedback Equalizer (DFE) Fixed Tap 2 Co-efficient,Decision Feedback Equalizer (DFE) Fixed Tap 3 Co-efficient,Decision Feedback Equalizer (DFE) Fixed Tap 4 Co-efficient,Decision Feedback Equalizer (DFE) Fixed Tap 5 Co-efficient,Decision Feedback Equalizer (DFE) Fixed Tap 6 Co-efficient,Decision Feedback Equalizer (DFE) Fixed Tap 7 Co-efficient,Decision Feedback Equalizer (DFE) Fixed Tap 8 Co-efficient,Decision Feedback Equalizer (DFE) Fixed Tap 9 Co-efficient,Decision Feedback Equalizer (DFE) Fixed Tap 10 Co-efficient,Decision Feedback Equalizer (DFE) Fixed Tap 11 Co-efficient,On-Chip Termination</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_param_vals0" type="string"> + <ipxact:name>rcfg_param_vals0</ipxact:name> + <ipxact:displayName>Profile 0</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_param_vals1" type="string"> + <ipxact:name>rcfg_param_vals1</ipxact:name> + <ipxact:displayName>Profile 1</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_param_vals2" type="string"> + <ipxact:name>rcfg_param_vals2</ipxact:name> + <ipxact:displayName>Profile 2</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_param_vals3" type="string"> + <ipxact:name>rcfg_param_vals3</ipxact:name> + <ipxact:displayName>Profile 3</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_param_vals4" type="string"> + <ipxact:name>rcfg_param_vals4</ipxact:name> + <ipxact:displayName>Profile 4</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_param_vals5" type="string"> + <ipxact:name>rcfg_param_vals5</ipxact:name> + <ipxact:displayName>Profile 5</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_param_vals6" type="string"> + <ipxact:name>rcfg_param_vals6</ipxact:name> + <ipxact:displayName>Profile 6</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_param_vals7" type="string"> + <ipxact:name>rcfg_param_vals7</ipxact:name> + <ipxact:displayName>Profile 7</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_rcfg_datapath_message" type="int"> + <ipxact:name>l_rcfg_datapath_message</ipxact:name> + <ipxact:displayName>l_rcfg_datapath_message</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_analog_settings" type="int"> + <ipxact:name>enable_analog_settings</ipxact:name> + <ipxact:displayName>Include PMA analog settings in configuration files</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_tx_analog_mode" type="string"> + <ipxact:name>anlg_tx_analog_mode</ipxact:name> + <ipxact:displayName>Analog Mode (Intel-recommended Default Setting Rules)</ipxact:displayName> + <ipxact:value>user_custom</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_enable_tx_default_ovr" type="int"> + <ipxact:name>anlg_enable_tx_default_ovr</ipxact:name> + <ipxact:displayName>Override Intel-recommended Analog Mode Default Settings</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_tx_vod_output_swing_ctrl" type="int"> + <ipxact:name>anlg_tx_vod_output_swing_ctrl</ipxact:name> + <ipxact:displayName>Output Swing Level (VOD)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_tx_pre_emp_sign_pre_tap_1t" type="string"> + <ipxact:name>anlg_tx_pre_emp_sign_pre_tap_1t</ipxact:name> + <ipxact:displayName>Pre-Emphasis First Pre-Tap Polarity</ipxact:displayName> + <ipxact:value>fir_pre_1t_neg</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_tx_pre_emp_switching_ctrl_pre_tap_1t" type="int"> + <ipxact:name>anlg_tx_pre_emp_switching_ctrl_pre_tap_1t</ipxact:name> + <ipxact:displayName>Pre-Emphasis First Pre-Tap Magnitude</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_tx_pre_emp_sign_pre_tap_2t" type="string"> + <ipxact:name>anlg_tx_pre_emp_sign_pre_tap_2t</ipxact:name> + <ipxact:displayName>Pre-Emphasis Second Pre-Tap Polarity</ipxact:displayName> + <ipxact:value>fir_pre_2t_neg</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_tx_pre_emp_switching_ctrl_pre_tap_2t" type="int"> + <ipxact:name>anlg_tx_pre_emp_switching_ctrl_pre_tap_2t</ipxact:name> + <ipxact:displayName>Pre-Emphasis Second Pre-Tap Magnitude</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_tx_pre_emp_sign_1st_post_tap" type="string"> + <ipxact:name>anlg_tx_pre_emp_sign_1st_post_tap</ipxact:name> + <ipxact:displayName>Pre-Emphasis First Post-Tap Polarity</ipxact:displayName> + <ipxact:value>fir_post_1t_neg</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_tx_pre_emp_switching_ctrl_1st_post_tap" type="int"> + <ipxact:name>anlg_tx_pre_emp_switching_ctrl_1st_post_tap</ipxact:name> + <ipxact:displayName>Pre-Emphasis First Post-Tap Magnitude</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_tx_pre_emp_sign_2nd_post_tap" type="string"> + <ipxact:name>anlg_tx_pre_emp_sign_2nd_post_tap</ipxact:name> + <ipxact:displayName>Pre-Emphasis Second Post-Tap Polarity</ipxact:displayName> + <ipxact:value>fir_post_2t_neg</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_tx_pre_emp_switching_ctrl_2nd_post_tap" type="int"> + <ipxact:name>anlg_tx_pre_emp_switching_ctrl_2nd_post_tap</ipxact:name> + <ipxact:displayName>Pre-Emphasis Second Post-Tap Magnitude</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_tx_slew_rate_ctrl" type="string"> + <ipxact:name>anlg_tx_slew_rate_ctrl</ipxact:name> + <ipxact:displayName>Slew Rate Control</ipxact:displayName> + <ipxact:value>slew_r7</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_tx_compensation_en" type="string"> + <ipxact:name>anlg_tx_compensation_en</ipxact:name> + <ipxact:displayName>High-Speed Compensation</ipxact:displayName> + <ipxact:value>enable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_tx_term_sel" type="string"> + <ipxact:name>anlg_tx_term_sel</ipxact:name> + <ipxact:displayName>On-Chip Termination</ipxact:displayName> + <ipxact:value>r_r1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_enable_rx_default_ovr" type="int"> + <ipxact:name>anlg_enable_rx_default_ovr</ipxact:name> + <ipxact:displayName>Override Intel-recommended Default Settings</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_rx_one_stage_enable" type="string"> + <ipxact:name>anlg_rx_one_stage_enable</ipxact:name> + <ipxact:displayName>CTLE (Continuous Time Linear Equalizer) mode</ipxact:displayName> + <ipxact:value>s1_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_rx_eq_dc_gain_trim" type="string"> + <ipxact:name>anlg_rx_eq_dc_gain_trim</ipxact:name> + <ipxact:displayName>DC Gain Control of High Gain Mode CTLE</ipxact:displayName> + <ipxact:value>stg2_gain7</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_rx_adp_ctle_acgain_4s" type="string"> + <ipxact:name>anlg_rx_adp_ctle_acgain_4s</ipxact:name> + <ipxact:displayName>AC Gain Control of High Gain Mode CTLE</ipxact:displayName> + <ipxact:value>radp_ctle_acgain_4s_1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_rx_adp_ctle_eqz_1s_sel" type="string"> + <ipxact:name>anlg_rx_adp_ctle_eqz_1s_sel</ipxact:name> + <ipxact:displayName>AC Gain Control of High Data Rate Mode CTLE</ipxact:displayName> + <ipxact:value>radp_ctle_eqz_1s_sel_3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_rx_adp_vga_sel" type="string"> + <ipxact:name>anlg_rx_adp_vga_sel</ipxact:name> + <ipxact:displayName>Variable Gain Amplifier (VGA) Voltage Swing Select</ipxact:displayName> + <ipxact:value>radp_vga_sel_2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_rx_adp_dfe_fxtap1" type="string"> + <ipxact:name>anlg_rx_adp_dfe_fxtap1</ipxact:name> + <ipxact:displayName>Decision Feedback Equalizer (DFE) Fixed Tap 1 Co-efficient</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap1_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_rx_adp_dfe_fxtap2" type="string"> + <ipxact:name>anlg_rx_adp_dfe_fxtap2</ipxact:name> + <ipxact:displayName>Decision Feedback Equalizer (DFE) Fixed Tap 2 Co-efficient</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap2_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_rx_adp_dfe_fxtap3" type="string"> + <ipxact:name>anlg_rx_adp_dfe_fxtap3</ipxact:name> + <ipxact:displayName>Decision Feedback Equalizer (DFE) Fixed Tap 3 Co-efficient</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap3_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_rx_adp_dfe_fxtap4" type="string"> + <ipxact:name>anlg_rx_adp_dfe_fxtap4</ipxact:name> + <ipxact:displayName>Decision Feedback Equalizer (DFE) Fixed Tap 4 Co-efficient</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap4_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_rx_adp_dfe_fxtap5" type="string"> + <ipxact:name>anlg_rx_adp_dfe_fxtap5</ipxact:name> + <ipxact:displayName>Decision Feedback Equalizer (DFE) Fixed Tap 5 Co-efficient</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap5_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_rx_adp_dfe_fxtap6" type="string"> + <ipxact:name>anlg_rx_adp_dfe_fxtap6</ipxact:name> + <ipxact:displayName>Decision Feedback Equalizer (DFE) Fixed Tap 6 Co-efficient</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap6_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_rx_adp_dfe_fxtap7" type="string"> + <ipxact:name>anlg_rx_adp_dfe_fxtap7</ipxact:name> + <ipxact:displayName>Decision Feedback Equalizer (DFE) Fixed Tap 7 Co-efficient</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap7_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_rx_adp_dfe_fxtap8" type="string"> + <ipxact:name>anlg_rx_adp_dfe_fxtap8</ipxact:name> + <ipxact:displayName>Decision Feedback Equalizer (DFE) Fixed Tap 8 Co-efficient</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap8_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_rx_adp_dfe_fxtap9" type="string"> + <ipxact:name>anlg_rx_adp_dfe_fxtap9</ipxact:name> + <ipxact:displayName>Decision Feedback Equalizer (DFE) Fixed Tap 9 Co-efficient</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap9_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_rx_adp_dfe_fxtap10" type="string"> + <ipxact:name>anlg_rx_adp_dfe_fxtap10</ipxact:name> + <ipxact:displayName>Decision Feedback Equalizer (DFE) Fixed Tap 10 Co-efficient</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap10_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_rx_adp_dfe_fxtap11" type="string"> + <ipxact:name>anlg_rx_adp_dfe_fxtap11</ipxact:name> + <ipxact:displayName>Decision Feedback Equalizer (DFE) Fixed Tap 11 Co-efficient</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap11_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="anlg_rx_term_sel" type="string"> + <ipxact:name>anlg_rx_term_sel</ipxact:name> + <ipxact:displayName>On-Chip Termination</ipxact:displayName> + <ipxact:value>r_r1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_anlg_tx_enable" type="int"> + <ipxact:name>l_anlg_tx_enable</ipxact:name> + <ipxact:displayName>l_anlg_tx_enable</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_anlg_rx_enable" type="int"> + <ipxact:name>l_anlg_rx_enable</ipxact:name> + <ipxact:displayName>l_anlg_rx_enable</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_rx_pcs_block_sync" type="string"> + <ipxact:name>hssi_gen3_rx_pcs_block_sync</ipxact:name> + <ipxact:displayName>hssi_gen3_rx_pcs_block_sync</ipxact:displayName> + <ipxact:value>bypass_block_sync</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_rx_pcs_block_sync_sm" type="string"> + <ipxact:name>hssi_gen3_rx_pcs_block_sync_sm</ipxact:name> + <ipxact:displayName>hssi_gen3_rx_pcs_block_sync_sm</ipxact:displayName> + <ipxact:value>disable_blk_sync_sm</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_rx_pcs_cdr_ctrl_force_unalgn" type="string"> + <ipxact:name>hssi_gen3_rx_pcs_cdr_ctrl_force_unalgn</ipxact:name> + <ipxact:displayName>hssi_gen3_rx_pcs_cdr_ctrl_force_unalgn</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_rx_pcs_lpbk_force" type="string"> + <ipxact:name>hssi_gen3_rx_pcs_lpbk_force</ipxact:name> + <ipxact:displayName>hssi_gen3_rx_pcs_lpbk_force</ipxact:displayName> + <ipxact:value>lpbk_frce_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_rx_pcs_mode" type="string"> + <ipxact:name>hssi_gen3_rx_pcs_mode</ipxact:name> + <ipxact:displayName>hssi_gen3_rx_pcs_mode</ipxact:displayName> + <ipxact:value>disable_pcs</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_rx_pcs_rate_match_fifo" type="string"> + <ipxact:name>hssi_gen3_rx_pcs_rate_match_fifo</ipxact:name> + <ipxact:displayName>hssi_gen3_rx_pcs_rate_match_fifo</ipxact:displayName> + <ipxact:value>bypass_rm_fifo</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_rx_pcs_rate_match_fifo_latency" type="string"> + <ipxact:name>hssi_gen3_rx_pcs_rate_match_fifo_latency</ipxact:name> + <ipxact:displayName>hssi_gen3_rx_pcs_rate_match_fifo_latency</ipxact:displayName> + <ipxact:value>low_latency</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_rx_pcs_reverse_lpbk" type="string"> + <ipxact:name>hssi_gen3_rx_pcs_reverse_lpbk</ipxact:name> + <ipxact:displayName>hssi_gen3_rx_pcs_reverse_lpbk</ipxact:displayName> + <ipxact:value>rev_lpbk_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_rx_pcs_rx_b4gb_par_lpbk" type="string"> + <ipxact:name>hssi_gen3_rx_pcs_rx_b4gb_par_lpbk</ipxact:name> + <ipxact:displayName>hssi_gen3_rx_pcs_rx_b4gb_par_lpbk</ipxact:displayName> + <ipxact:value>b4gb_par_lpbk_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_rx_pcs_rx_force_balign" type="string"> + <ipxact:name>hssi_gen3_rx_pcs_rx_force_balign</ipxact:name> + <ipxact:displayName>hssi_gen3_rx_pcs_rx_force_balign</ipxact:displayName> + <ipxact:value>dis_force_balign</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_rx_pcs_rx_ins_del_one_skip" type="string"> + <ipxact:name>hssi_gen3_rx_pcs_rx_ins_del_one_skip</ipxact:name> + <ipxact:displayName>hssi_gen3_rx_pcs_rx_ins_del_one_skip</ipxact:displayName> + <ipxact:value>ins_del_one_skip_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_rx_pcs_rx_num_fixed_pat" type="int"> + <ipxact:name>hssi_gen3_rx_pcs_rx_num_fixed_pat</ipxact:name> + <ipxact:displayName>hssi_gen3_rx_pcs_rx_num_fixed_pat</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_rx_pcs_rx_test_out_sel" type="string"> + <ipxact:name>hssi_gen3_rx_pcs_rx_test_out_sel</ipxact:name> + <ipxact:displayName>hssi_gen3_rx_pcs_rx_test_out_sel</ipxact:displayName> + <ipxact:value>rx_test_out0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_rx_pcs_sup_mode" type="string"> + <ipxact:name>hssi_gen3_rx_pcs_sup_mode</ipxact:name> + <ipxact:displayName>hssi_gen3_rx_pcs_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_rx_pcs_silicon_rev" type="string"> + <ipxact:name>hssi_gen3_rx_pcs_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_gen3_rx_pcs_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_rx_pcs_reconfig_settings" type="string"> + <ipxact:name>hssi_gen3_rx_pcs_reconfig_settings</ipxact:name> + <ipxact:displayName>hssi_gen3_rx_pcs_reconfig_settings</ipxact:displayName> + <ipxact:value>{}</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_tx_pcs_mode" type="string"> + <ipxact:name>hssi_gen3_tx_pcs_mode</ipxact:name> + <ipxact:displayName>hssi_gen3_tx_pcs_mode</ipxact:displayName> + <ipxact:value>disable_pcs</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_tx_pcs_reverse_lpbk" type="string"> + <ipxact:name>hssi_gen3_tx_pcs_reverse_lpbk</ipxact:name> + <ipxact:displayName>hssi_gen3_tx_pcs_reverse_lpbk</ipxact:displayName> + <ipxact:value>rev_lpbk_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_tx_pcs_sup_mode" type="string"> + <ipxact:name>hssi_gen3_tx_pcs_sup_mode</ipxact:name> + <ipxact:displayName>hssi_gen3_tx_pcs_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_tx_pcs_tx_bitslip" type="int"> + <ipxact:name>hssi_gen3_tx_pcs_tx_bitslip</ipxact:name> + <ipxact:displayName>hssi_gen3_tx_pcs_tx_bitslip</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_tx_pcs_tx_gbox_byp" type="string"> + <ipxact:name>hssi_gen3_tx_pcs_tx_gbox_byp</ipxact:name> + <ipxact:displayName>hssi_gen3_tx_pcs_tx_gbox_byp</ipxact:displayName> + <ipxact:value>bypass_gbox</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_gen3_tx_pcs_silicon_rev" type="string"> + <ipxact:name>hssi_gen3_tx_pcs_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_gen3_tx_pcs_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_blksync_cor_en" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_blksync_cor_en</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_blksync_cor_en</ipxact:displayName> + <ipxact:value>detect</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_bypass_gb" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_bypass_gb</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_bypass_gb</ipxact:displayName> + <ipxact:value>bypass_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_clr_ctrl" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_clr_ctrl</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_clr_ctrl</ipxact:displayName> + <ipxact:value>both_enabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_ctrl_bit_reverse" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_ctrl_bit_reverse</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_ctrl_bit_reverse</ipxact:displayName> + <ipxact:value>ctrl_bit_reverse_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_data_bit_reverse" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_data_bit_reverse</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_data_bit_reverse</ipxact:displayName> + <ipxact:value>data_bit_reverse_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_dv_start" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_dv_start</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_dv_start</ipxact:displayName> + <ipxact:value>with_blklock</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_err_mark_type" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_err_mark_type</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_err_mark_type</ipxact:displayName> + <ipxact:value>err_mark_10g</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_error_marking_en" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_error_marking_en</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_error_marking_en</ipxact:displayName> + <ipxact:value>err_mark_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_low_latency_en" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_low_latency_en</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_low_latency_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_lpbk_mode" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_lpbk_mode</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_lpbk_mode</ipxact:displayName> + <ipxact:value>lpbk_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_parity_invalid_enum" type="int"> + <ipxact:name>hssi_krfec_rx_pcs_parity_invalid_enum</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_parity_invalid_enum</ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_parity_valid_num" type="int"> + <ipxact:name>hssi_krfec_rx_pcs_parity_valid_num</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_parity_valid_num</ipxact:displayName> + <ipxact:value>4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_pipeln_blksync" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_pipeln_blksync</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_pipeln_blksync</ipxact:displayName> + <ipxact:value>enable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_pipeln_descrm" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_pipeln_descrm</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_pipeln_descrm</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_pipeln_errcorrect" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_pipeln_errcorrect</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_pipeln_errcorrect</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_pipeln_errtrap_ind" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_pipeln_errtrap_ind</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_pipeln_errtrap_ind</ipxact:displayName> + <ipxact:value>enable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_pipeln_errtrap_lfsr" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_pipeln_errtrap_lfsr</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_pipeln_errtrap_lfsr</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_pipeln_errtrap_loc" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_pipeln_errtrap_loc</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_pipeln_errtrap_loc</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_pipeln_errtrap_pat" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_pipeln_errtrap_pat</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_pipeln_errtrap_pat</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_pipeln_gearbox" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_pipeln_gearbox</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_pipeln_gearbox</ipxact:displayName> + <ipxact:value>enable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_pipeln_syndrm" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_pipeln_syndrm</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_pipeln_syndrm</ipxact:displayName> + <ipxact:value>enable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_pipeln_trans_dec" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_pipeln_trans_dec</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_pipeln_trans_dec</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_prot_mode" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_prot_mode</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_prot_mode</ipxact:displayName> + <ipxact:value>disable_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_receive_order" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_receive_order</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_receive_order</ipxact:displayName> + <ipxact:value>receive_lsb</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_rx_testbus_sel" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_rx_testbus_sel</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_rx_testbus_sel</ipxact:displayName> + <ipxact:value>overall</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_signal_ok_en" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_signal_ok_en</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_signal_ok_en</ipxact:displayName> + <ipxact:value>sig_ok_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_sup_mode" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_sup_mode</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_silicon_rev" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_rx_pcs_reconfig_settings" type="string"> + <ipxact:name>hssi_krfec_rx_pcs_reconfig_settings</ipxact:name> + <ipxact:displayName>hssi_krfec_rx_pcs_reconfig_settings</ipxact:displayName> + <ipxact:value>{}</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_tx_pcs_burst_err" type="string"> + <ipxact:name>hssi_krfec_tx_pcs_burst_err</ipxact:name> + <ipxact:displayName>hssi_krfec_tx_pcs_burst_err</ipxact:displayName> + <ipxact:value>burst_err_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_tx_pcs_burst_err_len" type="string"> + <ipxact:name>hssi_krfec_tx_pcs_burst_err_len</ipxact:name> + <ipxact:displayName>hssi_krfec_tx_pcs_burst_err_len</ipxact:displayName> + <ipxact:value>burst_err_len1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_tx_pcs_ctrl_bit_reverse" type="string"> + <ipxact:name>hssi_krfec_tx_pcs_ctrl_bit_reverse</ipxact:name> + <ipxact:displayName>hssi_krfec_tx_pcs_ctrl_bit_reverse</ipxact:displayName> + <ipxact:value>ctrl_bit_reverse_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_tx_pcs_data_bit_reverse" type="string"> + <ipxact:name>hssi_krfec_tx_pcs_data_bit_reverse</ipxact:name> + <ipxact:displayName>hssi_krfec_tx_pcs_data_bit_reverse</ipxact:displayName> + <ipxact:value>data_bit_reverse_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_tx_pcs_enc_frame_query" type="string"> + <ipxact:name>hssi_krfec_tx_pcs_enc_frame_query</ipxact:name> + <ipxact:displayName>hssi_krfec_tx_pcs_enc_frame_query</ipxact:displayName> + <ipxact:value>enc_query_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_tx_pcs_low_latency_en" type="string"> + <ipxact:name>hssi_krfec_tx_pcs_low_latency_en</ipxact:name> + <ipxact:displayName>hssi_krfec_tx_pcs_low_latency_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_tx_pcs_pipeln_encoder" type="string"> + <ipxact:name>hssi_krfec_tx_pcs_pipeln_encoder</ipxact:name> + <ipxact:displayName>hssi_krfec_tx_pcs_pipeln_encoder</ipxact:displayName> + <ipxact:value>enable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_tx_pcs_pipeln_scrambler" type="string"> + <ipxact:name>hssi_krfec_tx_pcs_pipeln_scrambler</ipxact:name> + <ipxact:displayName>hssi_krfec_tx_pcs_pipeln_scrambler</ipxact:displayName> + <ipxact:value>enable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_tx_pcs_prot_mode" type="string"> + <ipxact:name>hssi_krfec_tx_pcs_prot_mode</ipxact:name> + <ipxact:displayName>hssi_krfec_tx_pcs_prot_mode</ipxact:displayName> + <ipxact:value>disable_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_tx_pcs_sup_mode" type="string"> + <ipxact:name>hssi_krfec_tx_pcs_sup_mode</ipxact:name> + <ipxact:displayName>hssi_krfec_tx_pcs_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_tx_pcs_transcode_err" type="string"> + <ipxact:name>hssi_krfec_tx_pcs_transcode_err</ipxact:name> + <ipxact:displayName>hssi_krfec_tx_pcs_transcode_err</ipxact:displayName> + <ipxact:value>trans_err_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_tx_pcs_transmit_order" type="string"> + <ipxact:name>hssi_krfec_tx_pcs_transmit_order</ipxact:name> + <ipxact:displayName>hssi_krfec_tx_pcs_transmit_order</ipxact:displayName> + <ipxact:value>transmit_lsb</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_tx_pcs_tx_testbus_sel" type="string"> + <ipxact:name>hssi_krfec_tx_pcs_tx_testbus_sel</ipxact:name> + <ipxact:displayName>hssi_krfec_tx_pcs_tx_testbus_sel</ipxact:displayName> + <ipxact:value>overall</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_krfec_tx_pcs_silicon_rev" type="string"> + <ipxact:name>hssi_krfec_tx_pcs_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_krfec_tx_pcs_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_align_del" type="string"> + <ipxact:name>hssi_10g_rx_pcs_align_del</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_align_del</ipxact:displayName> + <ipxact:value>align_del_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_ber_bit_err_total_cnt" type="string"> + <ipxact:name>hssi_10g_rx_pcs_ber_bit_err_total_cnt</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_ber_bit_err_total_cnt</ipxact:displayName> + <ipxact:value>bit_err_total_cnt_10g</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_ber_clken" type="string"> + <ipxact:name>hssi_10g_rx_pcs_ber_clken</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_ber_clken</ipxact:displayName> + <ipxact:value>ber_clk_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_ber_xus_timer_window" type="int"> + <ipxact:name>hssi_10g_rx_pcs_ber_xus_timer_window</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_ber_xus_timer_window</ipxact:displayName> + <ipxact:value>19530</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_bitslip_mode" type="string"> + <ipxact:name>hssi_10g_rx_pcs_bitslip_mode</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_bitslip_mode</ipxact:displayName> + <ipxact:value>bitslip_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_blksync_bitslip_type" type="string"> + <ipxact:name>hssi_10g_rx_pcs_blksync_bitslip_type</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_blksync_bitslip_type</ipxact:displayName> + <ipxact:value>bitslip_comb</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_blksync_bitslip_wait_cnt" type="int"> + <ipxact:name>hssi_10g_rx_pcs_blksync_bitslip_wait_cnt</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_blksync_bitslip_wait_cnt</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_blksync_bitslip_wait_type" type="string"> + <ipxact:name>hssi_10g_rx_pcs_blksync_bitslip_wait_type</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_blksync_bitslip_wait_type</ipxact:displayName> + <ipxact:value>bitslip_cnt</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_blksync_bypass" type="string"> + <ipxact:name>hssi_10g_rx_pcs_blksync_bypass</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_blksync_bypass</ipxact:displayName> + <ipxact:value>blksync_bypass_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_blksync_clken" type="string"> + <ipxact:name>hssi_10g_rx_pcs_blksync_clken</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_blksync_clken</ipxact:displayName> + <ipxact:value>blksync_clk_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_blksync_enum_invalid_sh_cnt" type="string"> + <ipxact:name>hssi_10g_rx_pcs_blksync_enum_invalid_sh_cnt</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_blksync_enum_invalid_sh_cnt</ipxact:displayName> + <ipxact:value>enum_invalid_sh_cnt_10g</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_blksync_knum_sh_cnt_postlock" type="string"> + <ipxact:name>hssi_10g_rx_pcs_blksync_knum_sh_cnt_postlock</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_blksync_knum_sh_cnt_postlock</ipxact:displayName> + <ipxact:value>knum_sh_cnt_postlock_10g</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_blksync_knum_sh_cnt_prelock" type="string"> + <ipxact:name>hssi_10g_rx_pcs_blksync_knum_sh_cnt_prelock</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_blksync_knum_sh_cnt_prelock</ipxact:displayName> + <ipxact:value>knum_sh_cnt_prelock_10g</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_blksync_pipeln" type="string"> + <ipxact:name>hssi_10g_rx_pcs_blksync_pipeln</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_blksync_pipeln</ipxact:displayName> + <ipxact:value>blksync_pipeln_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_clr_errblk_cnt_en" type="string"> + <ipxact:name>hssi_10g_rx_pcs_clr_errblk_cnt_en</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_clr_errblk_cnt_en</ipxact:displayName> + <ipxact:value>enable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_control_del" type="string"> + <ipxact:name>hssi_10g_rx_pcs_control_del</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_control_del</ipxact:displayName> + <ipxact:value>control_del_none</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_crcchk_bypass" type="string"> + <ipxact:name>hssi_10g_rx_pcs_crcchk_bypass</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_crcchk_bypass</ipxact:displayName> + <ipxact:value>crcchk_bypass_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_crcchk_clken" type="string"> + <ipxact:name>hssi_10g_rx_pcs_crcchk_clken</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_crcchk_clken</ipxact:displayName> + <ipxact:value>crcchk_clk_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_crcchk_inv" type="string"> + <ipxact:name>hssi_10g_rx_pcs_crcchk_inv</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_crcchk_inv</ipxact:displayName> + <ipxact:value>crcchk_inv_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_crcchk_pipeln" type="string"> + <ipxact:name>hssi_10g_rx_pcs_crcchk_pipeln</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_crcchk_pipeln</ipxact:displayName> + <ipxact:value>crcchk_pipeln_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_crcflag_pipeln" type="string"> + <ipxact:name>hssi_10g_rx_pcs_crcflag_pipeln</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_crcflag_pipeln</ipxact:displayName> + <ipxact:value>crcflag_pipeln_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_ctrl_bit_reverse" type="string"> + <ipxact:name>hssi_10g_rx_pcs_ctrl_bit_reverse</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_ctrl_bit_reverse</ipxact:displayName> + <ipxact:value>ctrl_bit_reverse_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_data_bit_reverse" type="string"> + <ipxact:name>hssi_10g_rx_pcs_data_bit_reverse</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_data_bit_reverse</ipxact:displayName> + <ipxact:value>data_bit_reverse_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_dec_64b66b_rxsm_bypass" type="string"> + <ipxact:name>hssi_10g_rx_pcs_dec_64b66b_rxsm_bypass</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_dec_64b66b_rxsm_bypass</ipxact:displayName> + <ipxact:value>dec_64b66b_rxsm_bypass_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_dec64b66b_clken" type="string"> + <ipxact:name>hssi_10g_rx_pcs_dec64b66b_clken</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_dec64b66b_clken</ipxact:displayName> + <ipxact:value>dec64b66b_clk_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_descrm_bypass" type="string"> + <ipxact:name>hssi_10g_rx_pcs_descrm_bypass</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_descrm_bypass</ipxact:displayName> + <ipxact:value>descrm_bypass_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_descrm_clken" type="string"> + <ipxact:name>hssi_10g_rx_pcs_descrm_clken</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_descrm_clken</ipxact:displayName> + <ipxact:value>descrm_clk_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_descrm_mode" type="string"> + <ipxact:name>hssi_10g_rx_pcs_descrm_mode</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_descrm_mode</ipxact:displayName> + <ipxact:value>async</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_descrm_pipeln" type="string"> + <ipxact:name>hssi_10g_rx_pcs_descrm_pipeln</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_descrm_pipeln</ipxact:displayName> + <ipxact:value>enable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_dft_clk_out_sel" type="string"> + <ipxact:name>hssi_10g_rx_pcs_dft_clk_out_sel</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_dft_clk_out_sel</ipxact:displayName> + <ipxact:value>rx_master_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_dis_signal_ok" type="string"> + <ipxact:name>hssi_10g_rx_pcs_dis_signal_ok</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_dis_signal_ok</ipxact:displayName> + <ipxact:value>dis_signal_ok_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_dispchk_bypass" type="string"> + <ipxact:name>hssi_10g_rx_pcs_dispchk_bypass</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_dispchk_bypass</ipxact:displayName> + <ipxact:value>dispchk_bypass_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_empty_flag_type" type="string"> + <ipxact:name>hssi_10g_rx_pcs_empty_flag_type</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_empty_flag_type</ipxact:displayName> + <ipxact:value>empty_rd_side</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_fast_path" type="string"> + <ipxact:name>hssi_10g_rx_pcs_fast_path</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_fast_path</ipxact:displayName> + <ipxact:value>fast_path_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_fec_clken" type="string"> + <ipxact:name>hssi_10g_rx_pcs_fec_clken</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_fec_clken</ipxact:displayName> + <ipxact:value>fec_clk_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_fec_enable" type="string"> + <ipxact:name>hssi_10g_rx_pcs_fec_enable</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_fec_enable</ipxact:displayName> + <ipxact:value>fec_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_fifo_double_read" type="string"> + <ipxact:name>hssi_10g_rx_pcs_fifo_double_read</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_fifo_double_read</ipxact:displayName> + <ipxact:value>fifo_double_read_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_fifo_stop_rd" type="string"> + <ipxact:name>hssi_10g_rx_pcs_fifo_stop_rd</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_fifo_stop_rd</ipxact:displayName> + <ipxact:value>n_rd_empty</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_fifo_stop_wr" type="string"> + <ipxact:name>hssi_10g_rx_pcs_fifo_stop_wr</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_fifo_stop_wr</ipxact:displayName> + <ipxact:value>n_wr_full</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_force_align" type="string"> + <ipxact:name>hssi_10g_rx_pcs_force_align</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_force_align</ipxact:displayName> + <ipxact:value>force_align_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_frmsync_bypass" type="string"> + <ipxact:name>hssi_10g_rx_pcs_frmsync_bypass</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_frmsync_bypass</ipxact:displayName> + <ipxact:value>frmsync_bypass_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_frmsync_clken" type="string"> + <ipxact:name>hssi_10g_rx_pcs_frmsync_clken</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_frmsync_clken</ipxact:displayName> + <ipxact:value>frmsync_clk_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_frmsync_enum_scrm" type="string"> + <ipxact:name>hssi_10g_rx_pcs_frmsync_enum_scrm</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_frmsync_enum_scrm</ipxact:displayName> + <ipxact:value>enum_scrm_default</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_frmsync_enum_sync" type="string"> + <ipxact:name>hssi_10g_rx_pcs_frmsync_enum_sync</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_frmsync_enum_sync</ipxact:displayName> + <ipxact:value>enum_sync_default</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_frmsync_flag_type" type="string"> + <ipxact:name>hssi_10g_rx_pcs_frmsync_flag_type</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_frmsync_flag_type</ipxact:displayName> + <ipxact:value>location_only</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_frmsync_knum_sync" type="string"> + <ipxact:name>hssi_10g_rx_pcs_frmsync_knum_sync</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_frmsync_knum_sync</ipxact:displayName> + <ipxact:value>knum_sync_default</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_frmsync_mfrm_length" type="int"> + <ipxact:name>hssi_10g_rx_pcs_frmsync_mfrm_length</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_frmsync_mfrm_length</ipxact:displayName> + <ipxact:value>2048</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_frmsync_pipeln" type="string"> + <ipxact:name>hssi_10g_rx_pcs_frmsync_pipeln</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_frmsync_pipeln</ipxact:displayName> + <ipxact:value>frmsync_pipeln_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_full_flag_type" type="string"> + <ipxact:name>hssi_10g_rx_pcs_full_flag_type</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_full_flag_type</ipxact:displayName> + <ipxact:value>full_wr_side</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_gb_rx_idwidth" type="string"> + <ipxact:name>hssi_10g_rx_pcs_gb_rx_idwidth</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_gb_rx_idwidth</ipxact:displayName> + <ipxact:value>width_32</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_gb_rx_odwidth" type="string"> + <ipxact:name>hssi_10g_rx_pcs_gb_rx_odwidth</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_gb_rx_odwidth</ipxact:displayName> + <ipxact:value>width_66</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_gbexp_clken" type="string"> + <ipxact:name>hssi_10g_rx_pcs_gbexp_clken</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_gbexp_clken</ipxact:displayName> + <ipxact:value>gbexp_clk_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_low_latency_en" type="string"> + <ipxact:name>hssi_10g_rx_pcs_low_latency_en</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_low_latency_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_lpbk_mode" type="string"> + <ipxact:name>hssi_10g_rx_pcs_lpbk_mode</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_lpbk_mode</ipxact:displayName> + <ipxact:value>lpbk_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_master_clk_sel" type="string"> + <ipxact:name>hssi_10g_rx_pcs_master_clk_sel</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_master_clk_sel</ipxact:displayName> + <ipxact:value>master_rx_pma_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_pempty_flag_type" type="string"> + <ipxact:name>hssi_10g_rx_pcs_pempty_flag_type</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_pempty_flag_type</ipxact:displayName> + <ipxact:value>pempty_rd_side</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_pfull_flag_type" type="string"> + <ipxact:name>hssi_10g_rx_pcs_pfull_flag_type</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_pfull_flag_type</ipxact:displayName> + <ipxact:value>pfull_wr_side</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_phcomp_rd_del" type="string"> + <ipxact:name>hssi_10g_rx_pcs_phcomp_rd_del</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_phcomp_rd_del</ipxact:displayName> + <ipxact:value>phcomp_rd_del2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_pld_if_type" type="string"> + <ipxact:name>hssi_10g_rx_pcs_pld_if_type</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_pld_if_type</ipxact:displayName> + <ipxact:value>fifo</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_prot_mode" type="string"> + <ipxact:name>hssi_10g_rx_pcs_prot_mode</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_prot_mode</ipxact:displayName> + <ipxact:value>teng_baser_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_rand_clken" type="string"> + <ipxact:name>hssi_10g_rx_pcs_rand_clken</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_rand_clken</ipxact:displayName> + <ipxact:value>rand_clk_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_rd_clk_sel" type="string"> + <ipxact:name>hssi_10g_rx_pcs_rd_clk_sel</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_rd_clk_sel</ipxact:displayName> + <ipxact:value>rd_rx_pld_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_rdfifo_clken" type="string"> + <ipxact:name>hssi_10g_rx_pcs_rdfifo_clken</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_rdfifo_clken</ipxact:displayName> + <ipxact:value>rdfifo_clk_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_rx_fifo_write_ctrl" type="string"> + <ipxact:name>hssi_10g_rx_pcs_rx_fifo_write_ctrl</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_rx_fifo_write_ctrl</ipxact:displayName> + <ipxact:value>blklock_stops</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_rx_scrm_width" type="string"> + <ipxact:name>hssi_10g_rx_pcs_rx_scrm_width</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_rx_scrm_width</ipxact:displayName> + <ipxact:value>bit64</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_rx_sh_location" type="string"> + <ipxact:name>hssi_10g_rx_pcs_rx_sh_location</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_rx_sh_location</ipxact:displayName> + <ipxact:value>lsb</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_rx_signal_ok_sel" type="string"> + <ipxact:name>hssi_10g_rx_pcs_rx_signal_ok_sel</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_rx_signal_ok_sel</ipxact:displayName> + <ipxact:value>synchronized_ver</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_rx_sm_bypass" type="string"> + <ipxact:name>hssi_10g_rx_pcs_rx_sm_bypass</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_rx_sm_bypass</ipxact:displayName> + <ipxact:value>rx_sm_bypass_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_rx_sm_hiber" type="string"> + <ipxact:name>hssi_10g_rx_pcs_rx_sm_hiber</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_rx_sm_hiber</ipxact:displayName> + <ipxact:value>rx_sm_hiber_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_rx_sm_pipeln" type="string"> + <ipxact:name>hssi_10g_rx_pcs_rx_sm_pipeln</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_rx_sm_pipeln</ipxact:displayName> + <ipxact:value>rx_sm_pipeln_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_rx_testbus_sel" type="string"> + <ipxact:name>hssi_10g_rx_pcs_rx_testbus_sel</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_rx_testbus_sel</ipxact:displayName> + <ipxact:value>rx_fifo_testbus1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_rx_true_b2b" type="string"> + <ipxact:name>hssi_10g_rx_pcs_rx_true_b2b</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_rx_true_b2b</ipxact:displayName> + <ipxact:value>b2b</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_rxfifo_empty" type="string"> + <ipxact:name>hssi_10g_rx_pcs_rxfifo_empty</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_rxfifo_empty</ipxact:displayName> + <ipxact:value>empty_default</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_rxfifo_full" type="string"> + <ipxact:name>hssi_10g_rx_pcs_rxfifo_full</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_rxfifo_full</ipxact:displayName> + <ipxact:value>full_default</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_rxfifo_mode" type="string"> + <ipxact:name>hssi_10g_rx_pcs_rxfifo_mode</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_rxfifo_mode</ipxact:displayName> + <ipxact:value>clk_comp_10g</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_rxfifo_pempty" type="int"> + <ipxact:name>hssi_10g_rx_pcs_rxfifo_pempty</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_rxfifo_pempty</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_rxfifo_pfull" type="int"> + <ipxact:name>hssi_10g_rx_pcs_rxfifo_pfull</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_rxfifo_pfull</ipxact:displayName> + <ipxact:value>23</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_stretch_num_stages" type="string"> + <ipxact:name>hssi_10g_rx_pcs_stretch_num_stages</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_stretch_num_stages</ipxact:displayName> + <ipxact:value>two_stage</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_sup_mode" type="string"> + <ipxact:name>hssi_10g_rx_pcs_sup_mode</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_test_mode" type="string"> + <ipxact:name>hssi_10g_rx_pcs_test_mode</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_test_mode</ipxact:displayName> + <ipxact:value>test_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_wrfifo_clken" type="string"> + <ipxact:name>hssi_10g_rx_pcs_wrfifo_clken</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_wrfifo_clken</ipxact:displayName> + <ipxact:value>wrfifo_clk_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_advanced_user_mode" type="string"> + <ipxact:name>hssi_10g_rx_pcs_advanced_user_mode</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_advanced_user_mode</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_silicon_rev" type="string"> + <ipxact:name>hssi_10g_rx_pcs_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_rx_pcs_reconfig_settings" type="string"> + <ipxact:name>hssi_10g_rx_pcs_reconfig_settings</ipxact:name> + <ipxact:displayName>hssi_10g_rx_pcs_reconfig_settings</ipxact:displayName> + <ipxact:value>{}</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_bitslip_en" type="string"> + <ipxact:name>hssi_10g_tx_pcs_bitslip_en</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_bitslip_en</ipxact:displayName> + <ipxact:value>bitslip_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_bonding_dft_en" type="string"> + <ipxact:name>hssi_10g_tx_pcs_bonding_dft_en</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_bonding_dft_en</ipxact:displayName> + <ipxact:value>dft_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_bonding_dft_val" type="string"> + <ipxact:name>hssi_10g_tx_pcs_bonding_dft_val</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_bonding_dft_val</ipxact:displayName> + <ipxact:value>dft_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_comp_cnt" type="int"> + <ipxact:name>hssi_10g_tx_pcs_comp_cnt</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_comp_cnt</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_compin_sel" type="string"> + <ipxact:name>hssi_10g_tx_pcs_compin_sel</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_compin_sel</ipxact:displayName> + <ipxact:value>compin_master</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_crcgen_bypass" type="string"> + <ipxact:name>hssi_10g_tx_pcs_crcgen_bypass</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_crcgen_bypass</ipxact:displayName> + <ipxact:value>crcgen_bypass_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_crcgen_clken" type="string"> + <ipxact:name>hssi_10g_tx_pcs_crcgen_clken</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_crcgen_clken</ipxact:displayName> + <ipxact:value>crcgen_clk_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_crcgen_err" type="string"> + <ipxact:name>hssi_10g_tx_pcs_crcgen_err</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_crcgen_err</ipxact:displayName> + <ipxact:value>crcgen_err_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_crcgen_inv" type="string"> + <ipxact:name>hssi_10g_tx_pcs_crcgen_inv</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_crcgen_inv</ipxact:displayName> + <ipxact:value>crcgen_inv_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_ctrl_bit_reverse" type="string"> + <ipxact:name>hssi_10g_tx_pcs_ctrl_bit_reverse</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_ctrl_bit_reverse</ipxact:displayName> + <ipxact:value>ctrl_bit_reverse_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_ctrl_plane_bonding" type="string"> + <ipxact:name>hssi_10g_tx_pcs_ctrl_plane_bonding</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_ctrl_plane_bonding</ipxact:displayName> + <ipxact:value>individual</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_data_bit_reverse" type="string"> + <ipxact:name>hssi_10g_tx_pcs_data_bit_reverse</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_data_bit_reverse</ipxact:displayName> + <ipxact:value>data_bit_reverse_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_dft_clk_out_sel" type="string"> + <ipxact:name>hssi_10g_tx_pcs_dft_clk_out_sel</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_dft_clk_out_sel</ipxact:displayName> + <ipxact:value>tx_master_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_dispgen_bypass" type="string"> + <ipxact:name>hssi_10g_tx_pcs_dispgen_bypass</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_dispgen_bypass</ipxact:displayName> + <ipxact:value>dispgen_bypass_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_dispgen_clken" type="string"> + <ipxact:name>hssi_10g_tx_pcs_dispgen_clken</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_dispgen_clken</ipxact:displayName> + <ipxact:value>dispgen_clk_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_dispgen_err" type="string"> + <ipxact:name>hssi_10g_tx_pcs_dispgen_err</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_dispgen_err</ipxact:displayName> + <ipxact:value>dispgen_err_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_dispgen_pipeln" type="string"> + <ipxact:name>hssi_10g_tx_pcs_dispgen_pipeln</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_dispgen_pipeln</ipxact:displayName> + <ipxact:value>dispgen_pipeln_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_distdwn_bypass_pipeln" type="string"> + <ipxact:name>hssi_10g_tx_pcs_distdwn_bypass_pipeln</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_distdwn_bypass_pipeln</ipxact:displayName> + <ipxact:value>distdwn_bypass_pipeln_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_distdwn_master" type="string"> + <ipxact:name>hssi_10g_tx_pcs_distdwn_master</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_distdwn_master</ipxact:displayName> + <ipxact:value>distdwn_master_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_distup_bypass_pipeln" type="string"> + <ipxact:name>hssi_10g_tx_pcs_distup_bypass_pipeln</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_distup_bypass_pipeln</ipxact:displayName> + <ipxact:value>distup_bypass_pipeln_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_distup_master" type="string"> + <ipxact:name>hssi_10g_tx_pcs_distup_master</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_distup_master</ipxact:displayName> + <ipxact:value>distup_master_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_dv_bond" type="string"> + <ipxact:name>hssi_10g_tx_pcs_dv_bond</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_dv_bond</ipxact:displayName> + <ipxact:value>dv_bond_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_empty_flag_type" type="string"> + <ipxact:name>hssi_10g_tx_pcs_empty_flag_type</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_empty_flag_type</ipxact:displayName> + <ipxact:value>empty_rd_side</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_enc_64b66b_txsm_bypass" type="string"> + <ipxact:name>hssi_10g_tx_pcs_enc_64b66b_txsm_bypass</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_enc_64b66b_txsm_bypass</ipxact:displayName> + <ipxact:value>enc_64b66b_txsm_bypass_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_enc64b66b_txsm_clken" type="string"> + <ipxact:name>hssi_10g_tx_pcs_enc64b66b_txsm_clken</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_enc64b66b_txsm_clken</ipxact:displayName> + <ipxact:value>enc64b66b_txsm_clk_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_fastpath" type="string"> + <ipxact:name>hssi_10g_tx_pcs_fastpath</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_fastpath</ipxact:displayName> + <ipxact:value>fastpath_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_fec_clken" type="string"> + <ipxact:name>hssi_10g_tx_pcs_fec_clken</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_fec_clken</ipxact:displayName> + <ipxact:value>fec_clk_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_fec_enable" type="string"> + <ipxact:name>hssi_10g_tx_pcs_fec_enable</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_fec_enable</ipxact:displayName> + <ipxact:value>fec_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_fifo_double_write" type="string"> + <ipxact:name>hssi_10g_tx_pcs_fifo_double_write</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_fifo_double_write</ipxact:displayName> + <ipxact:value>fifo_double_write_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_fifo_reg_fast" type="string"> + <ipxact:name>hssi_10g_tx_pcs_fifo_reg_fast</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_fifo_reg_fast</ipxact:displayName> + <ipxact:value>fifo_reg_fast_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_fifo_stop_rd" type="string"> + <ipxact:name>hssi_10g_tx_pcs_fifo_stop_rd</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_fifo_stop_rd</ipxact:displayName> + <ipxact:value>rd_empty</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_fifo_stop_wr" type="string"> + <ipxact:name>hssi_10g_tx_pcs_fifo_stop_wr</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_fifo_stop_wr</ipxact:displayName> + <ipxact:value>n_wr_full</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_frmgen_burst" type="string"> + <ipxact:name>hssi_10g_tx_pcs_frmgen_burst</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_frmgen_burst</ipxact:displayName> + <ipxact:value>frmgen_burst_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_frmgen_bypass" type="string"> + <ipxact:name>hssi_10g_tx_pcs_frmgen_bypass</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_frmgen_bypass</ipxact:displayName> + <ipxact:value>frmgen_bypass_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_frmgen_clken" type="string"> + <ipxact:name>hssi_10g_tx_pcs_frmgen_clken</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_frmgen_clken</ipxact:displayName> + <ipxact:value>frmgen_clk_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_frmgen_mfrm_length" type="int"> + <ipxact:name>hssi_10g_tx_pcs_frmgen_mfrm_length</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_frmgen_mfrm_length</ipxact:displayName> + <ipxact:value>2048</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_frmgen_pipeln" type="string"> + <ipxact:name>hssi_10g_tx_pcs_frmgen_pipeln</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_frmgen_pipeln</ipxact:displayName> + <ipxact:value>frmgen_pipeln_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_frmgen_pyld_ins" type="string"> + <ipxact:name>hssi_10g_tx_pcs_frmgen_pyld_ins</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_frmgen_pyld_ins</ipxact:displayName> + <ipxact:value>frmgen_pyld_ins_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_frmgen_wordslip" type="string"> + <ipxact:name>hssi_10g_tx_pcs_frmgen_wordslip</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_frmgen_wordslip</ipxact:displayName> + <ipxact:value>frmgen_wordslip_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_full_flag_type" type="string"> + <ipxact:name>hssi_10g_tx_pcs_full_flag_type</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_full_flag_type</ipxact:displayName> + <ipxact:value>full_wr_side</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_gb_pipeln_bypass" type="string"> + <ipxact:name>hssi_10g_tx_pcs_gb_pipeln_bypass</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_gb_pipeln_bypass</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_gb_tx_idwidth" type="string"> + <ipxact:name>hssi_10g_tx_pcs_gb_tx_idwidth</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_gb_tx_idwidth</ipxact:displayName> + <ipxact:value>width_66</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_gb_tx_odwidth" type="string"> + <ipxact:name>hssi_10g_tx_pcs_gb_tx_odwidth</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_gb_tx_odwidth</ipxact:displayName> + <ipxact:value>width_32</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_gbred_clken" type="string"> + <ipxact:name>hssi_10g_tx_pcs_gbred_clken</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_gbred_clken</ipxact:displayName> + <ipxact:value>gbred_clk_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_indv" type="string"> + <ipxact:name>hssi_10g_tx_pcs_indv</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_indv</ipxact:displayName> + <ipxact:value>indv_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_low_latency_en" type="string"> + <ipxact:name>hssi_10g_tx_pcs_low_latency_en</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_low_latency_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_master_clk_sel" type="string"> + <ipxact:name>hssi_10g_tx_pcs_master_clk_sel</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_master_clk_sel</ipxact:displayName> + <ipxact:value>master_tx_pma_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_pempty_flag_type" type="string"> + <ipxact:name>hssi_10g_tx_pcs_pempty_flag_type</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_pempty_flag_type</ipxact:displayName> + <ipxact:value>pempty_rd_side</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_pfull_flag_type" type="string"> + <ipxact:name>hssi_10g_tx_pcs_pfull_flag_type</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_pfull_flag_type</ipxact:displayName> + <ipxact:value>pfull_wr_side</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_phcomp_rd_del" type="string"> + <ipxact:name>hssi_10g_tx_pcs_phcomp_rd_del</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_phcomp_rd_del</ipxact:displayName> + <ipxact:value>phcomp_rd_del4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_pld_if_type" type="string"> + <ipxact:name>hssi_10g_tx_pcs_pld_if_type</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_pld_if_type</ipxact:displayName> + <ipxact:value>fifo</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_prot_mode" type="string"> + <ipxact:name>hssi_10g_tx_pcs_prot_mode</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_prot_mode</ipxact:displayName> + <ipxact:value>teng_baser_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_pseudo_random" type="string"> + <ipxact:name>hssi_10g_tx_pcs_pseudo_random</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_pseudo_random</ipxact:displayName> + <ipxact:value>all_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_pseudo_seed_a" type="string"> + <ipxact:name>hssi_10g_tx_pcs_pseudo_seed_a</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_pseudo_seed_a</ipxact:displayName> + <ipxact:value>288230376151711743</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_pseudo_seed_b" type="string"> + <ipxact:name>hssi_10g_tx_pcs_pseudo_seed_b</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_pseudo_seed_b</ipxact:displayName> + <ipxact:value>288230376151711743</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_random_disp" type="string"> + <ipxact:name>hssi_10g_tx_pcs_random_disp</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_random_disp</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_rdfifo_clken" type="string"> + <ipxact:name>hssi_10g_tx_pcs_rdfifo_clken</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_rdfifo_clken</ipxact:displayName> + <ipxact:value>rdfifo_clk_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_scrm_bypass" type="string"> + <ipxact:name>hssi_10g_tx_pcs_scrm_bypass</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_scrm_bypass</ipxact:displayName> + <ipxact:value>scrm_bypass_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_scrm_clken" type="string"> + <ipxact:name>hssi_10g_tx_pcs_scrm_clken</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_scrm_clken</ipxact:displayName> + <ipxact:value>scrm_clk_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_scrm_mode" type="string"> + <ipxact:name>hssi_10g_tx_pcs_scrm_mode</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_scrm_mode</ipxact:displayName> + <ipxact:value>async</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_scrm_pipeln" type="string"> + <ipxact:name>hssi_10g_tx_pcs_scrm_pipeln</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_scrm_pipeln</ipxact:displayName> + <ipxact:value>enable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_sh_err" type="string"> + <ipxact:name>hssi_10g_tx_pcs_sh_err</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_sh_err</ipxact:displayName> + <ipxact:value>sh_err_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_sop_mark" type="string"> + <ipxact:name>hssi_10g_tx_pcs_sop_mark</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_sop_mark</ipxact:displayName> + <ipxact:value>sop_mark_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_stretch_num_stages" type="string"> + <ipxact:name>hssi_10g_tx_pcs_stretch_num_stages</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_stretch_num_stages</ipxact:displayName> + <ipxact:value>two_stage</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_sup_mode" type="string"> + <ipxact:name>hssi_10g_tx_pcs_sup_mode</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_test_mode" type="string"> + <ipxact:name>hssi_10g_tx_pcs_test_mode</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_test_mode</ipxact:displayName> + <ipxact:value>test_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_tx_scrm_err" type="string"> + <ipxact:name>hssi_10g_tx_pcs_tx_scrm_err</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_tx_scrm_err</ipxact:displayName> + <ipxact:value>scrm_err_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_tx_scrm_width" type="string"> + <ipxact:name>hssi_10g_tx_pcs_tx_scrm_width</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_tx_scrm_width</ipxact:displayName> + <ipxact:value>bit64</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_tx_sh_location" type="string"> + <ipxact:name>hssi_10g_tx_pcs_tx_sh_location</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_tx_sh_location</ipxact:displayName> + <ipxact:value>lsb</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_tx_sm_bypass" type="string"> + <ipxact:name>hssi_10g_tx_pcs_tx_sm_bypass</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_tx_sm_bypass</ipxact:displayName> + <ipxact:value>tx_sm_bypass_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_tx_sm_pipeln" type="string"> + <ipxact:name>hssi_10g_tx_pcs_tx_sm_pipeln</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_tx_sm_pipeln</ipxact:displayName> + <ipxact:value>tx_sm_pipeln_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_tx_testbus_sel" type="string"> + <ipxact:name>hssi_10g_tx_pcs_tx_testbus_sel</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_tx_testbus_sel</ipxact:displayName> + <ipxact:value>tx_fifo_testbus1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_txfifo_empty" type="string"> + <ipxact:name>hssi_10g_tx_pcs_txfifo_empty</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_txfifo_empty</ipxact:displayName> + <ipxact:value>empty_default</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_txfifo_full" type="string"> + <ipxact:name>hssi_10g_tx_pcs_txfifo_full</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_txfifo_full</ipxact:displayName> + <ipxact:value>full_default</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_txfifo_mode" type="string"> + <ipxact:name>hssi_10g_tx_pcs_txfifo_mode</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_txfifo_mode</ipxact:displayName> + <ipxact:value>phase_comp</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_txfifo_pempty" type="int"> + <ipxact:name>hssi_10g_tx_pcs_txfifo_pempty</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_txfifo_pempty</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_txfifo_pfull" type="int"> + <ipxact:name>hssi_10g_tx_pcs_txfifo_pfull</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_txfifo_pfull</ipxact:displayName> + <ipxact:value>11</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_wr_clk_sel" type="string"> + <ipxact:name>hssi_10g_tx_pcs_wr_clk_sel</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_wr_clk_sel</ipxact:displayName> + <ipxact:value>wr_tx_pld_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_wrfifo_clken" type="string"> + <ipxact:name>hssi_10g_tx_pcs_wrfifo_clken</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_wrfifo_clken</ipxact:displayName> + <ipxact:value>wrfifo_clk_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_advanced_user_mode" type="string"> + <ipxact:name>hssi_10g_tx_pcs_advanced_user_mode</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_advanced_user_mode</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_silicon_rev" type="string"> + <ipxact:name>hssi_10g_tx_pcs_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_10g_tx_pcs_reconfig_settings" type="string"> + <ipxact:name>hssi_10g_tx_pcs_reconfig_settings</ipxact:name> + <ipxact:displayName>hssi_10g_tx_pcs_reconfig_settings</ipxact:displayName> + <ipxact:value>{}</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_auto_error_replacement" type="string"> + <ipxact:name>hssi_8g_rx_pcs_auto_error_replacement</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_auto_error_replacement</ipxact:displayName> + <ipxact:value>dis_err_replace</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_auto_speed_nego" type="string"> + <ipxact:name>hssi_8g_rx_pcs_auto_speed_nego</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_auto_speed_nego</ipxact:displayName> + <ipxact:value>dis_asn</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_bit_reversal" type="string"> + <ipxact:name>hssi_8g_rx_pcs_bit_reversal</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_bit_reversal</ipxact:displayName> + <ipxact:value>dis_bit_reversal</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_bonding_dft_en" type="string"> + <ipxact:name>hssi_8g_rx_pcs_bonding_dft_en</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_bonding_dft_en</ipxact:displayName> + <ipxact:value>dft_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_bonding_dft_val" type="string"> + <ipxact:name>hssi_8g_rx_pcs_bonding_dft_val</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_bonding_dft_val</ipxact:displayName> + <ipxact:value>dft_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_bypass_pipeline_reg" type="string"> + <ipxact:name>hssi_8g_rx_pcs_bypass_pipeline_reg</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_bypass_pipeline_reg</ipxact:displayName> + <ipxact:value>dis_bypass_pipeline</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_byte_deserializer" type="string"> + <ipxact:name>hssi_8g_rx_pcs_byte_deserializer</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_byte_deserializer</ipxact:displayName> + <ipxact:value>dis_bds</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_cdr_ctrl_rxvalid_mask" type="string"> + <ipxact:name>hssi_8g_rx_pcs_cdr_ctrl_rxvalid_mask</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_cdr_ctrl_rxvalid_mask</ipxact:displayName> + <ipxact:value>dis_rxvalid_mask</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_clkcmp_pattern_n" type="int"> + <ipxact:name>hssi_8g_rx_pcs_clkcmp_pattern_n</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_clkcmp_pattern_n</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_clkcmp_pattern_p" type="int"> + <ipxact:name>hssi_8g_rx_pcs_clkcmp_pattern_p</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_clkcmp_pattern_p</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_clock_gate_bds_dec_asn" type="string"> + <ipxact:name>hssi_8g_rx_pcs_clock_gate_bds_dec_asn</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_clock_gate_bds_dec_asn</ipxact:displayName> + <ipxact:value>en_bds_dec_asn_clk_gating</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_clock_gate_cdr_eidle" type="string"> + <ipxact:name>hssi_8g_rx_pcs_clock_gate_cdr_eidle</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_clock_gate_cdr_eidle</ipxact:displayName> + <ipxact:value>en_cdr_eidle_clk_gating</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_clock_gate_dw_pc_wrclk" type="string"> + <ipxact:name>hssi_8g_rx_pcs_clock_gate_dw_pc_wrclk</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_clock_gate_dw_pc_wrclk</ipxact:displayName> + <ipxact:value>en_dw_pc_wrclk_gating</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_clock_gate_dw_rm_rd" type="string"> + <ipxact:name>hssi_8g_rx_pcs_clock_gate_dw_rm_rd</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_clock_gate_dw_rm_rd</ipxact:displayName> + <ipxact:value>en_dw_rm_rdclk_gating</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_clock_gate_dw_rm_wr" type="string"> + <ipxact:name>hssi_8g_rx_pcs_clock_gate_dw_rm_wr</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_clock_gate_dw_rm_wr</ipxact:displayName> + <ipxact:value>en_dw_rm_wrclk_gating</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_clock_gate_dw_wa" type="string"> + <ipxact:name>hssi_8g_rx_pcs_clock_gate_dw_wa</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_clock_gate_dw_wa</ipxact:displayName> + <ipxact:value>en_dw_wa_clk_gating</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_clock_gate_pc_rdclk" type="string"> + <ipxact:name>hssi_8g_rx_pcs_clock_gate_pc_rdclk</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_clock_gate_pc_rdclk</ipxact:displayName> + <ipxact:value>en_pc_rdclk_gating</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_clock_gate_sw_pc_wrclk" type="string"> + <ipxact:name>hssi_8g_rx_pcs_clock_gate_sw_pc_wrclk</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_clock_gate_sw_pc_wrclk</ipxact:displayName> + <ipxact:value>en_sw_pc_wrclk_gating</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_clock_gate_sw_rm_rd" type="string"> + <ipxact:name>hssi_8g_rx_pcs_clock_gate_sw_rm_rd</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_clock_gate_sw_rm_rd</ipxact:displayName> + <ipxact:value>en_sw_rm_rdclk_gating</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_clock_gate_sw_rm_wr" type="string"> + <ipxact:name>hssi_8g_rx_pcs_clock_gate_sw_rm_wr</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_clock_gate_sw_rm_wr</ipxact:displayName> + <ipxact:value>en_sw_rm_wrclk_gating</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_clock_gate_sw_wa" type="string"> + <ipxact:name>hssi_8g_rx_pcs_clock_gate_sw_wa</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_clock_gate_sw_wa</ipxact:displayName> + <ipxact:value>en_sw_wa_clk_gating</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_clock_observation_in_pld_core" type="string"> + <ipxact:name>hssi_8g_rx_pcs_clock_observation_in_pld_core</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_clock_observation_in_pld_core</ipxact:displayName> + <ipxact:value>internal_sw_wa_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_ctrl_plane_bonding_compensation" type="string"> + <ipxact:name>hssi_8g_rx_pcs_ctrl_plane_bonding_compensation</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_ctrl_plane_bonding_compensation</ipxact:displayName> + <ipxact:value>dis_compensation</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_ctrl_plane_bonding_consumption" type="string"> + <ipxact:name>hssi_8g_rx_pcs_ctrl_plane_bonding_consumption</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_ctrl_plane_bonding_consumption</ipxact:displayName> + <ipxact:value>individual</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_ctrl_plane_bonding_distribution" type="string"> + <ipxact:name>hssi_8g_rx_pcs_ctrl_plane_bonding_distribution</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_ctrl_plane_bonding_distribution</ipxact:displayName> + <ipxact:value>not_master_chnl_distr</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_eidle_entry_eios" type="string"> + <ipxact:name>hssi_8g_rx_pcs_eidle_entry_eios</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_eidle_entry_eios</ipxact:displayName> + <ipxact:value>dis_eidle_eios</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_eidle_entry_iei" type="string"> + <ipxact:name>hssi_8g_rx_pcs_eidle_entry_iei</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_eidle_entry_iei</ipxact:displayName> + <ipxact:value>dis_eidle_iei</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_eidle_entry_sd" type="string"> + <ipxact:name>hssi_8g_rx_pcs_eidle_entry_sd</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_eidle_entry_sd</ipxact:displayName> + <ipxact:value>dis_eidle_sd</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_eightb_tenb_decoder" type="string"> + <ipxact:name>hssi_8g_rx_pcs_eightb_tenb_decoder</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_eightb_tenb_decoder</ipxact:displayName> + <ipxact:value>en_8b10b_ibm</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_err_flags_sel" type="string"> + <ipxact:name>hssi_8g_rx_pcs_err_flags_sel</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_err_flags_sel</ipxact:displayName> + <ipxact:value>err_flags_wa</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_fixed_pat_det" type="string"> + <ipxact:name>hssi_8g_rx_pcs_fixed_pat_det</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_fixed_pat_det</ipxact:displayName> + <ipxact:value>dis_fixed_patdet</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_fixed_pat_num" type="int"> + <ipxact:name>hssi_8g_rx_pcs_fixed_pat_num</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_fixed_pat_num</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_force_signal_detect" type="string"> + <ipxact:name>hssi_8g_rx_pcs_force_signal_detect</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_force_signal_detect</ipxact:displayName> + <ipxact:value>en_force_signal_detect</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_gen3_clk_en" type="string"> + <ipxact:name>hssi_8g_rx_pcs_gen3_clk_en</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_gen3_clk_en</ipxact:displayName> + <ipxact:value>disable_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_gen3_rx_clk_sel" type="string"> + <ipxact:name>hssi_8g_rx_pcs_gen3_rx_clk_sel</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_gen3_rx_clk_sel</ipxact:displayName> + <ipxact:value>rcvd_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_gen3_tx_clk_sel" type="string"> + <ipxact:name>hssi_8g_rx_pcs_gen3_tx_clk_sel</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_gen3_tx_clk_sel</ipxact:displayName> + <ipxact:value>tx_pma_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_hip_mode" type="string"> + <ipxact:name>hssi_8g_rx_pcs_hip_mode</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_hip_mode</ipxact:displayName> + <ipxact:value>dis_hip</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_ibm_invalid_code" type="string"> + <ipxact:name>hssi_8g_rx_pcs_ibm_invalid_code</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_ibm_invalid_code</ipxact:displayName> + <ipxact:value>dis_ibm_invalid_code</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_invalid_code_flag_only" type="string"> + <ipxact:name>hssi_8g_rx_pcs_invalid_code_flag_only</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_invalid_code_flag_only</ipxact:displayName> + <ipxact:value>dis_invalid_code_only</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_pad_or_edb_error_replace" type="string"> + <ipxact:name>hssi_8g_rx_pcs_pad_or_edb_error_replace</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_pad_or_edb_error_replace</ipxact:displayName> + <ipxact:value>replace_edb</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_pcs_bypass" type="string"> + <ipxact:name>hssi_8g_rx_pcs_pcs_bypass</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_pcs_bypass</ipxact:displayName> + <ipxact:value>dis_pcs_bypass</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_phase_comp_rdptr" type="string"> + <ipxact:name>hssi_8g_rx_pcs_phase_comp_rdptr</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_phase_comp_rdptr</ipxact:displayName> + <ipxact:value>disable_rdptr</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_phase_compensation_fifo" type="string"> + <ipxact:name>hssi_8g_rx_pcs_phase_compensation_fifo</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_phase_compensation_fifo</ipxact:displayName> + <ipxact:value>low_latency</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_pipe_if_enable" type="string"> + <ipxact:name>hssi_8g_rx_pcs_pipe_if_enable</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_pipe_if_enable</ipxact:displayName> + <ipxact:value>dis_pipe_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_pma_dw" type="string"> + <ipxact:name>hssi_8g_rx_pcs_pma_dw</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_pma_dw</ipxact:displayName> + <ipxact:value>ten_bit</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_polinv_8b10b_dec" type="string"> + <ipxact:name>hssi_8g_rx_pcs_polinv_8b10b_dec</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_polinv_8b10b_dec</ipxact:displayName> + <ipxact:value>dis_polinv_8b10b_dec</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_prot_mode" type="string"> + <ipxact:name>hssi_8g_rx_pcs_prot_mode</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_prot_mode</ipxact:displayName> + <ipxact:value>disabled_prot_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_rate_match" type="string"> + <ipxact:name>hssi_8g_rx_pcs_rate_match</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_rate_match</ipxact:displayName> + <ipxact:value>dis_rm</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_rate_match_del_thres" type="string"> + <ipxact:name>hssi_8g_rx_pcs_rate_match_del_thres</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_rate_match_del_thres</ipxact:displayName> + <ipxact:value>dis_rm_del_thres</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_rate_match_empty_thres" type="string"> + <ipxact:name>hssi_8g_rx_pcs_rate_match_empty_thres</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_rate_match_empty_thres</ipxact:displayName> + <ipxact:value>dis_rm_empty_thres</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_rate_match_full_thres" type="string"> + <ipxact:name>hssi_8g_rx_pcs_rate_match_full_thres</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_rate_match_full_thres</ipxact:displayName> + <ipxact:value>dis_rm_full_thres</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_rate_match_ins_thres" type="string"> + <ipxact:name>hssi_8g_rx_pcs_rate_match_ins_thres</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_rate_match_ins_thres</ipxact:displayName> + <ipxact:value>dis_rm_ins_thres</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_rate_match_start_thres" type="string"> + <ipxact:name>hssi_8g_rx_pcs_rate_match_start_thres</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_rate_match_start_thres</ipxact:displayName> + <ipxact:value>dis_rm_start_thres</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_rx_clk_free_running" type="string"> + <ipxact:name>hssi_8g_rx_pcs_rx_clk_free_running</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_rx_clk_free_running</ipxact:displayName> + <ipxact:value>en_rx_clk_free_run</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_rx_clk2" type="string"> + <ipxact:name>hssi_8g_rx_pcs_rx_clk2</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_rx_clk2</ipxact:displayName> + <ipxact:value>rcvd_clk_clk2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_rx_pcs_urst" type="string"> + <ipxact:name>hssi_8g_rx_pcs_rx_pcs_urst</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_rx_pcs_urst</ipxact:displayName> + <ipxact:value>en_rx_pcs_urst</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_rx_rcvd_clk" type="string"> + <ipxact:name>hssi_8g_rx_pcs_rx_rcvd_clk</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_rx_rcvd_clk</ipxact:displayName> + <ipxact:value>rcvd_clk_rcvd_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_rx_rd_clk" type="string"> + <ipxact:name>hssi_8g_rx_pcs_rx_rd_clk</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_rx_rd_clk</ipxact:displayName> + <ipxact:value>pld_rx_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_rx_refclk" type="string"> + <ipxact:name>hssi_8g_rx_pcs_rx_refclk</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_rx_refclk</ipxact:displayName> + <ipxact:value>dis_refclk_sel</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_rx_wr_clk" type="string"> + <ipxact:name>hssi_8g_rx_pcs_rx_wr_clk</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_rx_wr_clk</ipxact:displayName> + <ipxact:value>rx_clk2_div_1_2_4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_sup_mode" type="string"> + <ipxact:name>hssi_8g_rx_pcs_sup_mode</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_symbol_swap" type="string"> + <ipxact:name>hssi_8g_rx_pcs_symbol_swap</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_symbol_swap</ipxact:displayName> + <ipxact:value>dis_symbol_swap</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_sync_sm_idle_eios" type="string"> + <ipxact:name>hssi_8g_rx_pcs_sync_sm_idle_eios</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_sync_sm_idle_eios</ipxact:displayName> + <ipxact:value>dis_syncsm_idle</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_test_bus_sel" type="string"> + <ipxact:name>hssi_8g_rx_pcs_test_bus_sel</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_test_bus_sel</ipxact:displayName> + <ipxact:value>tx_testbus</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_tx_rx_parallel_loopback" type="string"> + <ipxact:name>hssi_8g_rx_pcs_tx_rx_parallel_loopback</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_tx_rx_parallel_loopback</ipxact:displayName> + <ipxact:value>dis_plpbk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_wa_boundary_lock_ctrl" type="string"> + <ipxact:name>hssi_8g_rx_pcs_wa_boundary_lock_ctrl</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_wa_boundary_lock_ctrl</ipxact:displayName> + <ipxact:value>sync_sm</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_wa_clk_slip_spacing" type="int"> + <ipxact:name>hssi_8g_rx_pcs_wa_clk_slip_spacing</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_wa_clk_slip_spacing</ipxact:displayName> + <ipxact:value>16</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_wa_det_latency_sync_status_beh" type="string"> + <ipxact:name>hssi_8g_rx_pcs_wa_det_latency_sync_status_beh</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_wa_det_latency_sync_status_beh</ipxact:displayName> + <ipxact:value>dont_care_assert_sync</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_wa_disp_err_flag" type="string"> + <ipxact:name>hssi_8g_rx_pcs_wa_disp_err_flag</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_wa_disp_err_flag</ipxact:displayName> + <ipxact:value>en_disp_err_flag</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_wa_kchar" type="string"> + <ipxact:name>hssi_8g_rx_pcs_wa_kchar</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_wa_kchar</ipxact:displayName> + <ipxact:value>dis_kchar</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_wa_pd" type="string"> + <ipxact:name>hssi_8g_rx_pcs_wa_pd</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_wa_pd</ipxact:displayName> + <ipxact:value>wa_pd_10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_wa_pd_data" type="string"> + <ipxact:name>hssi_8g_rx_pcs_wa_pd_data</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_wa_pd_data</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_wa_pd_polarity" type="string"> + <ipxact:name>hssi_8g_rx_pcs_wa_pd_polarity</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_wa_pd_polarity</ipxact:displayName> + <ipxact:value>dont_care_both_pol</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_wa_pld_controlled" type="string"> + <ipxact:name>hssi_8g_rx_pcs_wa_pld_controlled</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_wa_pld_controlled</ipxact:displayName> + <ipxact:value>dis_pld_ctrl</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_wa_renumber_data" type="int"> + <ipxact:name>hssi_8g_rx_pcs_wa_renumber_data</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_wa_renumber_data</ipxact:displayName> + <ipxact:value>3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_wa_rgnumber_data" type="int"> + <ipxact:name>hssi_8g_rx_pcs_wa_rgnumber_data</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_wa_rgnumber_data</ipxact:displayName> + <ipxact:value>3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_wa_rknumber_data" type="int"> + <ipxact:name>hssi_8g_rx_pcs_wa_rknumber_data</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_wa_rknumber_data</ipxact:displayName> + <ipxact:value>3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_wa_rosnumber_data" type="int"> + <ipxact:name>hssi_8g_rx_pcs_wa_rosnumber_data</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_wa_rosnumber_data</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_wa_rvnumber_data" type="int"> + <ipxact:name>hssi_8g_rx_pcs_wa_rvnumber_data</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_wa_rvnumber_data</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_wa_sync_sm_ctrl" type="string"> + <ipxact:name>hssi_8g_rx_pcs_wa_sync_sm_ctrl</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_wa_sync_sm_ctrl</ipxact:displayName> + <ipxact:value>gige_sync_sm</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_wait_cnt" type="int"> + <ipxact:name>hssi_8g_rx_pcs_wait_cnt</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_wait_cnt</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_silicon_rev" type="string"> + <ipxact:name>hssi_8g_rx_pcs_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_rx_pcs_reconfig_settings" type="string"> + <ipxact:name>hssi_8g_rx_pcs_reconfig_settings</ipxact:name> + <ipxact:displayName>hssi_8g_rx_pcs_reconfig_settings</ipxact:displayName> + <ipxact:value>{}</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_auto_speed_nego_gen2" type="string"> + <ipxact:name>hssi_8g_tx_pcs_auto_speed_nego_gen2</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_auto_speed_nego_gen2</ipxact:displayName> + <ipxact:value>dis_asn_g2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_bit_reversal" type="string"> + <ipxact:name>hssi_8g_tx_pcs_bit_reversal</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_bit_reversal</ipxact:displayName> + <ipxact:value>dis_bit_reversal</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_bonding_dft_en" type="string"> + <ipxact:name>hssi_8g_tx_pcs_bonding_dft_en</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_bonding_dft_en</ipxact:displayName> + <ipxact:value>dft_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_bonding_dft_val" type="string"> + <ipxact:name>hssi_8g_tx_pcs_bonding_dft_val</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_bonding_dft_val</ipxact:displayName> + <ipxact:value>dft_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_bypass_pipeline_reg" type="string"> + <ipxact:name>hssi_8g_tx_pcs_bypass_pipeline_reg</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_bypass_pipeline_reg</ipxact:displayName> + <ipxact:value>dis_bypass_pipeline</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_byte_serializer" type="string"> + <ipxact:name>hssi_8g_tx_pcs_byte_serializer</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_byte_serializer</ipxact:displayName> + <ipxact:value>dis_bs</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_clock_gate_bs_enc" type="string"> + <ipxact:name>hssi_8g_tx_pcs_clock_gate_bs_enc</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_clock_gate_bs_enc</ipxact:displayName> + <ipxact:value>en_bs_enc_clk_gating</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_clock_gate_dw_fifowr" type="string"> + <ipxact:name>hssi_8g_tx_pcs_clock_gate_dw_fifowr</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_clock_gate_dw_fifowr</ipxact:displayName> + <ipxact:value>en_dw_fifowr_clk_gating</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_clock_gate_fiford" type="string"> + <ipxact:name>hssi_8g_tx_pcs_clock_gate_fiford</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_clock_gate_fiford</ipxact:displayName> + <ipxact:value>en_fiford_clk_gating</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_clock_gate_sw_fifowr" type="string"> + <ipxact:name>hssi_8g_tx_pcs_clock_gate_sw_fifowr</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_clock_gate_sw_fifowr</ipxact:displayName> + <ipxact:value>en_sw_fifowr_clk_gating</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_clock_observation_in_pld_core" type="string"> + <ipxact:name>hssi_8g_tx_pcs_clock_observation_in_pld_core</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_clock_observation_in_pld_core</ipxact:displayName> + <ipxact:value>internal_refclk_b</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_ctrl_plane_bonding_compensation" type="string"> + <ipxact:name>hssi_8g_tx_pcs_ctrl_plane_bonding_compensation</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_ctrl_plane_bonding_compensation</ipxact:displayName> + <ipxact:value>dis_compensation</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_ctrl_plane_bonding_consumption" type="string"> + <ipxact:name>hssi_8g_tx_pcs_ctrl_plane_bonding_consumption</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_ctrl_plane_bonding_consumption</ipxact:displayName> + <ipxact:value>individual</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_ctrl_plane_bonding_distribution" type="string"> + <ipxact:name>hssi_8g_tx_pcs_ctrl_plane_bonding_distribution</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_ctrl_plane_bonding_distribution</ipxact:displayName> + <ipxact:value>not_master_chnl_distr</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_data_selection_8b10b_encoder_input" type="string"> + <ipxact:name>hssi_8g_tx_pcs_data_selection_8b10b_encoder_input</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_data_selection_8b10b_encoder_input</ipxact:displayName> + <ipxact:value>normal_data_path</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_dynamic_clk_switch" type="string"> + <ipxact:name>hssi_8g_tx_pcs_dynamic_clk_switch</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_dynamic_clk_switch</ipxact:displayName> + <ipxact:value>dis_dyn_clk_switch</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_eightb_tenb_disp_ctrl" type="string"> + <ipxact:name>hssi_8g_tx_pcs_eightb_tenb_disp_ctrl</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_eightb_tenb_disp_ctrl</ipxact:displayName> + <ipxact:value>dis_disp_ctrl</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_eightb_tenb_encoder" type="string"> + <ipxact:name>hssi_8g_tx_pcs_eightb_tenb_encoder</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_eightb_tenb_encoder</ipxact:displayName> + <ipxact:value>en_8b10b_ibm</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_force_echar" type="string"> + <ipxact:name>hssi_8g_tx_pcs_force_echar</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_force_echar</ipxact:displayName> + <ipxact:value>dis_force_echar</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_force_kchar" type="string"> + <ipxact:name>hssi_8g_tx_pcs_force_kchar</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_force_kchar</ipxact:displayName> + <ipxact:value>dis_force_kchar</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_gen3_tx_clk_sel" type="string"> + <ipxact:name>hssi_8g_tx_pcs_gen3_tx_clk_sel</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_gen3_tx_clk_sel</ipxact:displayName> + <ipxact:value>dis_tx_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_gen3_tx_pipe_clk_sel" type="string"> + <ipxact:name>hssi_8g_tx_pcs_gen3_tx_pipe_clk_sel</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_gen3_tx_pipe_clk_sel</ipxact:displayName> + <ipxact:value>dis_tx_pipe_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_hip_mode" type="string"> + <ipxact:name>hssi_8g_tx_pcs_hip_mode</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_hip_mode</ipxact:displayName> + <ipxact:value>dis_hip</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_pcs_bypass" type="string"> + <ipxact:name>hssi_8g_tx_pcs_pcs_bypass</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_pcs_bypass</ipxact:displayName> + <ipxact:value>dis_pcs_bypass</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_phase_comp_rdptr" type="string"> + <ipxact:name>hssi_8g_tx_pcs_phase_comp_rdptr</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_phase_comp_rdptr</ipxact:displayName> + <ipxact:value>disable_rdptr</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_phase_compensation_fifo" type="string"> + <ipxact:name>hssi_8g_tx_pcs_phase_compensation_fifo</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_phase_compensation_fifo</ipxact:displayName> + <ipxact:value>low_latency</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_phfifo_write_clk_sel" type="string"> + <ipxact:name>hssi_8g_tx_pcs_phfifo_write_clk_sel</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_phfifo_write_clk_sel</ipxact:displayName> + <ipxact:value>pld_tx_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_pma_dw" type="string"> + <ipxact:name>hssi_8g_tx_pcs_pma_dw</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_pma_dw</ipxact:displayName> + <ipxact:value>ten_bit</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_prot_mode" type="string"> + <ipxact:name>hssi_8g_tx_pcs_prot_mode</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_prot_mode</ipxact:displayName> + <ipxact:value>disabled_prot_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_refclk_b_clk_sel" type="string"> + <ipxact:name>hssi_8g_tx_pcs_refclk_b_clk_sel</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_refclk_b_clk_sel</ipxact:displayName> + <ipxact:value>tx_pma_clock</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_revloop_back_rm" type="string"> + <ipxact:name>hssi_8g_tx_pcs_revloop_back_rm</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_revloop_back_rm</ipxact:displayName> + <ipxact:value>dis_rev_loopback_rx_rm</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_sup_mode" type="string"> + <ipxact:name>hssi_8g_tx_pcs_sup_mode</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_symbol_swap" type="string"> + <ipxact:name>hssi_8g_tx_pcs_symbol_swap</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_symbol_swap</ipxact:displayName> + <ipxact:value>dis_symbol_swap</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_tx_bitslip" type="string"> + <ipxact:name>hssi_8g_tx_pcs_tx_bitslip</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_tx_bitslip</ipxact:displayName> + <ipxact:value>dis_tx_bitslip</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_tx_compliance_controlled_disparity" type="string"> + <ipxact:name>hssi_8g_tx_pcs_tx_compliance_controlled_disparity</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_tx_compliance_controlled_disparity</ipxact:displayName> + <ipxact:value>dis_txcompliance</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_tx_fast_pld_reg" type="string"> + <ipxact:name>hssi_8g_tx_pcs_tx_fast_pld_reg</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_tx_fast_pld_reg</ipxact:displayName> + <ipxact:value>dis_tx_fast_pld_reg</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_txclk_freerun" type="string"> + <ipxact:name>hssi_8g_tx_pcs_txclk_freerun</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_txclk_freerun</ipxact:displayName> + <ipxact:value>en_freerun_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_txpcs_urst" type="string"> + <ipxact:name>hssi_8g_tx_pcs_txpcs_urst</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_txpcs_urst</ipxact:displayName> + <ipxact:value>en_txpcs_urst</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_silicon_rev" type="string"> + <ipxact:name>hssi_8g_tx_pcs_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_8g_tx_pcs_reconfig_settings" type="string"> + <ipxact:name>hssi_8g_tx_pcs_reconfig_settings</ipxact:name> + <ipxact:displayName>hssi_8g_tx_pcs_reconfig_settings</ipxact:displayName> + <ipxact:value>{}</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_hip_en" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_hip_en</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_hip_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_hrdrstctl_en" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_hrdrstctl_en</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_hrdrstctl_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_prot_mode_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_prot_mode_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_prot_mode_tx</ipxact:displayName> + <ipxact:value>teng_baser_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_ctrl_plane_bonding_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_ctrl_plane_bonding_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_ctrl_plane_bonding_tx</ipxact:displayName> + <ipxact:value>individual_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_pma_dw_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_pma_dw_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_pma_dw_tx</ipxact:displayName> + <ipxact:value>pma_32b_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_pld_fifo_mode_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_pld_fifo_mode_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_pld_fifo_mode_tx</ipxact:displayName> + <ipxact:value>fifo_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_shared_fifo_width_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_shared_fifo_width_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_shared_fifo_width_tx</ipxact:displayName> + <ipxact:value>single_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_low_latency_en_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_low_latency_en_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_low_latency_en_tx</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_func_mode" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_func_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_func_mode</ipxact:displayName> + <ipxact:value>enable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_sup_mode" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_sup_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_channel_operation_mode" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_channel_operation_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_channel_operation_mode</ipxact:displayName> + <ipxact:value>tx_rx_pair_enabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_lpbk_en" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_lpbk_en</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_lpbk_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_frequency_rules_en" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_frequency_rules_en</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_frequency_rules_en</ipxact:displayName> + <ipxact:value>enable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_speed_grade" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_speed_grade</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_speed_grade</ipxact:displayName> + <ipxact:value>e3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_pma_tx_clk_hz" type="int"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_pma_tx_clk_hz</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_pma_tx_clk_hz</ipxact:displayName> + <ipxact:value>322265625</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_pld_tx_clk_hz" type="int"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_pld_tx_clk_hz</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_pld_tx_clk_hz</ipxact:displayName> + <ipxact:value>156250000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_pld_uhsif_tx_clk_hz" type="int"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_pld_uhsif_tx_clk_hz</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_pld_uhsif_tx_clk_hz</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_hclk_clk_hz" type="int"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_hclk_clk_hz</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_hclk_clk_hz</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_pld_pcs_refclk_dig_nonatpg_mode_clk_hz" type="int"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_pld_pcs_refclk_dig_nonatpg_mode_clk_hz</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_pld_pcs_refclk_dig_nonatpg_mode_clk_hz</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_pld_8g_refclk_dig_nonatpg_mode_clk_hz" type="int"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_pld_8g_refclk_dig_nonatpg_mode_clk_hz</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_pld_8g_refclk_dig_nonatpg_mode_clk_hz</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_pcs_tx_ac_pwr_uw_per_mhz" type="int"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_pcs_tx_ac_pwr_uw_per_mhz</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_pcs_tx_ac_pwr_uw_per_mhz</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_chnl_pcs_tx_pwr_scaling_clk" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_chnl_pcs_tx_pwr_scaling_clk</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_chnl_pcs_tx_pwr_scaling_clk</ipxact:displayName> + <ipxact:value>pma_tx_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_fifo_sup_mode" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_fifo_sup_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_fifo_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_fifo_channel_operation_mode" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_fifo_channel_operation_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_fifo_channel_operation_mode</ipxact:displayName> + <ipxact:value>tx_rx_pair_enabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_fifo_prot_mode_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_fifo_prot_mode_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_fifo_prot_mode_tx</ipxact:displayName> + <ipxact:value>teng_mode_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_fifo_shared_fifo_width_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_fifo_shared_fifo_width_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_fifo_shared_fifo_width_tx</ipxact:displayName> + <ipxact:value>single_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_10g_sup_mode" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_10g_sup_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_10g_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_10g_channel_operation_mode" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_10g_channel_operation_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_10g_channel_operation_mode</ipxact:displayName> + <ipxact:value>tx_rx_pair_enabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_10g_lpbk_en" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_10g_lpbk_en</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_10g_lpbk_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_10g_advanced_user_mode_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_10g_advanced_user_mode_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_10g_advanced_user_mode_tx</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_10g_pma_dw_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_10g_pma_dw_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_10g_pma_dw_tx</ipxact:displayName> + <ipxact:value>pma_32b_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_10g_fifo_mode_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_10g_fifo_mode_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_10g_fifo_mode_tx</ipxact:displayName> + <ipxact:value>fifo_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_10g_prot_mode_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_10g_prot_mode_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_10g_prot_mode_tx</ipxact:displayName> + <ipxact:value>teng_baser_mode_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_10g_ctrl_plane_bonding_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_10g_ctrl_plane_bonding_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_10g_ctrl_plane_bonding_tx</ipxact:displayName> + <ipxact:value>individual_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_10g_low_latency_en_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_10g_low_latency_en_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_10g_low_latency_en_tx</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_10g_shared_fifo_width_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_10g_shared_fifo_width_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_10g_shared_fifo_width_tx</ipxact:displayName> + <ipxact:value>single_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_8g_sup_mode" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_8g_sup_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_8g_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_8g_channel_operation_mode" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_8g_channel_operation_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_8g_channel_operation_mode</ipxact:displayName> + <ipxact:value>tx_rx_pair_enabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_8g_lpbk_en" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_8g_lpbk_en</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_8g_lpbk_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_8g_prot_mode_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_8g_prot_mode_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_8g_prot_mode_tx</ipxact:displayName> + <ipxact:value>disabled_prot_mode_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_8g_hip_mode" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_8g_hip_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_8g_hip_mode</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_8g_ctrl_plane_bonding_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_8g_ctrl_plane_bonding_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_8g_ctrl_plane_bonding_tx</ipxact:displayName> + <ipxact:value>ctrl_master_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_8g_pma_dw_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_8g_pma_dw_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_8g_pma_dw_tx</ipxact:displayName> + <ipxact:value>pma_10b_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_8g_fifo_mode_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_8g_fifo_mode_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_8g_fifo_mode_tx</ipxact:displayName> + <ipxact:value>fifo_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_g3_sup_mode" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_g3_sup_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_g3_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_g3_prot_mode" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_g3_prot_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_g3_prot_mode</ipxact:displayName> + <ipxact:value>disabled_prot_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_krfec_sup_mode" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_krfec_sup_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_krfec_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_krfec_channel_operation_mode" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_krfec_channel_operation_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_krfec_channel_operation_mode</ipxact:displayName> + <ipxact:value>tx_rx_pair_enabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_krfec_lpbk_en" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_krfec_lpbk_en</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_krfec_lpbk_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_krfec_prot_mode_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_krfec_prot_mode_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_krfec_prot_mode_tx</ipxact:displayName> + <ipxact:value>disabled_prot_mode_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_krfec_low_latency_en_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_krfec_low_latency_en_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_krfec_low_latency_en_tx</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_pmaif_sup_mode" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_pmaif_sup_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_pmaif_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_pmaif_lpbk_en" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_pmaif_lpbk_en</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_pmaif_lpbk_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_pmaif_channel_operation_mode" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_pmaif_channel_operation_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_pmaif_channel_operation_mode</ipxact:displayName> + <ipxact:value>tx_rx_pair_enabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_pmaif_sim_mode" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_pmaif_sim_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_pmaif_sim_mode</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_pmaif_prot_mode_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_pmaif_prot_mode_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_pmaif_prot_mode_tx</ipxact:displayName> + <ipxact:value>teng_krfec_mode_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_pmaif_ctrl_plane_bonding" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_pmaif_ctrl_plane_bonding</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_pmaif_ctrl_plane_bonding</ipxact:displayName> + <ipxact:value>individual</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_pmaif_pma_dw_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_pmaif_pma_dw_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_pmaif_pma_dw_tx</ipxact:displayName> + <ipxact:value>pma_32b_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_pldif_prot_mode_tx" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_pldif_prot_mode_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_pldif_prot_mode_tx</ipxact:displayName> + <ipxact:value>teng_pld_fifo_mode_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_pldif_hrdrstctl_en" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_pldif_hrdrstctl_en</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_pldif_hrdrstctl_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_hd_pldif_sup_mode" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_hd_pldif_sup_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_hd_pldif_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_pcs_tx_clk_source" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_pcs_tx_clk_source</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_pcs_tx_clk_source</ipxact:displayName> + <ipxact:value>teng</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_pcs_tx_data_source" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_pcs_tx_data_source</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_pcs_tx_data_source</ipxact:displayName> + <ipxact:value>hip_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_pcs_tx_delay1_clk_en" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_pcs_tx_delay1_clk_en</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_pcs_tx_delay1_clk_en</ipxact:displayName> + <ipxact:value>delay1_clk_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_pcs_tx_delay1_clk_sel" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_pcs_tx_delay1_clk_sel</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_pcs_tx_delay1_clk_sel</ipxact:displayName> + <ipxact:value>pcs_tx_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_pcs_tx_delay1_ctrl" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_pcs_tx_delay1_ctrl</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_pcs_tx_delay1_ctrl</ipxact:displayName> + <ipxact:value>delay1_path0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_pcs_tx_delay1_data_sel" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_pcs_tx_delay1_data_sel</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_pcs_tx_delay1_data_sel</ipxact:displayName> + <ipxact:value>one_ff_delay</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_pcs_tx_delay2_clk_en" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_pcs_tx_delay2_clk_en</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_pcs_tx_delay2_clk_en</ipxact:displayName> + <ipxact:value>delay2_clk_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_pcs_tx_delay2_ctrl" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_pcs_tx_delay2_ctrl</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_pcs_tx_delay2_ctrl</ipxact:displayName> + <ipxact:value>delay2_path0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_pcs_tx_output_sel" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_pcs_tx_output_sel</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_pcs_tx_output_sel</ipxact:displayName> + <ipxact:value>teng_output</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_silicon_rev" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_pcs_tx_clk_out_sel" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_pcs_tx_clk_out_sel</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_pcs_tx_clk_out_sel</ipxact:displayName> + <ipxact:value>teng_clk_out</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pld_pcs_interface_reconfig_settings" type="string"> + <ipxact:name>hssi_tx_pld_pcs_interface_reconfig_settings</ipxact:name> + <ipxact:displayName>hssi_tx_pld_pcs_interface_reconfig_settings</ipxact:displayName> + <ipxact:value>{}</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_hip_en" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_hip_en</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_hip_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_transparent_pcs_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_transparent_pcs_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_transparent_pcs_rx</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_hrdrstctl_en" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_hrdrstctl_en</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_hrdrstctl_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_prot_mode_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_prot_mode_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_prot_mode_rx</ipxact:displayName> + <ipxact:value>teng_baser_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_ctrl_plane_bonding_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_ctrl_plane_bonding_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_ctrl_plane_bonding_rx</ipxact:displayName> + <ipxact:value>individual_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_pma_dw_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_pma_dw_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_pma_dw_rx</ipxact:displayName> + <ipxact:value>pma_32b_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_pld_fifo_mode_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_pld_fifo_mode_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_pld_fifo_mode_rx</ipxact:displayName> + <ipxact:value>fifo_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_shared_fifo_width_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_shared_fifo_width_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_shared_fifo_width_rx</ipxact:displayName> + <ipxact:value>single_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_low_latency_en_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_low_latency_en_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_low_latency_en_rx</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_func_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_func_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_func_mode</ipxact:displayName> + <ipxact:value>enable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_sup_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_sup_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_channel_operation_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_channel_operation_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_channel_operation_mode</ipxact:displayName> + <ipxact:value>tx_rx_pair_enabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_lpbk_en" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_lpbk_en</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_lpbk_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_10g_advanced_user_mode_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_10g_advanced_user_mode_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_10g_advanced_user_mode_rx</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_frequency_rules_en" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_frequency_rules_en</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_frequency_rules_en</ipxact:displayName> + <ipxact:value>enable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_speed_grade" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_speed_grade</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_speed_grade</ipxact:displayName> + <ipxact:value>e3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_pma_rx_clk_hz" type="int"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_pma_rx_clk_hz</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_pma_rx_clk_hz</ipxact:displayName> + <ipxact:value>322265625</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_pld_rx_clk_hz" type="int"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_pld_rx_clk_hz</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_pld_rx_clk_hz</ipxact:displayName> + <ipxact:value>156250000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_fref_clk_hz" type="int"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_fref_clk_hz</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_fref_clk_hz</ipxact:displayName> + <ipxact:value>322265625</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_clklow_clk_hz" type="int"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_clklow_clk_hz</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_clklow_clk_hz</ipxact:displayName> + <ipxact:value>322265625</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_hclk_clk_hz" type="int"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_hclk_clk_hz</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_hclk_clk_hz</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_pld_pcs_refclk_dig_nonatpg_mode_clk_hz" type="int"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_pld_pcs_refclk_dig_nonatpg_mode_clk_hz</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_pld_pcs_refclk_dig_nonatpg_mode_clk_hz</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_pld_8g_refclk_dig_nonatpg_mode_clk_hz" type="int"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_pld_8g_refclk_dig_nonatpg_mode_clk_hz</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_pld_8g_refclk_dig_nonatpg_mode_clk_hz</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_pcs_rx_ac_pwr_uw_per_mhz" type="int"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_pcs_rx_ac_pwr_uw_per_mhz</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_pcs_rx_ac_pwr_uw_per_mhz</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_operating_voltage" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_operating_voltage</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_operating_voltage</ipxact:displayName> + <ipxact:value>standard</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_pcs_ac_pwr_rules_en" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_pcs_ac_pwr_rules_en</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_pcs_ac_pwr_rules_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_pcs_rx_pwr_scaling_clk" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_pcs_rx_pwr_scaling_clk</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_pcs_rx_pwr_scaling_clk</ipxact:displayName> + <ipxact:value>pma_rx_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_chnl_pcs_pair_ac_pwr_uw_per_mhz" type="int"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_chnl_pcs_pair_ac_pwr_uw_per_mhz</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_chnl_pcs_pair_ac_pwr_uw_per_mhz</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_fifo_sup_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_fifo_sup_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_fifo_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_fifo_channel_operation_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_fifo_channel_operation_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_fifo_channel_operation_mode</ipxact:displayName> + <ipxact:value>tx_rx_pair_enabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_fifo_prot_mode_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_fifo_prot_mode_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_fifo_prot_mode_rx</ipxact:displayName> + <ipxact:value>teng_mode_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_fifo_shared_fifo_width_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_fifo_shared_fifo_width_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_fifo_shared_fifo_width_rx</ipxact:displayName> + <ipxact:value>single_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_10g_sup_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_10g_sup_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_10g_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_10g_channel_operation_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_10g_channel_operation_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_10g_channel_operation_mode</ipxact:displayName> + <ipxact:value>tx_rx_pair_enabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_10g_lpbk_en" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_10g_lpbk_en</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_10g_lpbk_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_10g_pma_dw_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_10g_pma_dw_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_10g_pma_dw_rx</ipxact:displayName> + <ipxact:value>pma_32b_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_10g_fifo_mode_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_10g_fifo_mode_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_10g_fifo_mode_rx</ipxact:displayName> + <ipxact:value>fifo_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_10g_prot_mode_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_10g_prot_mode_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_10g_prot_mode_rx</ipxact:displayName> + <ipxact:value>teng_baser_mode_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_10g_ctrl_plane_bonding_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_10g_ctrl_plane_bonding_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_10g_ctrl_plane_bonding_rx</ipxact:displayName> + <ipxact:value>individual_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_10g_low_latency_en_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_10g_low_latency_en_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_10g_low_latency_en_rx</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_10g_shared_fifo_width_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_10g_shared_fifo_width_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_10g_shared_fifo_width_rx</ipxact:displayName> + <ipxact:value>single_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_10g_test_bus_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_10g_test_bus_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_10g_test_bus_mode</ipxact:displayName> + <ipxact:value>rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_8g_sup_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_8g_sup_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_8g_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_8g_channel_operation_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_8g_channel_operation_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_8g_channel_operation_mode</ipxact:displayName> + <ipxact:value>tx_rx_pair_enabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_8g_lpbk_en" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_8g_lpbk_en</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_8g_lpbk_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_8g_prot_mode_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_8g_prot_mode_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_8g_prot_mode_rx</ipxact:displayName> + <ipxact:value>disabled_prot_mode_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_8g_hip_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_8g_hip_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_8g_hip_mode</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_8g_ctrl_plane_bonding_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_8g_ctrl_plane_bonding_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_8g_ctrl_plane_bonding_rx</ipxact:displayName> + <ipxact:value>individual_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_8g_pma_dw_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_8g_pma_dw_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_8g_pma_dw_rx</ipxact:displayName> + <ipxact:value>pma_10b_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_8g_fifo_mode_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_8g_fifo_mode_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_8g_fifo_mode_rx</ipxact:displayName> + <ipxact:value>fifo_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_g3_sup_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_g3_sup_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_g3_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_g3_prot_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_g3_prot_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_g3_prot_mode</ipxact:displayName> + <ipxact:value>disabled_prot_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_krfec_sup_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_krfec_sup_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_krfec_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_krfec_channel_operation_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_krfec_channel_operation_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_krfec_channel_operation_mode</ipxact:displayName> + <ipxact:value>tx_rx_pair_enabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_krfec_lpbk_en" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_krfec_lpbk_en</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_krfec_lpbk_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_krfec_prot_mode_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_krfec_prot_mode_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_krfec_prot_mode_rx</ipxact:displayName> + <ipxact:value>disabled_prot_mode_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_krfec_low_latency_en_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_krfec_low_latency_en_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_krfec_low_latency_en_rx</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_krfec_test_bus_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_krfec_test_bus_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_krfec_test_bus_mode</ipxact:displayName> + <ipxact:value>tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_pmaif_sup_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_pmaif_sup_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_pmaif_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_pmaif_lpbk_en" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_pmaif_lpbk_en</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_pmaif_lpbk_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_pmaif_channel_operation_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_pmaif_channel_operation_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_pmaif_channel_operation_mode</ipxact:displayName> + <ipxact:value>tx_rx_pair_enabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_pmaif_sim_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_pmaif_sim_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_pmaif_sim_mode</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_pmaif_prot_mode_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_pmaif_prot_mode_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_pmaif_prot_mode_rx</ipxact:displayName> + <ipxact:value>teng_krfec_mode_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_pmaif_pma_dw_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_pmaif_pma_dw_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_pmaif_pma_dw_rx</ipxact:displayName> + <ipxact:value>pma_32b_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_pldif_prot_mode_rx" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_pldif_prot_mode_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_pldif_prot_mode_rx</ipxact:displayName> + <ipxact:value>teng_pld_fifo_mode_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_pldif_hrdrstctl_en" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_pldif_hrdrstctl_en</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_pldif_hrdrstctl_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_hd_pldif_sup_mode" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_hd_pldif_sup_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_hd_pldif_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_pcs_rx_block_sel" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_pcs_rx_block_sel</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_pcs_rx_block_sel</ipxact:displayName> + <ipxact:value>teng</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_pcs_rx_clk_sel" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_pcs_rx_clk_sel</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_pcs_rx_clk_sel</ipxact:displayName> + <ipxact:value>pld_rx_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_pcs_rx_hip_clk_en" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_pcs_rx_hip_clk_en</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_pcs_rx_hip_clk_en</ipxact:displayName> + <ipxact:value>hip_rx_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_pcs_rx_output_sel" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_pcs_rx_output_sel</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_pcs_rx_output_sel</ipxact:displayName> + <ipxact:value>teng_output</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_silicon_rev" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_pcs_rx_clk_out_sel" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_pcs_rx_clk_out_sel</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_pcs_rx_clk_out_sel</ipxact:displayName> + <ipxact:value>teng_clk_out</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pld_pcs_interface_reconfig_settings" type="string"> + <ipxact:name>hssi_rx_pld_pcs_interface_reconfig_settings</ipxact:name> + <ipxact:displayName>hssi_rx_pld_pcs_interface_reconfig_settings</ipxact:displayName> + <ipxact:value>{}</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pld_pcs_interface_dft_clk_out_en" type="string"> + <ipxact:name>hssi_common_pld_pcs_interface_dft_clk_out_en</ipxact:name> + <ipxact:displayName>hssi_common_pld_pcs_interface_dft_clk_out_en</ipxact:displayName> + <ipxact:value>dft_clk_out_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pld_pcs_interface_dft_clk_out_sel" type="string"> + <ipxact:name>hssi_common_pld_pcs_interface_dft_clk_out_sel</ipxact:name> + <ipxact:displayName>hssi_common_pld_pcs_interface_dft_clk_out_sel</ipxact:displayName> + <ipxact:value>teng_rx_dft_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pld_pcs_interface_hrdrstctrl_en" type="string"> + <ipxact:name>hssi_common_pld_pcs_interface_hrdrstctrl_en</ipxact:name> + <ipxact:displayName>hssi_common_pld_pcs_interface_hrdrstctrl_en</ipxact:displayName> + <ipxact:value>hrst_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pld_pcs_interface_pcs_testbus_block_sel" type="string"> + <ipxact:name>hssi_common_pld_pcs_interface_pcs_testbus_block_sel</ipxact:name> + <ipxact:displayName>hssi_common_pld_pcs_interface_pcs_testbus_block_sel</ipxact:displayName> + <ipxact:value>pma_if</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pld_pcs_interface_silicon_rev" type="string"> + <ipxact:name>hssi_common_pld_pcs_interface_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_common_pld_pcs_interface_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pld_pcs_interface_reconfig_settings" type="string"> + <ipxact:name>hssi_common_pld_pcs_interface_reconfig_settings</ipxact:name> + <ipxact:displayName>hssi_common_pld_pcs_interface_reconfig_settings</ipxact:displayName> + <ipxact:value>{}</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_block_sel" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_block_sel</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_block_sel</ipxact:displayName> + <ipxact:value>ten_g_pcs</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_channel_operation_mode" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_channel_operation_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_channel_operation_mode</ipxact:displayName> + <ipxact:value>tx_rx_pair_enabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_clkslip_sel" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_clkslip_sel</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_clkslip_sel</ipxact:displayName> + <ipxact:value>pld</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_lpbk_en" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_lpbk_en</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_lpbk_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_master_clk_sel" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_master_clk_sel</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_master_clk_sel</ipxact:displayName> + <ipxact:value>master_rx_pma_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_pldif_datawidth_mode" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_pldif_datawidth_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_pldif_datawidth_mode</ipxact:displayName> + <ipxact:value>pldif_data_10bit</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_pma_dw_rx" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_pma_dw_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_pma_dw_rx</ipxact:displayName> + <ipxact:value>pma_32b_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_pma_if_dft_en" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_pma_if_dft_en</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_pma_if_dft_en</ipxact:displayName> + <ipxact:value>dft_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_pma_if_dft_val" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_pma_if_dft_val</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_pma_if_dft_val</ipxact:displayName> + <ipxact:value>dft_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_prbs_clken" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_prbs_clken</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_prbs_clken</ipxact:displayName> + <ipxact:value>prbs_clk_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_prbs_ver" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_prbs_ver</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_prbs_ver</ipxact:displayName> + <ipxact:value>prbs_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_prbs9_dwidth" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_prbs9_dwidth</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_prbs9_dwidth</ipxact:displayName> + <ipxact:value>prbs9_64b</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_prot_mode_rx" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_prot_mode_rx</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_prot_mode_rx</ipxact:displayName> + <ipxact:value>teng_krfec_mode_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_rx_dyn_polarity_inversion" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_rx_dyn_polarity_inversion</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_rx_dyn_polarity_inversion</ipxact:displayName> + <ipxact:value>rx_dyn_polinv_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_rx_lpbk_en" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_rx_lpbk_en</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_rx_lpbk_en</ipxact:displayName> + <ipxact:value>lpbk_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_rx_prbs_force_signal_ok" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_rx_prbs_force_signal_ok</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_rx_prbs_force_signal_ok</ipxact:displayName> + <ipxact:value>force_sig_ok</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_rx_prbs_mask" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_rx_prbs_mask</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_rx_prbs_mask</ipxact:displayName> + <ipxact:value>prbsmask128</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_rx_prbs_mode" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_rx_prbs_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_rx_prbs_mode</ipxact:displayName> + <ipxact:value>teng_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_rx_signalok_signaldet_sel" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_rx_signalok_signaldet_sel</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_rx_signalok_signaldet_sel</ipxact:displayName> + <ipxact:value>sel_sig_det</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_rx_static_polarity_inversion" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_rx_static_polarity_inversion</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_rx_static_polarity_inversion</ipxact:displayName> + <ipxact:value>rx_stat_polinv_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_rx_uhsif_lpbk_en" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_rx_uhsif_lpbk_en</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_rx_uhsif_lpbk_en</ipxact:displayName> + <ipxact:value>uhsif_lpbk_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_sup_mode" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_sup_mode</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_silicon_rev" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_rx_pcs_pma_interface_reconfig_settings" type="string"> + <ipxact:name>hssi_rx_pcs_pma_interface_reconfig_settings</ipxact:name> + <ipxact:displayName>hssi_rx_pcs_pma_interface_reconfig_settings</ipxact:displayName> + <ipxact:value>{}</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_bypass_pma_txelecidle" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_bypass_pma_txelecidle</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_bypass_pma_txelecidle</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_channel_operation_mode" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_channel_operation_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_channel_operation_mode</ipxact:displayName> + <ipxact:value>tx_rx_pair_enabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_lpbk_en" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_lpbk_en</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_lpbk_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_master_clk_sel" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_master_clk_sel</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_master_clk_sel</ipxact:displayName> + <ipxact:value>master_tx_pma_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_pcie_sub_prot_mode_tx" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_pcie_sub_prot_mode_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_pcie_sub_prot_mode_tx</ipxact:displayName> + <ipxact:value>other_prot_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_pldif_datawidth_mode" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_pldif_datawidth_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_pldif_datawidth_mode</ipxact:displayName> + <ipxact:value>pldif_data_10bit</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_pma_dw_tx" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_pma_dw_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_pma_dw_tx</ipxact:displayName> + <ipxact:value>pma_32b_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_pma_if_dft_en" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_pma_if_dft_en</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_pma_if_dft_en</ipxact:displayName> + <ipxact:value>dft_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_pmagate_en" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_pmagate_en</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_pmagate_en</ipxact:displayName> + <ipxact:value>pmagate_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_prbs_clken" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_prbs_clken</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_prbs_clken</ipxact:displayName> + <ipxact:value>prbs_clk_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_prbs_gen_pat" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_prbs_gen_pat</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_prbs_gen_pat</ipxact:displayName> + <ipxact:value>prbs_gen_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_prbs9_dwidth" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_prbs9_dwidth</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_prbs9_dwidth</ipxact:displayName> + <ipxact:value>prbs9_64b</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_prot_mode_tx" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_prot_mode_tx</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_prot_mode_tx</ipxact:displayName> + <ipxact:value>teng_krfec_mode_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_sq_wave_num" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_sq_wave_num</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_sq_wave_num</ipxact:displayName> + <ipxact:value>sq_wave_default</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_sqwgen_clken" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_sqwgen_clken</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_sqwgen_clken</ipxact:displayName> + <ipxact:value>sqwgen_clk_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_sup_mode" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_sup_mode</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_tx_dyn_polarity_inversion" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_tx_dyn_polarity_inversion</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_tx_dyn_polarity_inversion</ipxact:displayName> + <ipxact:value>tx_dyn_polinv_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_tx_pma_data_sel" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_tx_pma_data_sel</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_tx_pma_data_sel</ipxact:displayName> + <ipxact:value>ten_g_pcs</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_tx_static_polarity_inversion" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_tx_static_polarity_inversion</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_tx_static_polarity_inversion</ipxact:displayName> + <ipxact:value>tx_stat_polinv_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_cnt_step_filt_before_lock" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_cnt_step_filt_before_lock</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_cnt_step_filt_before_lock</ipxact:displayName> + <ipxact:value>uhsif_filt_stepsz_b4lock_2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_cnt_thresh_filt_after_lock_value" type="int"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_cnt_thresh_filt_after_lock_value</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_cnt_thresh_filt_after_lock_value</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_cnt_thresh_filt_before_lock" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_cnt_thresh_filt_before_lock</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_cnt_thresh_filt_before_lock</ipxact:displayName> + <ipxact:value>uhsif_filt_cntthr_b4lock_8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_dcn_test_update_period" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_dcn_test_update_period</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_dcn_test_update_period</ipxact:displayName> + <ipxact:value>uhsif_dcn_test_period_4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_dcn_testmode_enable" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_dcn_testmode_enable</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_dcn_testmode_enable</ipxact:displayName> + <ipxact:value>uhsif_dcn_test_mode_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_dead_zone_count_thresh" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_dead_zone_count_thresh</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_dead_zone_count_thresh</ipxact:displayName> + <ipxact:value>uhsif_dzt_cnt_thr_2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_dead_zone_detection_enable" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_dead_zone_detection_enable</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_dead_zone_detection_enable</ipxact:displayName> + <ipxact:value>uhsif_dzt_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_dead_zone_obser_window" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_dead_zone_obser_window</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_dead_zone_obser_window</ipxact:displayName> + <ipxact:value>uhsif_dzt_obr_win_16</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_dead_zone_skip_size" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_dead_zone_skip_size</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_dead_zone_skip_size</ipxact:displayName> + <ipxact:value>uhsif_dzt_skipsz_4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_delay_cell_index_sel" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_delay_cell_index_sel</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_delay_cell_index_sel</ipxact:displayName> + <ipxact:value>uhsif_index_cram</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_delay_cell_margin" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_delay_cell_margin</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_delay_cell_margin</ipxact:displayName> + <ipxact:value>uhsif_dcn_margin_2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_delay_cell_static_index_value" type="int"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_delay_cell_static_index_value</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_delay_cell_static_index_value</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_dft_dead_zone_control" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_dft_dead_zone_control</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_dft_dead_zone_control</ipxact:displayName> + <ipxact:value>uhsif_dft_dz_det_val_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_dft_up_filt_control" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_dft_up_filt_control</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_dft_up_filt_control</ipxact:displayName> + <ipxact:value>uhsif_dft_up_val_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_enable" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_enable</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_enable</ipxact:displayName> + <ipxact:value>uhsif_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_lock_det_segsz_after_lock" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_lock_det_segsz_after_lock</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_lock_det_segsz_after_lock</ipxact:displayName> + <ipxact:value>uhsif_lkd_segsz_aflock_512</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_lock_det_segsz_before_lock" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_lock_det_segsz_before_lock</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_lock_det_segsz_before_lock</ipxact:displayName> + <ipxact:value>uhsif_lkd_segsz_b4lock_16</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_lock_det_thresh_cnt_after_lock_value" type="int"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_lock_det_thresh_cnt_after_lock_value</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_lock_det_thresh_cnt_after_lock_value</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_lock_det_thresh_cnt_before_lock_value" type="int"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_lock_det_thresh_cnt_before_lock_value</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_lock_det_thresh_cnt_before_lock_value</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_lock_det_thresh_diff_after_lock_value" type="int"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_lock_det_thresh_diff_after_lock_value</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_lock_det_thresh_diff_after_lock_value</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_uhsif_lock_det_thresh_diff_before_lock_value" type="int"> + <ipxact:name>hssi_tx_pcs_pma_interface_uhsif_lock_det_thresh_diff_before_lock_value</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_uhsif_lock_det_thresh_diff_before_lock_value</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_silicon_rev" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_tx_pcs_pma_interface_reconfig_settings" type="string"> + <ipxact:name>hssi_tx_pcs_pma_interface_reconfig_settings</ipxact:name> + <ipxact:displayName>hssi_tx_pcs_pma_interface_reconfig_settings</ipxact:displayName> + <ipxact:value>{}</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_asn_clk_enable" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_asn_clk_enable</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_asn_clk_enable</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_asn_enable" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_asn_enable</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_asn_enable</ipxact:displayName> + <ipxact:value>dis_asn</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_block_sel" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_block_sel</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_block_sel</ipxact:displayName> + <ipxact:value>eight_g_pcs</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_bypass_early_eios" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_bypass_early_eios</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_bypass_early_eios</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_bypass_pcie_switch" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_bypass_pcie_switch</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_bypass_pcie_switch</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_bypass_pma_ltr" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_bypass_pma_ltr</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_bypass_pma_ltr</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_bypass_pma_sw_done" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_bypass_pma_sw_done</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_bypass_pma_sw_done</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_bypass_ppm_lock" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_bypass_ppm_lock</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_bypass_ppm_lock</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_bypass_send_syncp_fbkp" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_bypass_send_syncp_fbkp</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_bypass_send_syncp_fbkp</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_bypass_txdetectrx" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_bypass_txdetectrx</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_bypass_txdetectrx</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_cdr_control" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_cdr_control</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_cdr_control</ipxact:displayName> + <ipxact:value>dis_cdr_ctrl</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_cid_enable" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_cid_enable</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_cid_enable</ipxact:displayName> + <ipxact:value>dis_cid_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_cp_cons_sel" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_cp_cons_sel</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_cp_cons_sel</ipxact:displayName> + <ipxact:value>cp_cons_master</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_cp_dwn_mstr" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_cp_dwn_mstr</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_cp_dwn_mstr</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_cp_up_mstr" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_cp_up_mstr</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_cp_up_mstr</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_ctrl_plane_bonding" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_ctrl_plane_bonding</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_ctrl_plane_bonding</ipxact:displayName> + <ipxact:value>individual</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_data_mask_count" type="int"> + <ipxact:name>hssi_common_pcs_pma_interface_data_mask_count</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_data_mask_count</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_data_mask_count_multi" type="int"> + <ipxact:name>hssi_common_pcs_pma_interface_data_mask_count_multi</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_data_mask_count_multi</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_dft_observation_clock_selection" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_dft_observation_clock_selection</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_dft_observation_clock_selection</ipxact:displayName> + <ipxact:value>dft_clk_obsrv_tx0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_early_eios_counter" type="int"> + <ipxact:name>hssi_common_pcs_pma_interface_early_eios_counter</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_early_eios_counter</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_force_freqdet" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_force_freqdet</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_force_freqdet</ipxact:displayName> + <ipxact:value>force_freqdet_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_free_run_clk_enable" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_free_run_clk_enable</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_free_run_clk_enable</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_ignore_sigdet_g23" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_ignore_sigdet_g23</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_ignore_sigdet_g23</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_pc_en_counter" type="int"> + <ipxact:name>hssi_common_pcs_pma_interface_pc_en_counter</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_pc_en_counter</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_pc_rst_counter" type="int"> + <ipxact:name>hssi_common_pcs_pma_interface_pc_rst_counter</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_pc_rst_counter</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_pcie_hip_mode" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_pcie_hip_mode</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_pcie_hip_mode</ipxact:displayName> + <ipxact:value>hip_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_ph_fifo_reg_mode" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_ph_fifo_reg_mode</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_ph_fifo_reg_mode</ipxact:displayName> + <ipxact:value>phfifo_reg_mode_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_phfifo_flush_wait" type="int"> + <ipxact:name>hssi_common_pcs_pma_interface_phfifo_flush_wait</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_phfifo_flush_wait</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_pipe_if_g3pcs" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_pipe_if_g3pcs</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_pipe_if_g3pcs</ipxact:displayName> + <ipxact:value>pipe_if_8gpcs</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_pma_done_counter" type="int"> + <ipxact:name>hssi_common_pcs_pma_interface_pma_done_counter</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_pma_done_counter</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_pma_if_dft_en" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_pma_if_dft_en</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_pma_if_dft_en</ipxact:displayName> + <ipxact:value>dft_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_pma_if_dft_val" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_pma_if_dft_val</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_pma_if_dft_val</ipxact:displayName> + <ipxact:value>dft_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_ppm_cnt_rst" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_ppm_cnt_rst</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_ppm_cnt_rst</ipxact:displayName> + <ipxact:value>ppm_cnt_rst_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_ppm_deassert_early" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_ppm_deassert_early</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_ppm_deassert_early</ipxact:displayName> + <ipxact:value>deassert_early_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_ppm_gen1_2_cnt" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_ppm_gen1_2_cnt</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_ppm_gen1_2_cnt</ipxact:displayName> + <ipxact:value>cnt_32k</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_ppm_post_eidle_delay" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_ppm_post_eidle_delay</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_ppm_post_eidle_delay</ipxact:displayName> + <ipxact:value>cnt_200_cycles</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_ppmsel" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_ppmsel</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_ppmsel</ipxact:displayName> + <ipxact:value>ppmsel_1000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_prot_mode" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_prot_mode</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_prot_mode</ipxact:displayName> + <ipxact:value>other_protocols</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_rxvalid_mask" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_rxvalid_mask</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_rxvalid_mask</ipxact:displayName> + <ipxact:value>rxvalid_mask_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_sigdet_wait_counter" type="int"> + <ipxact:name>hssi_common_pcs_pma_interface_sigdet_wait_counter</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_sigdet_wait_counter</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_sigdet_wait_counter_multi" type="int"> + <ipxact:name>hssi_common_pcs_pma_interface_sigdet_wait_counter_multi</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_sigdet_wait_counter_multi</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_sim_mode" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_sim_mode</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_sim_mode</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_spd_chg_rst_wait_cnt_en" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_spd_chg_rst_wait_cnt_en</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_spd_chg_rst_wait_cnt_en</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_sup_mode" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_sup_mode</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_testout_sel" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_testout_sel</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_testout_sel</ipxact:displayName> + <ipxact:value>asn_test</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_wait_clk_on_off_timer" type="int"> + <ipxact:name>hssi_common_pcs_pma_interface_wait_clk_on_off_timer</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_wait_clk_on_off_timer</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_wait_pipe_synchronizing" type="int"> + <ipxact:name>hssi_common_pcs_pma_interface_wait_pipe_synchronizing</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_wait_pipe_synchronizing</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_wait_send_syncp_fbkp" type="int"> + <ipxact:name>hssi_common_pcs_pma_interface_wait_send_syncp_fbkp</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_wait_send_syncp_fbkp</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_silicon_rev" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_ppm_det_buckets" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_ppm_det_buckets</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_ppm_det_buckets</ipxact:displayName> + <ipxact:value>ppm_100_bucket</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_common_pcs_pma_interface_reconfig_settings" type="string"> + <ipxact:name>hssi_common_pcs_pma_interface_reconfig_settings</ipxact:name> + <ipxact:displayName>hssi_common_pcs_pma_interface_reconfig_settings</ipxact:displayName> + <ipxact:value>{}</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_fifo_rx_pcs_double_read_mode" type="string"> + <ipxact:name>hssi_fifo_rx_pcs_double_read_mode</ipxact:name> + <ipxact:displayName>hssi_fifo_rx_pcs_double_read_mode</ipxact:displayName> + <ipxact:value>double_read_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_fifo_rx_pcs_prot_mode" type="string"> + <ipxact:name>hssi_fifo_rx_pcs_prot_mode</ipxact:name> + <ipxact:displayName>hssi_fifo_rx_pcs_prot_mode</ipxact:displayName> + <ipxact:value>teng_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_fifo_rx_pcs_silicon_rev" type="string"> + <ipxact:name>hssi_fifo_rx_pcs_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_fifo_rx_pcs_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_fifo_tx_pcs_double_write_mode" type="string"> + <ipxact:name>hssi_fifo_tx_pcs_double_write_mode</ipxact:name> + <ipxact:displayName>hssi_fifo_tx_pcs_double_write_mode</ipxact:displayName> + <ipxact:value>double_write_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_fifo_tx_pcs_prot_mode" type="string"> + <ipxact:name>hssi_fifo_tx_pcs_prot_mode</ipxact:name> + <ipxact:displayName>hssi_fifo_tx_pcs_prot_mode</ipxact:displayName> + <ipxact:value>teng_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_fifo_tx_pcs_silicon_rev" type="string"> + <ipxact:name>hssi_fifo_tx_pcs_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_fifo_tx_pcs_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen3_bypass_rx_detection_enable" type="string"> + <ipxact:name>hssi_pipe_gen3_bypass_rx_detection_enable</ipxact:name> + <ipxact:displayName>hssi_pipe_gen3_bypass_rx_detection_enable</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen3_bypass_rx_preset" type="int"> + <ipxact:name>hssi_pipe_gen3_bypass_rx_preset</ipxact:name> + <ipxact:displayName>hssi_pipe_gen3_bypass_rx_preset</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen3_bypass_rx_preset_enable" type="string"> + <ipxact:name>hssi_pipe_gen3_bypass_rx_preset_enable</ipxact:name> + <ipxact:displayName>hssi_pipe_gen3_bypass_rx_preset_enable</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen3_bypass_tx_coefficent" type="int"> + <ipxact:name>hssi_pipe_gen3_bypass_tx_coefficent</ipxact:name> + <ipxact:displayName>hssi_pipe_gen3_bypass_tx_coefficent</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen3_bypass_tx_coefficent_enable" type="string"> + <ipxact:name>hssi_pipe_gen3_bypass_tx_coefficent_enable</ipxact:name> + <ipxact:displayName>hssi_pipe_gen3_bypass_tx_coefficent_enable</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen3_elecidle_delay_g3" type="int"> + <ipxact:name>hssi_pipe_gen3_elecidle_delay_g3</ipxact:name> + <ipxact:displayName>hssi_pipe_gen3_elecidle_delay_g3</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen3_ind_error_reporting" type="string"> + <ipxact:name>hssi_pipe_gen3_ind_error_reporting</ipxact:name> + <ipxact:displayName>hssi_pipe_gen3_ind_error_reporting</ipxact:displayName> + <ipxact:value>dis_ind_error_reporting</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen3_mode" type="string"> + <ipxact:name>hssi_pipe_gen3_mode</ipxact:name> + <ipxact:displayName>hssi_pipe_gen3_mode</ipxact:displayName> + <ipxact:value>disable_pcs</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen3_phy_status_delay_g12" type="int"> + <ipxact:name>hssi_pipe_gen3_phy_status_delay_g12</ipxact:name> + <ipxact:displayName>hssi_pipe_gen3_phy_status_delay_g12</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen3_phy_status_delay_g3" type="int"> + <ipxact:name>hssi_pipe_gen3_phy_status_delay_g3</ipxact:name> + <ipxact:displayName>hssi_pipe_gen3_phy_status_delay_g3</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen3_phystatus_rst_toggle_g12" type="string"> + <ipxact:name>hssi_pipe_gen3_phystatus_rst_toggle_g12</ipxact:name> + <ipxact:displayName>hssi_pipe_gen3_phystatus_rst_toggle_g12</ipxact:displayName> + <ipxact:value>dis_phystatus_rst_toggle</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen3_phystatus_rst_toggle_g3" type="string"> + <ipxact:name>hssi_pipe_gen3_phystatus_rst_toggle_g3</ipxact:name> + <ipxact:displayName>hssi_pipe_gen3_phystatus_rst_toggle_g3</ipxact:displayName> + <ipxact:value>dis_phystatus_rst_toggle_g3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen3_rate_match_pad_insertion" type="string"> + <ipxact:name>hssi_pipe_gen3_rate_match_pad_insertion</ipxact:name> + <ipxact:displayName>hssi_pipe_gen3_rate_match_pad_insertion</ipxact:displayName> + <ipxact:value>dis_rm_fifo_pad_ins</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen3_sup_mode" type="string"> + <ipxact:name>hssi_pipe_gen3_sup_mode</ipxact:name> + <ipxact:displayName>hssi_pipe_gen3_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen3_test_out_sel" type="string"> + <ipxact:name>hssi_pipe_gen3_test_out_sel</ipxact:name> + <ipxact:displayName>hssi_pipe_gen3_test_out_sel</ipxact:displayName> + <ipxact:value>disable_test_out</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen3_silicon_rev" type="string"> + <ipxact:name>hssi_pipe_gen3_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_pipe_gen3_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_elec_idle_delay_val" type="int"> + <ipxact:name>hssi_pipe_gen1_2_elec_idle_delay_val</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_elec_idle_delay_val</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_error_replace_pad" type="string"> + <ipxact:name>hssi_pipe_gen1_2_error_replace_pad</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_error_replace_pad</ipxact:displayName> + <ipxact:value>replace_edb</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_hip_mode" type="string"> + <ipxact:name>hssi_pipe_gen1_2_hip_mode</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_hip_mode</ipxact:displayName> + <ipxact:value>dis_hip</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_ind_error_reporting" type="string"> + <ipxact:name>hssi_pipe_gen1_2_ind_error_reporting</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_ind_error_reporting</ipxact:displayName> + <ipxact:value>dis_ind_error_reporting</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_phystatus_delay_val" type="int"> + <ipxact:name>hssi_pipe_gen1_2_phystatus_delay_val</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_phystatus_delay_val</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_phystatus_rst_toggle" type="string"> + <ipxact:name>hssi_pipe_gen1_2_phystatus_rst_toggle</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_phystatus_rst_toggle</ipxact:displayName> + <ipxact:value>dis_phystatus_rst_toggle</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_pipe_byte_de_serializer_en" type="string"> + <ipxact:name>hssi_pipe_gen1_2_pipe_byte_de_serializer_en</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_pipe_byte_de_serializer_en</ipxact:displayName> + <ipxact:value>dont_care_bds</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_prot_mode" type="string"> + <ipxact:name>hssi_pipe_gen1_2_prot_mode</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_prot_mode</ipxact:displayName> + <ipxact:value>disabled_prot_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_rpre_emph_a_val" type="int"> + <ipxact:name>hssi_pipe_gen1_2_rpre_emph_a_val</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_rpre_emph_a_val</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_rpre_emph_b_val" type="int"> + <ipxact:name>hssi_pipe_gen1_2_rpre_emph_b_val</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_rpre_emph_b_val</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_rpre_emph_c_val" type="int"> + <ipxact:name>hssi_pipe_gen1_2_rpre_emph_c_val</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_rpre_emph_c_val</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_rpre_emph_d_val" type="int"> + <ipxact:name>hssi_pipe_gen1_2_rpre_emph_d_val</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_rpre_emph_d_val</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_rpre_emph_e_val" type="int"> + <ipxact:name>hssi_pipe_gen1_2_rpre_emph_e_val</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_rpre_emph_e_val</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_rvod_sel_a_val" type="int"> + <ipxact:name>hssi_pipe_gen1_2_rvod_sel_a_val</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_rvod_sel_a_val</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_rvod_sel_b_val" type="int"> + <ipxact:name>hssi_pipe_gen1_2_rvod_sel_b_val</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_rvod_sel_b_val</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_rvod_sel_c_val" type="int"> + <ipxact:name>hssi_pipe_gen1_2_rvod_sel_c_val</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_rvod_sel_c_val</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_rvod_sel_d_val" type="int"> + <ipxact:name>hssi_pipe_gen1_2_rvod_sel_d_val</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_rvod_sel_d_val</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_rvod_sel_e_val" type="int"> + <ipxact:name>hssi_pipe_gen1_2_rvod_sel_e_val</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_rvod_sel_e_val</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_rx_pipe_enable" type="string"> + <ipxact:name>hssi_pipe_gen1_2_rx_pipe_enable</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_rx_pipe_enable</ipxact:displayName> + <ipxact:value>dis_pipe_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_rxdetect_bypass" type="string"> + <ipxact:name>hssi_pipe_gen1_2_rxdetect_bypass</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_rxdetect_bypass</ipxact:displayName> + <ipxact:value>dis_rxdetect_bypass</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_sup_mode" type="string"> + <ipxact:name>hssi_pipe_gen1_2_sup_mode</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_tx_pipe_enable" type="string"> + <ipxact:name>hssi_pipe_gen1_2_tx_pipe_enable</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_tx_pipe_enable</ipxact:displayName> + <ipxact:value>dis_pipe_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_txswing" type="string"> + <ipxact:name>hssi_pipe_gen1_2_txswing</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_txswing</ipxact:displayName> + <ipxact:value>dis_txswing</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_silicon_rev" type="string"> + <ipxact:name>hssi_pipe_gen1_2_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pipe_gen1_2_reconfig_settings" type="string"> + <ipxact:name>hssi_pipe_gen1_2_reconfig_settings</ipxact:name> + <ipxact:displayName>hssi_pipe_gen1_2_reconfig_settings</ipxact:displayName> + <ipxact:value>{}</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_silicon_rev" type="string"> + <ipxact:name>pma_adapt_silicon_rev</ipxact:name> + <ipxact:displayName>pma_adapt_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_1s_ctle_bypass" type="string"> + <ipxact:name>pma_adapt_adp_1s_ctle_bypass</ipxact:name> + <ipxact:displayName>pma_adapt_adp_1s_ctle_bypass</ipxact:displayName> + <ipxact:value>radp_1s_ctle_bypass_1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_4s_ctle_bypass" type="string"> + <ipxact:name>pma_adapt_adp_4s_ctle_bypass</ipxact:name> + <ipxact:displayName>pma_adapt_adp_4s_ctle_bypass</ipxact:displayName> + <ipxact:value>radp_4s_ctle_bypass_1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_ctle_acgain_4s" type="string"> + <ipxact:name>pma_adapt_adp_ctle_acgain_4s</ipxact:name> + <ipxact:displayName>pma_adapt_adp_ctle_acgain_4s</ipxact:displayName> + <ipxact:value>radp_ctle_acgain_4s_1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_ctle_en" type="string"> + <ipxact:name>pma_adapt_adp_ctle_en</ipxact:name> + <ipxact:displayName>pma_adapt_adp_ctle_en</ipxact:displayName> + <ipxact:value>radp_ctle_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_ctle_hold_en" type="string"> + <ipxact:name>pma_adapt_adp_ctle_hold_en</ipxact:name> + <ipxact:displayName>pma_adapt_adp_ctle_hold_en</ipxact:displayName> + <ipxact:value>radp_ctle_not_held</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_ctle_scale" type="string"> + <ipxact:name>pma_adapt_adp_ctle_scale</ipxact:name> + <ipxact:displayName>pma_adapt_adp_ctle_scale</ipxact:displayName> + <ipxact:value>radp_ctle_scale_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_bw" type="string"> + <ipxact:name>pma_adapt_adp_dfe_bw</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_bw</ipxact:displayName> + <ipxact:value>radp_dfe_bw_3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_cycle" type="string"> + <ipxact:name>pma_adapt_adp_dfe_cycle</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_cycle</ipxact:displayName> + <ipxact:value>radp_dfe_cycle_6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fltap_bypass" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fltap_bypass</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fltap_bypass</ipxact:displayName> + <ipxact:value>radp_dfe_fltap_bypass_1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fltap_en" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fltap_en</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fltap_en</ipxact:displayName> + <ipxact:value>radp_dfe_fltap_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fltap_hold_en" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fltap_hold_en</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fltap_hold_en</ipxact:displayName> + <ipxact:value>radp_dfe_fltap_not_held</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fltap_load" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fltap_load</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fltap_load</ipxact:displayName> + <ipxact:value>radp_dfe_fltap_load_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fltap_position" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fltap_position</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fltap_position</ipxact:displayName> + <ipxact:value>radp_dfe_fltap_position_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap8" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap8</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap8</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap8_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap8_sgn" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap8_sgn</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap8_sgn</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap8_sgn_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap9" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap9</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap9</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap9_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap9_sgn" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap9_sgn</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap9_sgn</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap9_sgn_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap10" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap10</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap10</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap10_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap10_sgn" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap10_sgn</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap10_sgn</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap10_sgn_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap11" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap11</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap11</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap11_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap11_sgn" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap11_sgn</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap11_sgn</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap11_sgn_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap_bypass" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap_bypass</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap_bypass</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap_bypass_1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap_en" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap_en</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap_en</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap_hold_en" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap_hold_en</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap_hold_en</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap_not_held</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap_load" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap_load</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap_load</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap_load_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap1" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap1</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap1</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap1_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap2" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap2</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap2</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap2_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap2_sgn" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap2_sgn</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap2_sgn</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap2_sgn_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap3" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap3</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap3</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap3_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap3_sgn" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap3_sgn</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap3_sgn</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap3_sgn_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap4" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap4</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap4</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap4_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap4_sgn" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap4_sgn</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap4_sgn</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap4_sgn_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap5" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap5</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap5</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap5_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap5_sgn" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap5_sgn</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap5_sgn</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap5_sgn_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap6" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap6</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap6</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap6_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap6_sgn" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap6_sgn</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap6_sgn</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap6_sgn_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap7" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap7</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap7</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap7_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_fxtap7_sgn" type="string"> + <ipxact:name>pma_adapt_adp_dfe_fxtap7_sgn</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_fxtap7_sgn</ipxact:displayName> + <ipxact:value>radp_dfe_fxtap7_sgn_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_mode" type="string"> + <ipxact:name>pma_adapt_adp_dfe_mode</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_mode</ipxact:displayName> + <ipxact:value>radp_dfe_mode_4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_vref_polarity" type="string"> + <ipxact:name>pma_adapt_adp_dfe_vref_polarity</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_vref_polarity</ipxact:displayName> + <ipxact:value>radp_dfe_vref_polarity_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_force_freqlock" type="string"> + <ipxact:name>pma_adapt_adp_force_freqlock</ipxact:name> + <ipxact:displayName>pma_adapt_adp_force_freqlock</ipxact:displayName> + <ipxact:value>radp_force_freqlock_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_lfeq_fb_sel" type="string"> + <ipxact:name>pma_adapt_adp_lfeq_fb_sel</ipxact:name> + <ipxact:displayName>pma_adapt_adp_lfeq_fb_sel</ipxact:displayName> + <ipxact:value>radp_lfeq_fb_sel_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_status_sel" type="string"> + <ipxact:name>pma_adapt_adp_status_sel</ipxact:name> + <ipxact:displayName>pma_adapt_adp_status_sel</ipxact:displayName> + <ipxact:value>radp_status_sel_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_vga_bypass" type="string"> + <ipxact:name>pma_adapt_adp_vga_bypass</ipxact:name> + <ipxact:displayName>pma_adapt_adp_vga_bypass</ipxact:displayName> + <ipxact:value>radp_vga_bypass_1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_vga_en" type="string"> + <ipxact:name>pma_adapt_adp_vga_en</ipxact:name> + <ipxact:displayName>pma_adapt_adp_vga_en</ipxact:displayName> + <ipxact:value>radp_vga_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_vga_polarity" type="string"> + <ipxact:name>pma_adapt_adp_vga_polarity</ipxact:name> + <ipxact:displayName>pma_adapt_adp_vga_polarity</ipxact:displayName> + <ipxact:value>radp_vga_polarity_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_vga_sel" type="string"> + <ipxact:name>pma_adapt_adp_vga_sel</ipxact:name> + <ipxact:displayName>pma_adapt_adp_vga_sel</ipxact:displayName> + <ipxact:value>radp_vga_sel_2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_vga_sweep_direction" type="string"> + <ipxact:name>pma_adapt_adp_vga_sweep_direction</ipxact:name> + <ipxact:displayName>pma_adapt_adp_vga_sweep_direction</ipxact:displayName> + <ipxact:value>radp_vga_sweep_direction_1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_vga_threshold" type="string"> + <ipxact:name>pma_adapt_adp_vga_threshold</ipxact:name> + <ipxact:displayName>pma_adapt_adp_vga_threshold</ipxact:displayName> + <ipxact:value>radp_vga_threshold_4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_vref_bw" type="string"> + <ipxact:name>pma_adapt_adp_vref_bw</ipxact:name> + <ipxact:displayName>pma_adapt_adp_vref_bw</ipxact:displayName> + <ipxact:value>radp_vref_bw_1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_vref_bypass" type="string"> + <ipxact:name>pma_adapt_adp_vref_bypass</ipxact:name> + <ipxact:displayName>pma_adapt_adp_vref_bypass</ipxact:displayName> + <ipxact:value>radp_vref_bypass_1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_vref_cycle" type="string"> + <ipxact:name>pma_adapt_adp_vref_cycle</ipxact:name> + <ipxact:displayName>pma_adapt_adp_vref_cycle</ipxact:displayName> + <ipxact:value>radp_vref_cycle_6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_vref_en" type="string"> + <ipxact:name>pma_adapt_adp_vref_en</ipxact:name> + <ipxact:displayName>pma_adapt_adp_vref_en</ipxact:displayName> + <ipxact:value>radp_vref_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_vref_hold_en" type="string"> + <ipxact:name>pma_adapt_adp_vref_hold_en</ipxact:name> + <ipxact:displayName>pma_adapt_adp_vref_hold_en</ipxact:displayName> + <ipxact:value>radp_vref_not_held</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_vref_polarity" type="string"> + <ipxact:name>pma_adapt_adp_vref_polarity</ipxact:name> + <ipxact:displayName>pma_adapt_adp_vref_polarity</ipxact:displayName> + <ipxact:value>radp_vref_polarity_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_vref_sel" type="string"> + <ipxact:name>pma_adapt_adp_vref_sel</ipxact:name> + <ipxact:displayName>pma_adapt_adp_vref_sel</ipxact:displayName> + <ipxact:value>radp_vref_sel_21</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_vref_vga_level" type="string"> + <ipxact:name>pma_adapt_adp_vref_vga_level</ipxact:name> + <ipxact:displayName>pma_adapt_adp_vref_vga_level</ipxact:displayName> + <ipxact:value>radp_vref_vga_level_13</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_datarate" type="string"> + <ipxact:name>pma_adapt_datarate</ipxact:name> + <ipxact:displayName>pma_adapt_datarate</ipxact:displayName> + <ipxact:value>10312500000 bps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_odi_en" type="string"> + <ipxact:name>pma_adapt_odi_en</ipxact:name> + <ipxact:displayName>pma_adapt_odi_en</ipxact:displayName> + <ipxact:value>rodi_en_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_odi_rstn" type="string"> + <ipxact:name>pma_adapt_odi_rstn</ipxact:name> + <ipxact:displayName>pma_adapt_odi_rstn</ipxact:displayName> + <ipxact:value>rodi_rstn_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_odi_spec_sel" type="string"> + <ipxact:name>pma_adapt_odi_spec_sel</ipxact:name> + <ipxact:displayName>pma_adapt_odi_spec_sel</ipxact:displayName> + <ipxact:value>rodi_spec_sel_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_odi_vref_sel" type="string"> + <ipxact:name>pma_adapt_odi_vref_sel</ipxact:name> + <ipxact:displayName>pma_adapt_odi_vref_sel</ipxact:displayName> + <ipxact:value>rodi_vref_sel_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_optimal" type="string"> + <ipxact:name>pma_adapt_optimal</ipxact:name> + <ipxact:displayName>pma_adapt_optimal</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_initial_settings" type="string"> + <ipxact:name>pma_adapt_initial_settings</ipxact:name> + <ipxact:displayName>pma_adapt_initial_settings</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_prot_mode" type="string"> + <ipxact:name>pma_adapt_prot_mode</ipxact:name> + <ipxact:displayName>pma_adapt_prot_mode</ipxact:displayName> + <ipxact:value>basic_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_sup_mode" type="string"> + <ipxact:name>pma_adapt_sup_mode</ipxact:name> + <ipxact:displayName>pma_adapt_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adapt_dfe_control_sel" type="string"> + <ipxact:name>pma_adapt_adapt_dfe_control_sel</ipxact:name> + <ipxact:displayName>pma_adapt_adapt_dfe_control_sel</ipxact:displayName> + <ipxact:value>r_adapt_dfe_control_sel_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_ctle_adapt_bw" type="string"> + <ipxact:name>pma_adapt_adp_ctle_adapt_bw</ipxact:name> + <ipxact:displayName>pma_adapt_adp_ctle_adapt_bw</ipxact:displayName> + <ipxact:value>radp_ctle_adapt_bw_3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_spec_sign" type="string"> + <ipxact:name>pma_adapt_adp_dfe_spec_sign</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_spec_sign</ipxact:displayName> + <ipxact:value>radp_dfe_spec_sign_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_ctle_force_spec_sign" type="string"> + <ipxact:name>pma_adapt_adp_ctle_force_spec_sign</ipxact:name> + <ipxact:displayName>pma_adapt_adp_ctle_force_spec_sign</ipxact:displayName> + <ipxact:value>radp_ctle_force_spec_sign_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_odi_mode" type="string"> + <ipxact:name>pma_adapt_odi_mode</ipxact:name> + <ipxact:displayName>pma_adapt_odi_mode</ipxact:displayName> + <ipxact:value>rodi_mode_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_ctle_threshold" type="string"> + <ipxact:name>pma_adapt_adp_ctle_threshold</ipxact:name> + <ipxact:displayName>pma_adapt_adp_ctle_threshold</ipxact:displayName> + <ipxact:value>radp_ctle_threshold_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_ctle_window" type="string"> + <ipxact:name>pma_adapt_adp_ctle_window</ipxact:name> + <ipxact:displayName>pma_adapt_adp_ctle_window</ipxact:displayName> + <ipxact:value>radp_ctle_window_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_ctle_threshold_en" type="string"> + <ipxact:name>pma_adapt_adp_ctle_threshold_en</ipxact:name> + <ipxact:displayName>pma_adapt_adp_ctle_threshold_en</ipxact:displayName> + <ipxact:value>radp_ctle_threshold_en_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_ctle_spec_sign" type="string"> + <ipxact:name>pma_adapt_adp_ctle_spec_sign</ipxact:name> + <ipxact:displayName>pma_adapt_adp_ctle_spec_sign</ipxact:displayName> + <ipxact:value>radp_ctle_spec_sign_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_odi_control_sel" type="string"> + <ipxact:name>pma_adapt_adp_odi_control_sel</ipxact:name> + <ipxact:displayName>pma_adapt_adp_odi_control_sel</ipxact:displayName> + <ipxact:value>radp_odi_control_sel_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_spec_avg_window" type="string"> + <ipxact:name>pma_adapt_adp_spec_avg_window</ipxact:name> + <ipxact:displayName>pma_adapt_adp_spec_avg_window</ipxact:displayName> + <ipxact:value>radp_spec_avg_window_4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_ctle_adapt_cycle_window" type="string"> + <ipxact:name>pma_adapt_adp_ctle_adapt_cycle_window</ipxact:name> + <ipxact:displayName>pma_adapt_adp_ctle_adapt_cycle_window</ipxact:displayName> + <ipxact:value>radp_ctle_adapt_cycle_window_7</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_odi_dfe_spec_en" type="string"> + <ipxact:name>pma_adapt_odi_dfe_spec_en</ipxact:name> + <ipxact:displayName>pma_adapt_odi_dfe_spec_en</ipxact:displayName> + <ipxact:value>rodi_dfe_spec_en_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_clkout_div_sel" type="string"> + <ipxact:name>pma_adapt_adp_dfe_clkout_div_sel</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_clkout_div_sel</ipxact:displayName> + <ipxact:value>radp_dfe_clkout_div_sel_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_ctle_load_value" type="string"> + <ipxact:name>pma_adapt_adp_ctle_load_value</ipxact:name> + <ipxact:displayName>pma_adapt_adp_ctle_load_value</ipxact:displayName> + <ipxact:value>radp_ctle_load_value_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_rrx_pcie_eqz" type="string"> + <ipxact:name>pma_adapt_rrx_pcie_eqz</ipxact:name> + <ipxact:displayName>pma_adapt_rrx_pcie_eqz</ipxact:displayName> + <ipxact:value>rrx_pcie_eqz_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_bist_mode" type="string"> + <ipxact:name>pma_adapt_adp_bist_mode</ipxact:name> + <ipxact:displayName>pma_adapt_adp_bist_mode</ipxact:displayName> + <ipxact:value>radp_bist_mode_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adapt_dfe_sel" type="string"> + <ipxact:name>pma_adapt_adapt_dfe_sel</ipxact:name> + <ipxact:displayName>pma_adapt_adapt_dfe_sel</ipxact:displayName> + <ipxact:value>r_adapt_dfe_sel_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_spec_trans_filter" type="string"> + <ipxact:name>pma_adapt_adp_spec_trans_filter</ipxact:name> + <ipxact:displayName>pma_adapt_adp_spec_trans_filter</ipxact:displayName> + <ipxact:value>radp_spec_trans_filter_2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_frame_en" type="string"> + <ipxact:name>pma_adapt_adp_frame_en</ipxact:name> + <ipxact:displayName>pma_adapt_adp_frame_en</ipxact:displayName> + <ipxact:value>radp_frame_en_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_odi_count_threshold" type="string"> + <ipxact:name>pma_adapt_odi_count_threshold</ipxact:name> + <ipxact:displayName>pma_adapt_odi_count_threshold</ipxact:displayName> + <ipxact:value>rodi_count_threshold_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_bist_spec_en" type="string"> + <ipxact:name>pma_adapt_adp_bist_spec_en</ipxact:name> + <ipxact:displayName>pma_adapt_adp_bist_spec_en</ipxact:displayName> + <ipxact:value>radp_bist_spec_en_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adapt_mode" type="string"> + <ipxact:name>pma_adapt_adapt_mode</ipxact:name> + <ipxact:displayName>pma_adapt_adapt_mode</ipxact:displayName> + <ipxact:value>manual</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_ctle_adapt_oneshot" type="string"> + <ipxact:name>pma_adapt_adp_ctle_adapt_oneshot</ipxact:name> + <ipxact:displayName>pma_adapt_adp_ctle_adapt_oneshot</ipxact:displayName> + <ipxact:value>radp_ctle_adapt_oneshot_1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_bist_auxpath_en" type="string"> + <ipxact:name>pma_adapt_adp_bist_auxpath_en</ipxact:name> + <ipxact:displayName>pma_adapt_adp_bist_auxpath_en</ipxact:displayName> + <ipxact:value>radp_bist_auxpath_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_frame_out_sel" type="string"> + <ipxact:name>pma_adapt_adp_frame_out_sel</ipxact:name> + <ipxact:displayName>pma_adapt_adp_frame_out_sel</ipxact:displayName> + <ipxact:value>radp_frame_out_sel_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adapt_vga_sel" type="string"> + <ipxact:name>pma_adapt_adapt_vga_sel</ipxact:name> + <ipxact:displayName>pma_adapt_adapt_vga_sel</ipxact:displayName> + <ipxact:value>r_adapt_vga_sel_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_vref_load" type="string"> + <ipxact:name>pma_adapt_adp_vref_load</ipxact:name> + <ipxact:displayName>pma_adapt_adp_vref_load</ipxact:displayName> + <ipxact:value>radp_vref_load_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_ctle_scale_en" type="string"> + <ipxact:name>pma_adapt_adp_ctle_scale_en</ipxact:name> + <ipxact:displayName>pma_adapt_adp_ctle_scale_en</ipxact:displayName> + <ipxact:value>radp_ctle_scale_en_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_onetime_dfe" type="string"> + <ipxact:name>pma_adapt_adp_onetime_dfe</ipxact:name> + <ipxact:displayName>pma_adapt_adp_onetime_dfe</ipxact:displayName> + <ipxact:value>radp_onetime_dfe_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_dfe_force_spec_sign" type="string"> + <ipxact:name>pma_adapt_adp_dfe_force_spec_sign</ipxact:name> + <ipxact:displayName>pma_adapt_adp_dfe_force_spec_sign</ipxact:displayName> + <ipxact:value>radp_dfe_force_spec_sign_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_frame_odi_sel" type="string"> + <ipxact:name>pma_adapt_adp_frame_odi_sel</ipxact:name> + <ipxact:displayName>pma_adapt_adp_frame_odi_sel</ipxact:displayName> + <ipxact:value>radp_frame_odi_sel_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_bist_datapath_en" type="string"> + <ipxact:name>pma_adapt_adp_bist_datapath_en</ipxact:name> + <ipxact:displayName>pma_adapt_adp_bist_datapath_en</ipxact:displayName> + <ipxact:value>radp_bist_datapath_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_control_mux_bypass" type="string"> + <ipxact:name>pma_adapt_adp_control_mux_bypass</ipxact:name> + <ipxact:displayName>pma_adapt_adp_control_mux_bypass</ipxact:displayName> + <ipxact:value>radp_control_mux_bypass_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_ctle_vref_polarity" type="string"> + <ipxact:name>pma_adapt_adp_ctle_vref_polarity</ipxact:name> + <ipxact:displayName>pma_adapt_adp_ctle_vref_polarity</ipxact:displayName> + <ipxact:value>radp_ctle_vref_polarity_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_bist_count_rstn" type="string"> + <ipxact:name>pma_adapt_adp_bist_count_rstn</ipxact:name> + <ipxact:displayName>pma_adapt_adp_bist_count_rstn</ipxact:displayName> + <ipxact:value>radp_bist_count_rstn_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_ctle_eqz_1s_sel" type="string"> + <ipxact:name>pma_adapt_adp_ctle_eqz_1s_sel</ipxact:name> + <ipxact:displayName>pma_adapt_adp_ctle_eqz_1s_sel</ipxact:displayName> + <ipxact:value>radp_ctle_eqz_1s_sel_3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_vref_dfe_spec_en" type="string"> + <ipxact:name>pma_adapt_adp_vref_dfe_spec_en</ipxact:name> + <ipxact:displayName>pma_adapt_adp_vref_dfe_spec_en</ipxact:displayName> + <ipxact:value>radp_vref_dfe_spec_en_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_adapt_rstn" type="string"> + <ipxact:name>pma_adapt_adp_adapt_rstn</ipxact:name> + <ipxact:displayName>pma_adapt_adp_adapt_rstn</ipxact:displayName> + <ipxact:value>radp_adapt_rstn_1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_adapt_start" type="string"> + <ipxact:name>pma_adapt_adp_adapt_start</ipxact:name> + <ipxact:displayName>pma_adapt_adp_adapt_start</ipxact:displayName> + <ipxact:value>radp_adapt_start_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_odi_start" type="string"> + <ipxact:name>pma_adapt_odi_start</ipxact:name> + <ipxact:displayName>pma_adapt_odi_start</ipxact:displayName> + <ipxact:value>rodi_start_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_ctle_sweep_direction" type="string"> + <ipxact:name>pma_adapt_adp_ctle_sweep_direction</ipxact:name> + <ipxact:displayName>pma_adapt_adp_ctle_sweep_direction</ipxact:displayName> + <ipxact:value>radp_ctle_sweep_direction_1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_vga_load" type="string"> + <ipxact:name>pma_adapt_adp_vga_load</ipxact:name> + <ipxact:displayName>pma_adapt_adp_vga_load</ipxact:displayName> + <ipxact:value>radp_vga_load_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_frame_capture" type="string"> + <ipxact:name>pma_adapt_adp_frame_capture</ipxact:name> + <ipxact:displayName>pma_adapt_adp_frame_capture</ipxact:displayName> + <ipxact:value>radp_frame_capture_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_adapt_control_sel" type="string"> + <ipxact:name>pma_adapt_adp_adapt_control_sel</ipxact:name> + <ipxact:displayName>pma_adapt_adp_adapt_control_sel</ipxact:displayName> + <ipxact:value>radp_adapt_control_sel_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_bist_odi_dfe_sel" type="string"> + <ipxact:name>pma_adapt_adp_bist_odi_dfe_sel</ipxact:name> + <ipxact:displayName>pma_adapt_adp_bist_odi_dfe_sel</ipxact:displayName> + <ipxact:value>radp_bist_odi_dfe_sel_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adapt_vref_sel" type="string"> + <ipxact:name>pma_adapt_adapt_vref_sel</ipxact:name> + <ipxact:displayName>pma_adapt_adapt_vref_sel</ipxact:displayName> + <ipxact:value>r_adapt_vref_sel_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_mode" type="string"> + <ipxact:name>pma_adapt_adp_mode</ipxact:name> + <ipxact:displayName>pma_adapt_adp_mode</ipxact:displayName> + <ipxact:value>radp_mode_8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_adapt_adp_ctle_load" type="string"> + <ipxact:name>pma_adapt_adp_ctle_load</ipxact:name> + <ipxact:displayName>pma_adapt_adp_ctle_load</ipxact:displayName> + <ipxact:value>radp_ctle_load_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_cdr_clkin_scratch0_src" type="string"> + <ipxact:name>pma_cdr_refclk_cdr_clkin_scratch0_src</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_cdr_clkin_scratch0_src</ipxact:displayName> + <ipxact:value>cdr_clkin_scratch0_src_refclk_iqclk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_cdr_clkin_scratch1_src" type="string"> + <ipxact:name>pma_cdr_refclk_cdr_clkin_scratch1_src</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_cdr_clkin_scratch1_src</ipxact:displayName> + <ipxact:value>cdr_clkin_scratch1_src_refclk_iqclk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_cdr_clkin_scratch2_src" type="string"> + <ipxact:name>pma_cdr_refclk_cdr_clkin_scratch2_src</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_cdr_clkin_scratch2_src</ipxact:displayName> + <ipxact:value>cdr_clkin_scratch2_src_refclk_iqclk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_cdr_clkin_scratch3_src" type="string"> + <ipxact:name>pma_cdr_refclk_cdr_clkin_scratch3_src</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_cdr_clkin_scratch3_src</ipxact:displayName> + <ipxact:value>cdr_clkin_scratch3_src_refclk_iqclk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_cdr_clkin_scratch4_src" type="string"> + <ipxact:name>pma_cdr_refclk_cdr_clkin_scratch4_src</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_cdr_clkin_scratch4_src</ipxact:displayName> + <ipxact:value>cdr_clkin_scratch4_src_refclk_iqclk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_powerdown_mode" type="string"> + <ipxact:name>pma_cdr_refclk_powerdown_mode</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_powerdown_mode</ipxact:displayName> + <ipxact:value>powerup</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_receiver_detect_src" type="string"> + <ipxact:name>pma_cdr_refclk_receiver_detect_src</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_receiver_detect_src</ipxact:displayName> + <ipxact:value>iqclk_src</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_xmux_refclk_src" type="string"> + <ipxact:name>pma_cdr_refclk_xmux_refclk_src</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_xmux_refclk_src</ipxact:displayName> + <ipxact:value>refclk_iqclk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_xpm_iqref_mux_iqclk_sel" type="string"> + <ipxact:name>pma_cdr_refclk_xpm_iqref_mux_iqclk_sel</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_xpm_iqref_mux_iqclk_sel</ipxact:displayName> + <ipxact:value>power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_xpm_iqref_mux_scratch0_src" type="string"> + <ipxact:name>pma_cdr_refclk_xpm_iqref_mux_scratch0_src</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_xpm_iqref_mux_scratch0_src</ipxact:displayName> + <ipxact:value>scratch0_power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_xpm_iqref_mux_scratch1_src" type="string"> + <ipxact:name>pma_cdr_refclk_xpm_iqref_mux_scratch1_src</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_xpm_iqref_mux_scratch1_src</ipxact:displayName> + <ipxact:value>scratch1_power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_xpm_iqref_mux_scratch2_src" type="string"> + <ipxact:name>pma_cdr_refclk_xpm_iqref_mux_scratch2_src</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_xpm_iqref_mux_scratch2_src</ipxact:displayName> + <ipxact:value>scratch2_power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_xpm_iqref_mux_scratch3_src" type="string"> + <ipxact:name>pma_cdr_refclk_xpm_iqref_mux_scratch3_src</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_xpm_iqref_mux_scratch3_src</ipxact:displayName> + <ipxact:value>scratch3_power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_xpm_iqref_mux_scratch4_src" type="string"> + <ipxact:name>pma_cdr_refclk_xpm_iqref_mux_scratch4_src</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_xpm_iqref_mux_scratch4_src</ipxact:displayName> + <ipxact:value>scratch4_power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_refclk_select" type="string"> + <ipxact:name>pma_cdr_refclk_refclk_select</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_refclk_select</ipxact:displayName> + <ipxact:value>ref_iqclk0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_silicon_rev" type="string"> + <ipxact:name>pma_cdr_refclk_silicon_rev</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_inclk0_logical_to_physical_mapping" type="string"> + <ipxact:name>pma_cdr_refclk_inclk0_logical_to_physical_mapping</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_inclk0_logical_to_physical_mapping</ipxact:displayName> + <ipxact:value>ref_iqclk0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_inclk1_logical_to_physical_mapping" type="string"> + <ipxact:name>pma_cdr_refclk_inclk1_logical_to_physical_mapping</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_inclk1_logical_to_physical_mapping</ipxact:displayName> + <ipxact:value>ref_iqclk0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_inclk2_logical_to_physical_mapping" type="string"> + <ipxact:name>pma_cdr_refclk_inclk2_logical_to_physical_mapping</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_inclk2_logical_to_physical_mapping</ipxact:displayName> + <ipxact:value>ref_iqclk0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_inclk3_logical_to_physical_mapping" type="string"> + <ipxact:name>pma_cdr_refclk_inclk3_logical_to_physical_mapping</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_inclk3_logical_to_physical_mapping</ipxact:displayName> + <ipxact:value>ref_iqclk0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cdr_refclk_inclk4_logical_to_physical_mapping" type="string"> + <ipxact:name>pma_cdr_refclk_inclk4_logical_to_physical_mapping</ipxact:name> + <ipxact:displayName>pma_cdr_refclk_inclk4_logical_to_physical_mapping</ipxact:displayName> + <ipxact:value>ref_iqclk0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_silicon_rev" type="string"> + <ipxact:name>pma_cgb_silicon_rev</ipxact:name> + <ipxact:displayName>pma_cgb_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_observe_cgb_clocks" type="string"> + <ipxact:name>pma_cgb_observe_cgb_clocks</ipxact:name> + <ipxact:displayName>pma_cgb_observe_cgb_clocks</ipxact:displayName> + <ipxact:value>observe_nothing</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_bitslip_enable" type="string"> + <ipxact:name>pma_cgb_bitslip_enable</ipxact:name> + <ipxact:displayName>pma_cgb_bitslip_enable</ipxact:displayName> + <ipxact:value>disable_bitslip</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_bonding_mode" type="string"> + <ipxact:name>pma_cgb_bonding_mode</ipxact:name> + <ipxact:displayName>pma_cgb_bonding_mode</ipxact:displayName> + <ipxact:value>x1_non_bonded</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_bonding_reset_enable" type="string"> + <ipxact:name>pma_cgb_bonding_reset_enable</ipxact:name> + <ipxact:displayName>pma_cgb_bonding_reset_enable</ipxact:displayName> + <ipxact:value>disallow_bonding_reset</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_cgb_power_down" type="string"> + <ipxact:name>pma_cgb_cgb_power_down</ipxact:name> + <ipxact:displayName>pma_cgb_cgb_power_down</ipxact:displayName> + <ipxact:value>normal_cgb</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_datarate" type="string"> + <ipxact:name>pma_cgb_datarate</ipxact:name> + <ipxact:displayName>pma_cgb_datarate</ipxact:displayName> + <ipxact:value>10312500000 bps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_pcie_gen3_bitwidth" type="string"> + <ipxact:name>pma_cgb_pcie_gen3_bitwidth</ipxact:name> + <ipxact:displayName>pma_cgb_pcie_gen3_bitwidth</ipxact:displayName> + <ipxact:value>pciegen3_wide</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_prot_mode" type="string"> + <ipxact:name>pma_cgb_prot_mode</ipxact:name> + <ipxact:displayName>pma_cgb_prot_mode</ipxact:displayName> + <ipxact:value>basic_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_scratch0_x1_clock_src" type="string"> + <ipxact:name>pma_cgb_scratch0_x1_clock_src</ipxact:name> + <ipxact:displayName>pma_cgb_scratch0_x1_clock_src</ipxact:displayName> + <ipxact:value>unused</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_scratch1_x1_clock_src" type="string"> + <ipxact:name>pma_cgb_scratch1_x1_clock_src</ipxact:name> + <ipxact:displayName>pma_cgb_scratch1_x1_clock_src</ipxact:displayName> + <ipxact:value>unused</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_scratch2_x1_clock_src" type="string"> + <ipxact:name>pma_cgb_scratch2_x1_clock_src</ipxact:name> + <ipxact:displayName>pma_cgb_scratch2_x1_clock_src</ipxact:displayName> + <ipxact:value>unused</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_scratch3_x1_clock_src" type="string"> + <ipxact:name>pma_cgb_scratch3_x1_clock_src</ipxact:name> + <ipxact:displayName>pma_cgb_scratch3_x1_clock_src</ipxact:displayName> + <ipxact:value>unused</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_select_done_master_or_slave" type="string"> + <ipxact:name>pma_cgb_select_done_master_or_slave</ipxact:name> + <ipxact:displayName>pma_cgb_select_done_master_or_slave</ipxact:displayName> + <ipxact:value>choose_master_pcie_sw_done</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_ser_mode" type="string"> + <ipxact:name>pma_cgb_ser_mode</ipxact:name> + <ipxact:displayName>pma_cgb_ser_mode</ipxact:displayName> + <ipxact:value>thirty_two_bit</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_ser_powerdown" type="string"> + <ipxact:name>pma_cgb_ser_powerdown</ipxact:name> + <ipxact:displayName>pma_cgb_ser_powerdown</ipxact:displayName> + <ipxact:value>normal_poweron_ser</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_sup_mode" type="string"> + <ipxact:name>pma_cgb_sup_mode</ipxact:name> + <ipxact:displayName>pma_cgb_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_vccdreg_output" type="string"> + <ipxact:name>pma_cgb_vccdreg_output</ipxact:name> + <ipxact:displayName>pma_cgb_vccdreg_output</ipxact:displayName> + <ipxact:value>vccdreg_nominal</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_x1_clock_source_sel" type="string"> + <ipxact:name>pma_cgb_x1_clock_source_sel</ipxact:name> + <ipxact:displayName>pma_cgb_x1_clock_source_sel</ipxact:displayName> + <ipxact:value>cdr_txpll_t</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_x1_div_m_sel" type="string"> + <ipxact:name>pma_cgb_x1_div_m_sel</ipxact:name> + <ipxact:displayName>pma_cgb_x1_div_m_sel</ipxact:displayName> + <ipxact:value>divbypass</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_xn_clock_source_sel" type="string"> + <ipxact:name>pma_cgb_xn_clock_source_sel</ipxact:name> + <ipxact:displayName>pma_cgb_xn_clock_source_sel</ipxact:displayName> + <ipxact:value>sel_xn_up</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_input_select_x1" type="string"> + <ipxact:name>pma_cgb_input_select_x1</ipxact:name> + <ipxact:displayName>pma_cgb_input_select_x1</ipxact:displayName> + <ipxact:value>fpll_bot</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_input_select_gen3" type="string"> + <ipxact:name>pma_cgb_input_select_gen3</ipxact:name> + <ipxact:displayName>pma_cgb_input_select_gen3</ipxact:displayName> + <ipxact:value>unused</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_input_select_xn" type="string"> + <ipxact:name>pma_cgb_input_select_xn</ipxact:name> + <ipxact:displayName>pma_cgb_input_select_xn</ipxact:displayName> + <ipxact:value>unused</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_tx_ucontrol_reset" type="string"> + <ipxact:name>pma_cgb_tx_ucontrol_reset</ipxact:name> + <ipxact:displayName>pma_cgb_tx_ucontrol_reset</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_tx_ucontrol_en" type="string"> + <ipxact:name>pma_cgb_tx_ucontrol_en</ipxact:name> + <ipxact:displayName>pma_cgb_tx_ucontrol_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_initial_settings" type="string"> + <ipxact:name>pma_cgb_initial_settings</ipxact:name> + <ipxact:displayName>pma_cgb_initial_settings</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_tx_ucontrol_pcie" type="string"> + <ipxact:name>pma_cgb_tx_ucontrol_pcie</ipxact:name> + <ipxact:displayName>pma_cgb_tx_ucontrol_pcie</ipxact:displayName> + <ipxact:value>gen1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_cgb_dprio_cgb_vreg_boost" type="string"> + <ipxact:name>pma_cgb_dprio_cgb_vreg_boost</ipxact:name> + <ipxact:displayName>pma_cgb_dprio_cgb_vreg_boost</ipxact:displayName> + <ipxact:value>no_voltage_boost</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_silicon_rev" type="string"> + <ipxact:name>pma_rx_dfe_silicon_rev</ipxact:name> + <ipxact:displayName>pma_rx_dfe_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_atb_select" type="string"> + <ipxact:name>pma_rx_dfe_atb_select</ipxact:name> + <ipxact:displayName>pma_rx_dfe_atb_select</ipxact:displayName> + <ipxact:value>atb_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_datarate" type="string"> + <ipxact:name>pma_rx_dfe_datarate</ipxact:name> + <ipxact:displayName>pma_rx_dfe_datarate</ipxact:displayName> + <ipxact:value>10312500000 bps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_dft_en" type="string"> + <ipxact:name>pma_rx_dfe_dft_en</ipxact:name> + <ipxact:displayName>pma_rx_dfe_dft_en</ipxact:displayName> + <ipxact:value>dft_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_oc_sa_c270" type="int"> + <ipxact:name>pma_rx_dfe_oc_sa_c270</ipxact:name> + <ipxact:displayName>pma_rx_dfe_oc_sa_c270</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_oc_sa_c90" type="int"> + <ipxact:name>pma_rx_dfe_oc_sa_c90</ipxact:name> + <ipxact:displayName>pma_rx_dfe_oc_sa_c90</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_oc_sa_d0c0" type="int"> + <ipxact:name>pma_rx_dfe_oc_sa_d0c0</ipxact:name> + <ipxact:displayName>pma_rx_dfe_oc_sa_d0c0</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_oc_sa_d0c180" type="int"> + <ipxact:name>pma_rx_dfe_oc_sa_d0c180</ipxact:name> + <ipxact:displayName>pma_rx_dfe_oc_sa_d0c180</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_oc_sa_d1c0" type="int"> + <ipxact:name>pma_rx_dfe_oc_sa_d1c0</ipxact:name> + <ipxact:displayName>pma_rx_dfe_oc_sa_d1c0</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_oc_sa_d1c180" type="int"> + <ipxact:name>pma_rx_dfe_oc_sa_d1c180</ipxact:name> + <ipxact:displayName>pma_rx_dfe_oc_sa_d1c180</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_optimal" type="string"> + <ipxact:name>pma_rx_dfe_optimal</ipxact:name> + <ipxact:displayName>pma_rx_dfe_optimal</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_pdb" type="string"> + <ipxact:name>pma_rx_dfe_pdb</ipxact:name> + <ipxact:displayName>pma_rx_dfe_pdb</ipxact:displayName> + <ipxact:value>dfe_enable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_pdb_fixedtap" type="string"> + <ipxact:name>pma_rx_dfe_pdb_fixedtap</ipxact:name> + <ipxact:displayName>pma_rx_dfe_pdb_fixedtap</ipxact:displayName> + <ipxact:value>fixtap_dfe_powerdown</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_pdb_floattap" type="string"> + <ipxact:name>pma_rx_dfe_pdb_floattap</ipxact:name> + <ipxact:displayName>pma_rx_dfe_pdb_floattap</ipxact:displayName> + <ipxact:value>floattap_dfe_powerdown</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_pdb_fxtap4t7" type="string"> + <ipxact:name>pma_rx_dfe_pdb_fxtap4t7</ipxact:name> + <ipxact:displayName>pma_rx_dfe_pdb_fxtap4t7</ipxact:displayName> + <ipxact:value>fxtap4t7_powerdown</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_power_mode" type="string"> + <ipxact:name>pma_rx_dfe_power_mode</ipxact:name> + <ipxact:displayName>pma_rx_dfe_power_mode</ipxact:displayName> + <ipxact:value>mid_power</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_sel_fltapstep_dec" type="string"> + <ipxact:name>pma_rx_dfe_sel_fltapstep_dec</ipxact:name> + <ipxact:displayName>pma_rx_dfe_sel_fltapstep_dec</ipxact:displayName> + <ipxact:value>fltap_step_no_dec</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_sel_fltapstep_inc" type="string"> + <ipxact:name>pma_rx_dfe_sel_fltapstep_inc</ipxact:name> + <ipxact:displayName>pma_rx_dfe_sel_fltapstep_inc</ipxact:displayName> + <ipxact:value>fltap_step_no_inc</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_sel_fxtapstep_dec" type="string"> + <ipxact:name>pma_rx_dfe_sel_fxtapstep_dec</ipxact:name> + <ipxact:displayName>pma_rx_dfe_sel_fxtapstep_dec</ipxact:displayName> + <ipxact:value>fxtap_step_no_dec</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_sel_fxtapstep_inc" type="string"> + <ipxact:name>pma_rx_dfe_sel_fxtapstep_inc</ipxact:name> + <ipxact:displayName>pma_rx_dfe_sel_fxtapstep_inc</ipxact:displayName> + <ipxact:value>fxtap_step_no_inc</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_sel_oc_en" type="string"> + <ipxact:name>pma_rx_dfe_sel_oc_en</ipxact:name> + <ipxact:displayName>pma_rx_dfe_sel_oc_en</ipxact:displayName> + <ipxact:value>off_canc_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_sel_probe_tstmx" type="string"> + <ipxact:name>pma_rx_dfe_sel_probe_tstmx</ipxact:name> + <ipxact:displayName>pma_rx_dfe_sel_probe_tstmx</ipxact:displayName> + <ipxact:value>probe_tstmx_none</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_sup_mode" type="string"> + <ipxact:name>pma_rx_dfe_sup_mode</ipxact:name> + <ipxact:displayName>pma_rx_dfe_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_uc_rx_dfe_cal" type="string"> + <ipxact:name>pma_rx_dfe_uc_rx_dfe_cal</ipxact:name> + <ipxact:displayName>pma_rx_dfe_uc_rx_dfe_cal</ipxact:displayName> + <ipxact:value>uc_rx_dfe_cal_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_uc_rx_dfe_cal_status" type="string"> + <ipxact:name>pma_rx_dfe_uc_rx_dfe_cal_status</ipxact:name> + <ipxact:displayName>pma_rx_dfe_uc_rx_dfe_cal_status</ipxact:displayName> + <ipxact:value>uc_rx_dfe_cal_notdone</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_oc_sa_adp1" type="int"> + <ipxact:name>pma_rx_dfe_oc_sa_adp1</ipxact:name> + <ipxact:displayName>pma_rx_dfe_oc_sa_adp1</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_oc_sa_adp2" type="int"> + <ipxact:name>pma_rx_dfe_oc_sa_adp2</ipxact:name> + <ipxact:displayName>pma_rx_dfe_oc_sa_adp2</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_initial_settings" type="string"> + <ipxact:name>pma_rx_dfe_initial_settings</ipxact:name> + <ipxact:displayName>pma_rx_dfe_initial_settings</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_dfe_prot_mode" type="string"> + <ipxact:name>pma_rx_dfe_prot_mode</ipxact:name> + <ipxact:displayName>pma_rx_dfe_prot_mode</ipxact:displayName> + <ipxact:value>basic_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_silicon_rev" type="string"> + <ipxact:name>pma_rx_odi_silicon_rev</ipxact:name> + <ipxact:displayName>pma_rx_odi_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_datarate" type="string"> + <ipxact:name>pma_rx_odi_datarate</ipxact:name> + <ipxact:displayName>pma_rx_odi_datarate</ipxact:displayName> + <ipxact:value>10312500000 bps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_enable_odi" type="string"> + <ipxact:name>pma_rx_odi_enable_odi</ipxact:name> + <ipxact:displayName>pma_rx_odi_enable_odi</ipxact:displayName> + <ipxact:value>power_down_eye</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_monitor_bw_sel" type="string"> + <ipxact:name>pma_rx_odi_monitor_bw_sel</ipxact:name> + <ipxact:displayName>pma_rx_odi_monitor_bw_sel</ipxact:displayName> + <ipxact:value>bw_1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_optimal" type="string"> + <ipxact:name>pma_rx_odi_optimal</ipxact:name> + <ipxact:displayName>pma_rx_odi_optimal</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_phase_steps_64_vs_128" type="string"> + <ipxact:name>pma_rx_odi_phase_steps_64_vs_128</ipxact:name> + <ipxact:displayName>pma_rx_odi_phase_steps_64_vs_128</ipxact:displayName> + <ipxact:value>phase_steps_64</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_phase_steps_sel" type="string"> + <ipxact:name>pma_rx_odi_phase_steps_sel</ipxact:name> + <ipxact:displayName>pma_rx_odi_phase_steps_sel</ipxact:displayName> + <ipxact:value>step40</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_power_mode" type="string"> + <ipxact:name>pma_rx_odi_power_mode</ipxact:name> + <ipxact:displayName>pma_rx_odi_power_mode</ipxact:displayName> + <ipxact:value>mid_power</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_sup_mode" type="string"> + <ipxact:name>pma_rx_odi_sup_mode</ipxact:name> + <ipxact:displayName>pma_rx_odi_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_v_vert_threshold_scaling" type="string"> + <ipxact:name>pma_rx_odi_v_vert_threshold_scaling</ipxact:name> + <ipxact:displayName>pma_rx_odi_v_vert_threshold_scaling</ipxact:displayName> + <ipxact:value>scale_3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_vert_threshold" type="string"> + <ipxact:name>pma_rx_odi_vert_threshold</ipxact:name> + <ipxact:displayName>pma_rx_odi_vert_threshold</ipxact:displayName> + <ipxact:value>vert_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_oc_sa_c0" type="int"> + <ipxact:name>pma_rx_odi_oc_sa_c0</ipxact:name> + <ipxact:displayName>pma_rx_odi_oc_sa_c0</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_initial_settings" type="string"> + <ipxact:name>pma_rx_odi_initial_settings</ipxact:name> + <ipxact:displayName>pma_rx_odi_initial_settings</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_v_vert_sel" type="string"> + <ipxact:name>pma_rx_odi_v_vert_sel</ipxact:name> + <ipxact:displayName>pma_rx_odi_v_vert_sel</ipxact:displayName> + <ipxact:value>plus</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_sel_oc_en" type="string"> + <ipxact:name>pma_rx_odi_sel_oc_en</ipxact:name> + <ipxact:displayName>pma_rx_odi_sel_oc_en</ipxact:displayName> + <ipxact:value>off_canc_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_clk_dcd_bypass" type="string"> + <ipxact:name>pma_rx_odi_clk_dcd_bypass</ipxact:name> + <ipxact:displayName>pma_rx_odi_clk_dcd_bypass</ipxact:displayName> + <ipxact:value>no_bypass</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_invert_dfe_vref" type="string"> + <ipxact:name>pma_rx_odi_invert_dfe_vref</ipxact:name> + <ipxact:displayName>pma_rx_odi_invert_dfe_vref</ipxact:displayName> + <ipxact:value>no_inversion</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_step_ctrl_sel" type="string"> + <ipxact:name>pma_rx_odi_step_ctrl_sel</ipxact:name> + <ipxact:displayName>pma_rx_odi_step_ctrl_sel</ipxact:displayName> + <ipxact:value>dprio_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_prot_mode" type="string"> + <ipxact:name>pma_rx_odi_prot_mode</ipxact:name> + <ipxact:displayName>pma_rx_odi_prot_mode</ipxact:displayName> + <ipxact:value>basic_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_odi_oc_sa_c180" type="int"> + <ipxact:name>pma_rx_odi_oc_sa_c180</ipxact:name> + <ipxact:displayName>pma_rx_odi_oc_sa_c180</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_silicon_rev" type="string"> + <ipxact:name>pma_rx_buf_silicon_rev</ipxact:name> + <ipxact:displayName>pma_rx_buf_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_bypass_eqz_stages_234" type="string"> + <ipxact:name>pma_rx_buf_bypass_eqz_stages_234</ipxact:name> + <ipxact:displayName>pma_rx_buf_bypass_eqz_stages_234</ipxact:displayName> + <ipxact:value>bypass_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_cdrclk_to_cgb" type="string"> + <ipxact:name>pma_rx_buf_cdrclk_to_cgb</ipxact:name> + <ipxact:displayName>pma_rx_buf_cdrclk_to_cgb</ipxact:displayName> + <ipxact:value>cdrclk_2cgb_dis</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_datarate" type="string"> + <ipxact:name>pma_rx_buf_datarate</ipxact:name> + <ipxact:displayName>pma_rx_buf_datarate</ipxact:displayName> + <ipxact:value>10312500000 bps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_diag_lp_en" type="string"> + <ipxact:name>pma_rx_buf_diag_lp_en</ipxact:name> + <ipxact:displayName>pma_rx_buf_diag_lp_en</ipxact:displayName> + <ipxact:value>dlp_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_eq_bw_sel" type="string"> + <ipxact:name>pma_rx_buf_eq_bw_sel</ipxact:name> + <ipxact:displayName>pma_rx_buf_eq_bw_sel</ipxact:displayName> + <ipxact:value>eq_bw_3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_input_vcm_sel" type="string"> + <ipxact:name>pma_rx_buf_input_vcm_sel</ipxact:name> + <ipxact:displayName>pma_rx_buf_input_vcm_sel</ipxact:displayName> + <ipxact:value>high_vcm</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_link_rx" type="string"> + <ipxact:name>pma_rx_buf_link_rx</ipxact:name> + <ipxact:displayName>pma_rx_buf_link_rx</ipxact:displayName> + <ipxact:value>sr</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_offset_cal_pd" type="string"> + <ipxact:name>pma_rx_buf_offset_cal_pd</ipxact:name> + <ipxact:displayName>pma_rx_buf_offset_cal_pd</ipxact:displayName> + <ipxact:value>eqz1_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_offset_cancellation_ctrl" type="string"> + <ipxact:name>pma_rx_buf_offset_cancellation_ctrl</ipxact:name> + <ipxact:displayName>pma_rx_buf_offset_cancellation_ctrl</ipxact:displayName> + <ipxact:value>volt_0mv</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_offset_pd" type="string"> + <ipxact:name>pma_rx_buf_offset_pd</ipxact:name> + <ipxact:displayName>pma_rx_buf_offset_pd</ipxact:displayName> + <ipxact:value>oc_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_optimal" type="string"> + <ipxact:name>pma_rx_buf_optimal</ipxact:name> + <ipxact:displayName>pma_rx_buf_optimal</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_pdb_rx" type="string"> + <ipxact:name>pma_rx_buf_pdb_rx</ipxact:name> + <ipxact:displayName>pma_rx_buf_pdb_rx</ipxact:displayName> + <ipxact:value>normal_rx_on</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_power_mode_rx" type="string"> + <ipxact:name>pma_rx_buf_power_mode_rx</ipxact:name> + <ipxact:displayName>pma_rx_buf_power_mode_rx</ipxact:displayName> + <ipxact:value>mid_power</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_prot_mode" type="string"> + <ipxact:name>pma_rx_buf_prot_mode</ipxact:name> + <ipxact:displayName>pma_rx_buf_prot_mode</ipxact:displayName> + <ipxact:value>basic_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_qpi_enable" type="string"> + <ipxact:name>pma_rx_buf_qpi_enable</ipxact:name> + <ipxact:displayName>pma_rx_buf_qpi_enable</ipxact:displayName> + <ipxact:value>non_qpi_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_rx_atb_select" type="string"> + <ipxact:name>pma_rx_buf_rx_atb_select</ipxact:name> + <ipxact:displayName>pma_rx_buf_rx_atb_select</ipxact:displayName> + <ipxact:value>atb_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_rx_refclk_divider" type="string"> + <ipxact:name>pma_rx_buf_rx_refclk_divider</ipxact:name> + <ipxact:displayName>pma_rx_buf_rx_refclk_divider</ipxact:displayName> + <ipxact:value>bypass_divider</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_rx_sel_bias_source" type="string"> + <ipxact:name>pma_rx_buf_rx_sel_bias_source</ipxact:name> + <ipxact:displayName>pma_rx_buf_rx_sel_bias_source</ipxact:displayName> + <ipxact:value>bias_vcmdrv</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_sup_mode" type="string"> + <ipxact:name>pma_rx_buf_sup_mode</ipxact:name> + <ipxact:displayName>pma_rx_buf_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_term_sel" type="string"> + <ipxact:name>pma_rx_buf_term_sel</ipxact:name> + <ipxact:displayName>pma_rx_buf_term_sel</ipxact:displayName> + <ipxact:value>r_r1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_vccela_supply_voltage" type="string"> + <ipxact:name>pma_rx_buf_vccela_supply_voltage</ipxact:name> + <ipxact:displayName>pma_rx_buf_vccela_supply_voltage</ipxact:displayName> + <ipxact:value>vccela_1p1v</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_vcm_current_add" type="string"> + <ipxact:name>pma_rx_buf_vcm_current_add</ipxact:name> + <ipxact:displayName>pma_rx_buf_vcm_current_add</ipxact:displayName> + <ipxact:value>vcm_current_default</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_vcm_sel" type="string"> + <ipxact:name>pma_rx_buf_vcm_sel</ipxact:name> + <ipxact:displayName>pma_rx_buf_vcm_sel</ipxact:displayName> + <ipxact:value>vcm_setting_03</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_eq_dc_gain_trim" type="string"> + <ipxact:name>pma_rx_buf_eq_dc_gain_trim</ipxact:name> + <ipxact:displayName>pma_rx_buf_eq_dc_gain_trim</ipxact:displayName> + <ipxact:value>stg2_gain7</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_offset_cancellation_coarse" type="string"> + <ipxact:name>pma_rx_buf_offset_cancellation_coarse</ipxact:name> + <ipxact:displayName>pma_rx_buf_offset_cancellation_coarse</ipxact:displayName> + <ipxact:value>coarse_setting_00</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_bodybias_select" type="string"> + <ipxact:name>pma_rx_buf_bodybias_select</ipxact:name> + <ipxact:displayName>pma_rx_buf_bodybias_select</ipxact:displayName> + <ipxact:value>bodybias_sel1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_bodybias_enable" type="string"> + <ipxact:name>pma_rx_buf_bodybias_enable</ipxact:name> + <ipxact:displayName>pma_rx_buf_bodybias_enable</ipxact:displayName> + <ipxact:value>bodybias_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_offset_cancellation_fine" type="string"> + <ipxact:name>pma_rx_buf_offset_cancellation_fine</ipxact:name> + <ipxact:displayName>pma_rx_buf_offset_cancellation_fine</ipxact:displayName> + <ipxact:value>fine_setting_00</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_act_isource_disable" type="string"> + <ipxact:name>pma_rx_buf_act_isource_disable</ipxact:name> + <ipxact:displayName>pma_rx_buf_act_isource_disable</ipxact:displayName> + <ipxact:value>isrc_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_one_stage_enable" type="string"> + <ipxact:name>pma_rx_buf_one_stage_enable</ipxact:name> + <ipxact:displayName>pma_rx_buf_one_stage_enable</ipxact:displayName> + <ipxact:value>s1_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_loopback_modes" type="string"> + <ipxact:name>pma_rx_buf_loopback_modes</ipxact:name> + <ipxact:displayName>pma_rx_buf_loopback_modes</ipxact:displayName> + <ipxact:value>lpbk_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_lfeq_zero_control" type="string"> + <ipxact:name>pma_rx_buf_lfeq_zero_control</ipxact:name> + <ipxact:displayName>pma_rx_buf_lfeq_zero_control</ipxact:displayName> + <ipxact:value>lfeq_setting_2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_initial_settings" type="string"> + <ipxact:name>pma_rx_buf_initial_settings</ipxact:name> + <ipxact:displayName>pma_rx_buf_initial_settings</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_lfeq_enable" type="string"> + <ipxact:name>pma_rx_buf_lfeq_enable</ipxact:name> + <ipxact:displayName>pma_rx_buf_lfeq_enable</ipxact:displayName> + <ipxact:value>non_lfeq_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_term_tri_enable" type="string"> + <ipxact:name>pma_rx_buf_term_tri_enable</ipxact:name> + <ipxact:displayName>pma_rx_buf_term_tri_enable</ipxact:displayName> + <ipxact:value>disable_tri</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_vga_bandwidth_select" type="string"> + <ipxact:name>pma_rx_buf_vga_bandwidth_select</ipxact:name> + <ipxact:displayName>pma_rx_buf_vga_bandwidth_select</ipxact:displayName> + <ipxact:value>vga_bw_1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_refclk_en" type="string"> + <ipxact:name>pma_rx_buf_refclk_en</ipxact:name> + <ipxact:displayName>pma_rx_buf_refclk_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_cgm_bias_disable" type="string"> + <ipxact:name>pma_rx_buf_cgm_bias_disable</ipxact:name> + <ipxact:displayName>pma_rx_buf_cgm_bias_disable</ipxact:displayName> + <ipxact:value>cgmbias_en</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_pm_tx_rx_pcie_gen" type="string"> + <ipxact:name>pma_rx_buf_pm_tx_rx_pcie_gen</ipxact:name> + <ipxact:displayName>pma_rx_buf_pm_tx_rx_pcie_gen</ipxact:displayName> + <ipxact:value>non_pcie</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_pm_tx_rx_pcie_gen_bitwidth" type="string"> + <ipxact:name>pma_rx_buf_pm_tx_rx_pcie_gen_bitwidth</ipxact:name> + <ipxact:displayName>pma_rx_buf_pm_tx_rx_pcie_gen_bitwidth</ipxact:displayName> + <ipxact:value>pcie_gen3_32b</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_pm_tx_rx_cvp_mode" type="string"> + <ipxact:name>pma_rx_buf_pm_tx_rx_cvp_mode</ipxact:name> + <ipxact:displayName>pma_rx_buf_pm_tx_rx_cvp_mode</ipxact:displayName> + <ipxact:value>cvp_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_pm_tx_rx_testmux_select" type="string"> + <ipxact:name>pma_rx_buf_pm_tx_rx_testmux_select</ipxact:name> + <ipxact:displayName>pma_rx_buf_pm_tx_rx_testmux_select</ipxact:displayName> + <ipxact:value>setting0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_xrx_path_jtag_hys" type="string"> + <ipxact:name>pma_rx_buf_xrx_path_jtag_hys</ipxact:name> + <ipxact:displayName>pma_rx_buf_xrx_path_jtag_hys</ipxact:displayName> + <ipxact:value>hys_increase_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_xrx_path_jtag_lp" type="string"> + <ipxact:name>pma_rx_buf_xrx_path_jtag_lp</ipxact:name> + <ipxact:displayName>pma_rx_buf_xrx_path_jtag_lp</ipxact:displayName> + <ipxact:value>lp_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_xrx_path_uc_rx_rstb" type="string"> + <ipxact:name>pma_rx_buf_xrx_path_uc_rx_rstb</ipxact:name> + <ipxact:displayName>pma_rx_buf_xrx_path_uc_rx_rstb</ipxact:displayName> + <ipxact:value>rx_reset_on</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_xrx_path_uc_pcie_sw" type="string"> + <ipxact:name>pma_rx_buf_xrx_path_uc_pcie_sw</ipxact:name> + <ipxact:displayName>pma_rx_buf_xrx_path_uc_pcie_sw</ipxact:displayName> + <ipxact:value>uc_pcie_gen1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_xrx_path_uc_cal_enable" type="string"> + <ipxact:name>pma_rx_buf_xrx_path_uc_cal_enable</ipxact:name> + <ipxact:displayName>pma_rx_buf_xrx_path_uc_cal_enable</ipxact:displayName> + <ipxact:value>rx_cal_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_xrx_path_uc_cru_rstb" type="string"> + <ipxact:name>pma_rx_buf_xrx_path_uc_cru_rstb</ipxact:name> + <ipxact:displayName>pma_rx_buf_xrx_path_uc_cru_rstb</ipxact:displayName> + <ipxact:value>cdr_lf_reset_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_xrx_path_sup_mode" type="string"> + <ipxact:name>pma_rx_buf_xrx_path_sup_mode</ipxact:name> + <ipxact:displayName>pma_rx_buf_xrx_path_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_power_rail_er" type="int"> + <ipxact:name>pma_rx_buf_power_rail_er</ipxact:name> + <ipxact:displayName>pma_rx_buf_power_rail_er</ipxact:displayName> + <ipxact:value>1030</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_power_rail_eht" type="int"> + <ipxact:name>pma_rx_buf_power_rail_eht</ipxact:name> + <ipxact:displayName>pma_rx_buf_power_rail_eht</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_xrx_path_gt_enabled" type="string"> + <ipxact:name>pma_rx_buf_xrx_path_gt_enabled</ipxact:name> + <ipxact:displayName>pma_rx_buf_xrx_path_gt_enabled</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_xrx_path_analog_mode" type="string"> + <ipxact:name>pma_rx_buf_xrx_path_analog_mode</ipxact:name> + <ipxact:displayName>pma_rx_buf_xrx_path_analog_mode</ipxact:displayName> + <ipxact:value>user_custom</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_xrx_path_prot_mode" type="string"> + <ipxact:name>pma_rx_buf_xrx_path_prot_mode</ipxact:name> + <ipxact:displayName>pma_rx_buf_xrx_path_prot_mode</ipxact:displayName> + <ipxact:value>basic_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_pm_speed_grade" type="string"> + <ipxact:name>pma_rx_buf_pm_speed_grade</ipxact:name> + <ipxact:displayName>pma_rx_buf_pm_speed_grade</ipxact:displayName> + <ipxact:value>e3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_power_mode" type="string"> + <ipxact:name>pma_rx_buf_power_mode</ipxact:name> + <ipxact:displayName>pma_rx_buf_power_mode</ipxact:displayName> + <ipxact:value>mid_power</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_iostandard" type="string"> + <ipxact:name>pma_rx_buf_iostandard</ipxact:name> + <ipxact:displayName>pma_rx_buf_iostandard</ipxact:displayName> + <ipxact:value>hssi_diffio</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_xrx_path_datarate" type="string"> + <ipxact:name>pma_rx_buf_xrx_path_datarate</ipxact:name> + <ipxact:displayName>pma_rx_buf_xrx_path_datarate</ipxact:displayName> + <ipxact:value>10312500000 bps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_xrx_path_datawidth" type="int"> + <ipxact:name>pma_rx_buf_xrx_path_datawidth</ipxact:name> + <ipxact:displayName>pma_rx_buf_xrx_path_datawidth</ipxact:displayName> + <ipxact:value>32</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_xrx_path_pma_rx_divclk_hz" type="string"> + <ipxact:name>pma_rx_buf_xrx_path_pma_rx_divclk_hz</ipxact:name> + <ipxact:displayName>pma_rx_buf_xrx_path_pma_rx_divclk_hz</ipxact:displayName> + <ipxact:value>322265625</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_xrx_path_optimal" type="string"> + <ipxact:name>pma_rx_buf_xrx_path_optimal</ipxact:name> + <ipxact:displayName>pma_rx_buf_xrx_path_optimal</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_link" type="string"> + <ipxact:name>pma_rx_buf_link</ipxact:name> + <ipxact:displayName>pma_rx_buf_link</ipxact:displayName> + <ipxact:value>sr</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_xrx_path_initial_settings" type="string"> + <ipxact:name>pma_rx_buf_xrx_path_initial_settings</ipxact:name> + <ipxact:displayName>pma_rx_buf_xrx_path_initial_settings</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_buf_rx_vga_oc_en" type="string"> + <ipxact:name>pma_rx_buf_rx_vga_oc_en</ipxact:name> + <ipxact:displayName>pma_rx_buf_rx_vga_oc_en</ipxact:displayName> + <ipxact:value>vga_cal_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_sd_silicon_rev" type="string"> + <ipxact:name>pma_rx_sd_silicon_rev</ipxact:name> + <ipxact:displayName>pma_rx_sd_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_sd_link" type="string"> + <ipxact:name>pma_rx_sd_link</ipxact:name> + <ipxact:displayName>pma_rx_sd_link</ipxact:displayName> + <ipxact:value>sr</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_sd_optimal" type="string"> + <ipxact:name>pma_rx_sd_optimal</ipxact:name> + <ipxact:displayName>pma_rx_sd_optimal</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_sd_power_mode" type="string"> + <ipxact:name>pma_rx_sd_power_mode</ipxact:name> + <ipxact:displayName>pma_rx_sd_power_mode</ipxact:displayName> + <ipxact:value>mid_power</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_sd_prot_mode" type="string"> + <ipxact:name>pma_rx_sd_prot_mode</ipxact:name> + <ipxact:displayName>pma_rx_sd_prot_mode</ipxact:displayName> + <ipxact:value>basic_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_sd_sd_output_off" type="int"> + <ipxact:name>pma_rx_sd_sd_output_off</ipxact:name> + <ipxact:displayName>pma_rx_sd_sd_output_off</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_sd_sd_output_on" type="int"> + <ipxact:name>pma_rx_sd_sd_output_on</ipxact:name> + <ipxact:displayName>pma_rx_sd_sd_output_on</ipxact:displayName> + <ipxact:value>15</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_sd_sd_pdb" type="string"> + <ipxact:name>pma_rx_sd_sd_pdb</ipxact:name> + <ipxact:displayName>pma_rx_sd_sd_pdb</ipxact:displayName> + <ipxact:value>sd_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_sd_sd_threshold" type="string"> + <ipxact:name>pma_rx_sd_sd_threshold</ipxact:name> + <ipxact:displayName>pma_rx_sd_sd_threshold</ipxact:displayName> + <ipxact:value>sdlv_3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_sd_sup_mode" type="string"> + <ipxact:name>pma_rx_sd_sup_mode</ipxact:name> + <ipxact:displayName>pma_rx_sd_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_ser_silicon_rev" type="string"> + <ipxact:name>pma_tx_ser_silicon_rev</ipxact:name> + <ipxact:displayName>pma_tx_ser_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_ser_clk_divtx_deskew" type="string"> + <ipxact:name>pma_tx_ser_clk_divtx_deskew</ipxact:name> + <ipxact:displayName>pma_tx_ser_clk_divtx_deskew</ipxact:displayName> + <ipxact:value>deskew_delay8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_ser_control_clk_divtx" type="string"> + <ipxact:name>pma_tx_ser_control_clk_divtx</ipxact:name> + <ipxact:displayName>pma_tx_ser_control_clk_divtx</ipxact:displayName> + <ipxact:value>no_dft_control_clkdivtx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_ser_duty_cycle_correction_mode_ctrl" type="string"> + <ipxact:name>pma_tx_ser_duty_cycle_correction_mode_ctrl</ipxact:name> + <ipxact:displayName>pma_tx_ser_duty_cycle_correction_mode_ctrl</ipxact:displayName> + <ipxact:value>dcc_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_ser_ser_clk_divtx_user_sel" type="string"> + <ipxact:name>pma_tx_ser_ser_clk_divtx_user_sel</ipxact:name> + <ipxact:displayName>pma_tx_ser_ser_clk_divtx_user_sel</ipxact:displayName> + <ipxact:value>divtx_user_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_ser_ser_clk_mon" type="string"> + <ipxact:name>pma_tx_ser_ser_clk_mon</ipxact:name> + <ipxact:displayName>pma_tx_ser_ser_clk_mon</ipxact:displayName> + <ipxact:value>disable_clk_mon</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_ser_ser_powerdown" type="string"> + <ipxact:name>pma_tx_ser_ser_powerdown</ipxact:name> + <ipxact:displayName>pma_tx_ser_ser_powerdown</ipxact:displayName> + <ipxact:value>normal_poweron_ser</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_ser_sup_mode" type="string"> + <ipxact:name>pma_tx_ser_sup_mode</ipxact:name> + <ipxact:displayName>pma_tx_ser_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_ser_initial_settings" type="string"> + <ipxact:name>pma_tx_ser_initial_settings</ipxact:name> + <ipxact:displayName>pma_tx_ser_initial_settings</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_ser_prot_mode" type="string"> + <ipxact:name>pma_tx_ser_prot_mode</ipxact:name> + <ipxact:displayName>pma_tx_ser_prot_mode</ipxact:displayName> + <ipxact:value>basic_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_ser_bonding_mode" type="string"> + <ipxact:name>pma_tx_ser_bonding_mode</ipxact:name> + <ipxact:displayName>pma_tx_ser_bonding_mode</ipxact:displayName> + <ipxact:value>x1_non_bonded</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_silicon_rev" type="string"> + <ipxact:name>pma_tx_buf_silicon_rev</ipxact:name> + <ipxact:displayName>pma_tx_buf_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_datarate" type="string"> + <ipxact:name>pma_tx_buf_datarate</ipxact:name> + <ipxact:displayName>pma_tx_buf_datarate</ipxact:displayName> + <ipxact:value>10312500000 bps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_dft_sel" type="string"> + <ipxact:name>pma_tx_buf_dft_sel</ipxact:name> + <ipxact:displayName>pma_tx_buf_dft_sel</ipxact:displayName> + <ipxact:value>dft_disabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_duty_cycle_correction_bandwidth" type="string"> + <ipxact:name>pma_tx_buf_duty_cycle_correction_bandwidth</ipxact:name> + <ipxact:displayName>pma_tx_buf_duty_cycle_correction_bandwidth</ipxact:displayName> + <ipxact:value>dcc_bw_12</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_duty_cycle_correction_mode_ctrl" type="string"> + <ipxact:name>pma_tx_buf_duty_cycle_correction_mode_ctrl</ipxact:name> + <ipxact:displayName>pma_tx_buf_duty_cycle_correction_mode_ctrl</ipxact:displayName> + <ipxact:value>dcc_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_duty_cycle_input_polarity" type="string"> + <ipxact:name>pma_tx_buf_duty_cycle_input_polarity</ipxact:name> + <ipxact:displayName>pma_tx_buf_duty_cycle_input_polarity</ipxact:displayName> + <ipxact:value>dcc_input_pos</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_duty_cycle_setting" type="string"> + <ipxact:name>pma_tx_buf_duty_cycle_setting</ipxact:name> + <ipxact:displayName>pma_tx_buf_duty_cycle_setting</ipxact:displayName> + <ipxact:value>dcc_t32</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_duty_cycle_setting_aux" type="string"> + <ipxact:name>pma_tx_buf_duty_cycle_setting_aux</ipxact:name> + <ipxact:displayName>pma_tx_buf_duty_cycle_setting_aux</ipxact:displayName> + <ipxact:value>dcc2_t32</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_jtag_drv_sel" type="string"> + <ipxact:name>pma_tx_buf_jtag_drv_sel</ipxact:name> + <ipxact:displayName>pma_tx_buf_jtag_drv_sel</ipxact:displayName> + <ipxact:value>drv1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_jtag_lp" type="string"> + <ipxact:name>pma_tx_buf_jtag_lp</ipxact:name> + <ipxact:displayName>pma_tx_buf_jtag_lp</ipxact:displayName> + <ipxact:value>lp_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_link_tx" type="string"> + <ipxact:name>pma_tx_buf_link_tx</ipxact:name> + <ipxact:displayName>pma_tx_buf_link_tx</ipxact:displayName> + <ipxact:value>sr</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_lst" type="string"> + <ipxact:name>pma_tx_buf_lst</ipxact:name> + <ipxact:displayName>pma_tx_buf_lst</ipxact:displayName> + <ipxact:value>atb_disabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_optimal" type="string"> + <ipxact:name>pma_tx_buf_optimal</ipxact:name> + <ipxact:displayName>pma_tx_buf_optimal</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_pre_emp_sign_1st_post_tap" type="string"> + <ipxact:name>pma_tx_buf_pre_emp_sign_1st_post_tap</ipxact:name> + <ipxact:displayName>pma_tx_buf_pre_emp_sign_1st_post_tap</ipxact:displayName> + <ipxact:value>fir_post_1t_neg</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_pre_emp_sign_2nd_post_tap" type="string"> + <ipxact:name>pma_tx_buf_pre_emp_sign_2nd_post_tap</ipxact:name> + <ipxact:displayName>pma_tx_buf_pre_emp_sign_2nd_post_tap</ipxact:displayName> + <ipxact:value>fir_post_2t_neg</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_pre_emp_sign_pre_tap_1t" type="string"> + <ipxact:name>pma_tx_buf_pre_emp_sign_pre_tap_1t</ipxact:name> + <ipxact:displayName>pma_tx_buf_pre_emp_sign_pre_tap_1t</ipxact:displayName> + <ipxact:value>fir_pre_1t_neg</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_pre_emp_sign_pre_tap_2t" type="string"> + <ipxact:name>pma_tx_buf_pre_emp_sign_pre_tap_2t</ipxact:name> + <ipxact:displayName>pma_tx_buf_pre_emp_sign_pre_tap_2t</ipxact:displayName> + <ipxact:value>fir_pre_2t_neg</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_pre_emp_switching_ctrl_1st_post_tap" type="int"> + <ipxact:name>pma_tx_buf_pre_emp_switching_ctrl_1st_post_tap</ipxact:name> + <ipxact:displayName>pma_tx_buf_pre_emp_switching_ctrl_1st_post_tap</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_pre_emp_switching_ctrl_2nd_post_tap" type="int"> + <ipxact:name>pma_tx_buf_pre_emp_switching_ctrl_2nd_post_tap</ipxact:name> + <ipxact:displayName>pma_tx_buf_pre_emp_switching_ctrl_2nd_post_tap</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_pre_emp_switching_ctrl_pre_tap_1t" type="int"> + <ipxact:name>pma_tx_buf_pre_emp_switching_ctrl_pre_tap_1t</ipxact:name> + <ipxact:displayName>pma_tx_buf_pre_emp_switching_ctrl_pre_tap_1t</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_pre_emp_switching_ctrl_pre_tap_2t" type="int"> + <ipxact:name>pma_tx_buf_pre_emp_switching_ctrl_pre_tap_2t</ipxact:name> + <ipxact:displayName>pma_tx_buf_pre_emp_switching_ctrl_pre_tap_2t</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_prot_mode" type="string"> + <ipxact:name>pma_tx_buf_prot_mode</ipxact:name> + <ipxact:displayName>pma_tx_buf_prot_mode</ipxact:displayName> + <ipxact:value>basic_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_rx_det" type="string"> + <ipxact:name>pma_tx_buf_rx_det</ipxact:name> + <ipxact:displayName>pma_tx_buf_rx_det</ipxact:displayName> + <ipxact:value>mode_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_rx_det_output_sel" type="string"> + <ipxact:name>pma_tx_buf_rx_det_output_sel</ipxact:name> + <ipxact:displayName>pma_tx_buf_rx_det_output_sel</ipxact:displayName> + <ipxact:value>rx_det_pcie_out</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_rx_det_pdb" type="string"> + <ipxact:name>pma_tx_buf_rx_det_pdb</ipxact:name> + <ipxact:displayName>pma_tx_buf_rx_det_pdb</ipxact:displayName> + <ipxact:value>rx_det_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_slew_rate_ctrl" type="string"> + <ipxact:name>pma_tx_buf_slew_rate_ctrl</ipxact:name> + <ipxact:displayName>pma_tx_buf_slew_rate_ctrl</ipxact:displayName> + <ipxact:value>slew_r5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_sup_mode" type="string"> + <ipxact:name>pma_tx_buf_sup_mode</ipxact:name> + <ipxact:displayName>pma_tx_buf_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_term_code" type="string"> + <ipxact:name>pma_tx_buf_term_code</ipxact:name> + <ipxact:displayName>pma_tx_buf_term_code</ipxact:displayName> + <ipxact:value>rterm_code7</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_term_sel" type="string"> + <ipxact:name>pma_tx_buf_term_sel</ipxact:name> + <ipxact:displayName>pma_tx_buf_term_sel</ipxact:displayName> + <ipxact:value>r_r1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_tx_powerdown" type="string"> + <ipxact:name>pma_tx_buf_tx_powerdown</ipxact:name> + <ipxact:displayName>pma_tx_buf_tx_powerdown</ipxact:displayName> + <ipxact:value>normal_tx_on</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_user_fir_coeff_ctrl_sel" type="string"> + <ipxact:name>pma_tx_buf_user_fir_coeff_ctrl_sel</ipxact:name> + <ipxact:displayName>pma_tx_buf_user_fir_coeff_ctrl_sel</ipxact:displayName> + <ipxact:value>ram_ctl</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_vod_output_swing_ctrl" type="int"> + <ipxact:name>pma_tx_buf_vod_output_swing_ctrl</ipxact:name> + <ipxact:displayName>pma_tx_buf_vod_output_swing_ctrl</ipxact:displayName> + <ipxact:value>31</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_initial_settings" type="string"> + <ipxact:name>pma_tx_buf_initial_settings</ipxact:name> + <ipxact:displayName>pma_tx_buf_initial_settings</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_duty_cycle_correction_reference2" type="string"> + <ipxact:name>pma_tx_buf_duty_cycle_correction_reference2</ipxact:name> + <ipxact:displayName>pma_tx_buf_duty_cycle_correction_reference2</ipxact:displayName> + <ipxact:value>dcc_ref2_3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_ser_powerdown" type="string"> + <ipxact:name>pma_tx_buf_ser_powerdown</ipxact:name> + <ipxact:displayName>pma_tx_buf_ser_powerdown</ipxact:displayName> + <ipxact:value>normal_ser_on</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_swing_level" type="string"> + <ipxact:name>pma_tx_buf_swing_level</ipxact:name> + <ipxact:displayName>pma_tx_buf_swing_level</ipxact:displayName> + <ipxact:value>lv</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_vreg_output" type="string"> + <ipxact:name>pma_tx_buf_vreg_output</ipxact:name> + <ipxact:displayName>pma_tx_buf_vreg_output</ipxact:displayName> + <ipxact:value>vccdreg_nominal</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_duty_cycle_correction_reference1" type="string"> + <ipxact:name>pma_tx_buf_duty_cycle_correction_reference1</ipxact:name> + <ipxact:displayName>pma_tx_buf_duty_cycle_correction_reference1</ipxact:displayName> + <ipxact:value>dcc_ref1_3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_duty_cycle_correction_reset_n" type="string"> + <ipxact:name>pma_tx_buf_duty_cycle_correction_reset_n</ipxact:name> + <ipxact:displayName>pma_tx_buf_duty_cycle_correction_reset_n</ipxact:displayName> + <ipxact:value>reset_n</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_res_cal_local" type="string"> + <ipxact:name>pma_tx_buf_res_cal_local</ipxact:name> + <ipxact:displayName>pma_tx_buf_res_cal_local</ipxact:displayName> + <ipxact:value>non_local</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_term_n_tune" type="string"> + <ipxact:name>pma_tx_buf_term_n_tune</ipxact:name> + <ipxact:displayName>pma_tx_buf_term_n_tune</ipxact:displayName> + <ipxact:value>rterm_n0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_cpen_ctrl" type="string"> + <ipxact:name>pma_tx_buf_cpen_ctrl</ipxact:name> + <ipxact:displayName>pma_tx_buf_cpen_ctrl</ipxact:displayName> + <ipxact:value>cp_l0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_term_p_tune" type="string"> + <ipxact:name>pma_tx_buf_term_p_tune</ipxact:name> + <ipxact:displayName>pma_tx_buf_term_p_tune</ipxact:displayName> + <ipxact:value>rterm_p0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_calibration_en" type="string"> + <ipxact:name>pma_tx_buf_calibration_en</ipxact:name> + <ipxact:displayName>pma_tx_buf_calibration_en</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_low_power_en" type="string"> + <ipxact:name>pma_tx_buf_low_power_en</ipxact:name> + <ipxact:displayName>pma_tx_buf_low_power_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_compensation_en" type="string"> + <ipxact:name>pma_tx_buf_compensation_en</ipxact:name> + <ipxact:displayName>pma_tx_buf_compensation_en</ipxact:displayName> + <ipxact:value>enable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_dcd_detection_en" type="string"> + <ipxact:name>pma_tx_buf_dcd_detection_en</ipxact:name> + <ipxact:displayName>pma_tx_buf_dcd_detection_en</ipxact:displayName> + <ipxact:value>enable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_uc_txvod_cal" type="string"> + <ipxact:name>pma_tx_buf_uc_txvod_cal</ipxact:name> + <ipxact:displayName>pma_tx_buf_uc_txvod_cal</ipxact:displayName> + <ipxact:value>uc_tx_vod_cal_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_uc_txvod_cal_cont" type="string"> + <ipxact:name>pma_tx_buf_uc_txvod_cal_cont</ipxact:name> + <ipxact:displayName>pma_tx_buf_uc_txvod_cal_cont</ipxact:displayName> + <ipxact:value>uc_tx_vod_cal_cont_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_uc_skew_cal" type="string"> + <ipxact:name>pma_tx_buf_uc_skew_cal</ipxact:name> + <ipxact:displayName>pma_tx_buf_uc_skew_cal</ipxact:displayName> + <ipxact:value>uc_skew_cal_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_uc_dcd_cal" type="string"> + <ipxact:name>pma_tx_buf_uc_dcd_cal</ipxact:name> + <ipxact:displayName>pma_tx_buf_uc_dcd_cal</ipxact:displayName> + <ipxact:value>uc_dcd_cal_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_uc_txvod_cal_status" type="string"> + <ipxact:name>pma_tx_buf_uc_txvod_cal_status</ipxact:name> + <ipxact:displayName>pma_tx_buf_uc_txvod_cal_status</ipxact:displayName> + <ipxact:value>uc_tx_vod_cal_notdone</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_uc_skew_cal_status" type="string"> + <ipxact:name>pma_tx_buf_uc_skew_cal_status</ipxact:name> + <ipxact:displayName>pma_tx_buf_uc_skew_cal_status</ipxact:displayName> + <ipxact:value>uc_skew_cal_notdone</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_uc_dcd_cal_status" type="string"> + <ipxact:name>pma_tx_buf_uc_dcd_cal_status</ipxact:name> + <ipxact:displayName>pma_tx_buf_uc_dcd_cal_status</ipxact:displayName> + <ipxact:value>uc_dcd_cal_notdone</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_uc_gen3" type="string"> + <ipxact:name>pma_tx_buf_uc_gen3</ipxact:name> + <ipxact:displayName>pma_tx_buf_uc_gen3</ipxact:displayName> + <ipxact:value>gen3_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_uc_gen4" type="string"> + <ipxact:name>pma_tx_buf_uc_gen4</ipxact:name> + <ipxact:displayName>pma_tx_buf_uc_gen4</ipxact:displayName> + <ipxact:value>gen4_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_uc_vcc_setting" type="string"> + <ipxact:name>pma_tx_buf_uc_vcc_setting</ipxact:name> + <ipxact:displayName>pma_tx_buf_uc_vcc_setting</ipxact:displayName> + <ipxact:value>vcc_setting1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_mcgb_location_for_pcie" type="int"> + <ipxact:name>pma_tx_buf_mcgb_location_for_pcie</ipxact:name> + <ipxact:displayName>pma_tx_buf_mcgb_location_for_pcie</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_xtx_path_prot_mode" type="string"> + <ipxact:name>pma_tx_buf_xtx_path_prot_mode</ipxact:name> + <ipxact:displayName>pma_tx_buf_xtx_path_prot_mode</ipxact:displayName> + <ipxact:value>basic_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_xtx_path_optimal" type="string"> + <ipxact:name>pma_tx_buf_xtx_path_optimal</ipxact:name> + <ipxact:displayName>pma_tx_buf_xtx_path_optimal</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_xtx_path_datarate" type="string"> + <ipxact:name>pma_tx_buf_xtx_path_datarate</ipxact:name> + <ipxact:displayName>pma_tx_buf_xtx_path_datarate</ipxact:displayName> + <ipxact:value>10312500000 bps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_xtx_path_datawidth" type="int"> + <ipxact:name>pma_tx_buf_xtx_path_datawidth</ipxact:name> + <ipxact:displayName>pma_tx_buf_xtx_path_datawidth</ipxact:displayName> + <ipxact:value>32</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_xtx_path_clock_divider_ratio" type="int"> + <ipxact:name>pma_tx_buf_xtx_path_clock_divider_ratio</ipxact:name> + <ipxact:displayName>pma_tx_buf_xtx_path_clock_divider_ratio</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_xtx_path_pma_tx_divclk_hz" type="string"> + <ipxact:name>pma_tx_buf_xtx_path_pma_tx_divclk_hz</ipxact:name> + <ipxact:displayName>pma_tx_buf_xtx_path_pma_tx_divclk_hz</ipxact:displayName> + <ipxact:value>322265625</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_xtx_path_tx_pll_clk_hz" type="string"> + <ipxact:name>pma_tx_buf_xtx_path_tx_pll_clk_hz</ipxact:name> + <ipxact:displayName>pma_tx_buf_xtx_path_tx_pll_clk_hz</ipxact:displayName> + <ipxact:value>5156250000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_link" type="string"> + <ipxact:name>pma_tx_buf_link</ipxact:name> + <ipxact:displayName>pma_tx_buf_link</ipxact:displayName> + <ipxact:value>sr</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_xtx_path_swing_level" type="string"> + <ipxact:name>pma_tx_buf_xtx_path_swing_level</ipxact:name> + <ipxact:displayName>pma_tx_buf_xtx_path_swing_level</ipxact:displayName> + <ipxact:value>lv</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_xtx_path_sup_mode" type="string"> + <ipxact:name>pma_tx_buf_xtx_path_sup_mode</ipxact:name> + <ipxact:displayName>pma_tx_buf_xtx_path_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_xtx_path_initial_settings" type="string"> + <ipxact:name>pma_tx_buf_xtx_path_initial_settings</ipxact:name> + <ipxact:displayName>pma_tx_buf_xtx_path_initial_settings</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_xtx_path_calibration_en" type="string"> + <ipxact:name>pma_tx_buf_xtx_path_calibration_en</ipxact:name> + <ipxact:displayName>pma_tx_buf_xtx_path_calibration_en</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_xtx_path_bonding_mode" type="string"> + <ipxact:name>pma_tx_buf_xtx_path_bonding_mode</ipxact:name> + <ipxact:displayName>pma_tx_buf_xtx_path_bonding_mode</ipxact:displayName> + <ipxact:value>x1_non_bonded</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_pm_speed_grade" type="string"> + <ipxact:name>pma_tx_buf_pm_speed_grade</ipxact:name> + <ipxact:displayName>pma_tx_buf_pm_speed_grade</ipxact:displayName> + <ipxact:value>e3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_power_mode" type="string"> + <ipxact:name>pma_tx_buf_power_mode</ipxact:name> + <ipxact:displayName>pma_tx_buf_power_mode</ipxact:displayName> + <ipxact:value>mid_power</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_power_rail_et" type="int"> + <ipxact:name>pma_tx_buf_power_rail_et</ipxact:name> + <ipxact:displayName>pma_tx_buf_power_rail_et</ipxact:displayName> + <ipxact:value>1030</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_power_rail_eht" type="int"> + <ipxact:name>pma_tx_buf_power_rail_eht</ipxact:name> + <ipxact:displayName>pma_tx_buf_power_rail_eht</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_xtx_path_gt_enabled" type="string"> + <ipxact:name>pma_tx_buf_xtx_path_gt_enabled</ipxact:name> + <ipxact:displayName>pma_tx_buf_xtx_path_gt_enabled</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_xtx_path_analog_mode" type="string"> + <ipxact:name>pma_tx_buf_xtx_path_analog_mode</ipxact:name> + <ipxact:displayName>pma_tx_buf_xtx_path_analog_mode</ipxact:displayName> + <ipxact:value>user_custom</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_compensation_driver_en" type="string"> + <ipxact:name>pma_tx_buf_compensation_driver_en</ipxact:name> + <ipxact:displayName>pma_tx_buf_compensation_driver_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_sense_amp_offset_cal_curr_p" type="int"> + <ipxact:name>pma_tx_buf_sense_amp_offset_cal_curr_p</ipxact:name> + <ipxact:displayName>pma_tx_buf_sense_amp_offset_cal_curr_p</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_chgpmp_current_dn_trim" type="string"> + <ipxact:name>pma_tx_buf_chgpmp_current_dn_trim</ipxact:name> + <ipxact:displayName>pma_tx_buf_chgpmp_current_dn_trim</ipxact:displayName> + <ipxact:value>cp_current_trimming_dn_setting0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_duty_cycle_correction_bandwidth_dn" type="string"> + <ipxact:name>pma_tx_buf_duty_cycle_correction_bandwidth_dn</ipxact:name> + <ipxact:displayName>pma_tx_buf_duty_cycle_correction_bandwidth_dn</ipxact:displayName> + <ipxact:value>dcd_bw_dn_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_sense_amp_offset_cal_curr_n" type="string"> + <ipxact:name>pma_tx_buf_sense_amp_offset_cal_curr_n</ipxact:name> + <ipxact:displayName>pma_tx_buf_sense_amp_offset_cal_curr_n</ipxact:displayName> + <ipxact:value>sa_os_cal_in_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_chgpmp_current_up_trim" type="string"> + <ipxact:name>pma_tx_buf_chgpmp_current_up_trim</ipxact:name> + <ipxact:displayName>pma_tx_buf_chgpmp_current_up_trim</ipxact:displayName> + <ipxact:value>cp_current_trimming_up_setting0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_chgpmp_up_trim_double" type="string"> + <ipxact:name>pma_tx_buf_chgpmp_up_trim_double</ipxact:name> + <ipxact:displayName>pma_tx_buf_chgpmp_up_trim_double</ipxact:displayName> + <ipxact:value>normal_up_trim_current</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_duty_cycle_cp_comp_en" type="string"> + <ipxact:name>pma_tx_buf_duty_cycle_cp_comp_en</ipxact:name> + <ipxact:displayName>pma_tx_buf_duty_cycle_cp_comp_en</ipxact:displayName> + <ipxact:value>cp_comp_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_dcd_clk_div_ctrl" type="string"> + <ipxact:name>pma_tx_buf_dcd_clk_div_ctrl</ipxact:name> + <ipxact:displayName>pma_tx_buf_dcd_clk_div_ctrl</ipxact:displayName> + <ipxact:value>dcd_ck_div128</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_duty_cycle_detector_sa_cal" type="string"> + <ipxact:name>pma_tx_buf_duty_cycle_detector_sa_cal</ipxact:name> + <ipxact:displayName>pma_tx_buf_duty_cycle_detector_sa_cal</ipxact:displayName> + <ipxact:value>dcd_sa_cal_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_duty_cycle_detector_cp_cal" type="string"> + <ipxact:name>pma_tx_buf_duty_cycle_detector_cp_cal</ipxact:name> + <ipxact:displayName>pma_tx_buf_duty_cycle_detector_cp_cal</ipxact:displayName> + <ipxact:value>dcd_cp_cal_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_tri_driver" type="string"> + <ipxact:name>pma_tx_buf_tri_driver</ipxact:name> + <ipxact:displayName>pma_tx_buf_tri_driver</ipxact:displayName> + <ipxact:value>tri_driver_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_cdr_cp_calibration_en" type="string"> + <ipxact:name>pma_tx_buf_cdr_cp_calibration_en</ipxact:name> + <ipxact:displayName>pma_tx_buf_cdr_cp_calibration_en</ipxact:displayName> + <ipxact:value>cdr_cp_cal_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_chgpmp_dn_trim_double" type="string"> + <ipxact:name>pma_tx_buf_chgpmp_dn_trim_double</ipxact:name> + <ipxact:displayName>pma_tx_buf_chgpmp_dn_trim_double</ipxact:displayName> + <ipxact:value>normal_dn_trim_current</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_calibration_resistor_value" type="string"> + <ipxact:name>pma_tx_buf_calibration_resistor_value</ipxact:name> + <ipxact:displayName>pma_tx_buf_calibration_resistor_value</ipxact:displayName> + <ipxact:value>res_setting0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_tx_buf_enable_idle_tx_channel_support" type="string"> + <ipxact:name>pma_tx_buf_enable_idle_tx_channel_support</ipxact:name> + <ipxact:displayName>pma_tx_buf_enable_idle_tx_channel_support</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_silicon_rev" type="string"> + <ipxact:name>cdr_pll_silicon_rev</ipxact:name> + <ipxact:displayName>cdr_pll_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_pma_width" type="int"> + <ipxact:name>cdr_pll_pma_width</ipxact:name> + <ipxact:displayName>cdr_pll_pma_width</ipxact:displayName> + <ipxact:value>32</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_cgb_div" type="int"> + <ipxact:name>cdr_pll_cgb_div</ipxact:name> + <ipxact:displayName>cdr_pll_cgb_div</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_is_cascaded_pll" type="string"> + <ipxact:name>cdr_pll_is_cascaded_pll</ipxact:name> + <ipxact:displayName>cdr_pll_is_cascaded_pll</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_bandwidth_range_high" type="string"> + <ipxact:name>cdr_pll_bandwidth_range_high</ipxact:name> + <ipxact:displayName>cdr_pll_bandwidth_range_high</ipxact:displayName> + <ipxact:value>0 hz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_bandwidth_range_low" type="string"> + <ipxact:name>cdr_pll_bandwidth_range_low</ipxact:name> + <ipxact:displayName>cdr_pll_bandwidth_range_low</ipxact:displayName> + <ipxact:value>0 hz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_datarate" type="string"> + <ipxact:name>cdr_pll_datarate</ipxact:name> + <ipxact:displayName>cdr_pll_datarate</ipxact:displayName> + <ipxact:value>10312500000 bps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_f_max_pfd" type="string"> + <ipxact:name>cdr_pll_f_max_pfd</ipxact:name> + <ipxact:displayName>cdr_pll_f_max_pfd</ipxact:displayName> + <ipxact:value>350000000 Hz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_f_max_ref" type="string"> + <ipxact:name>cdr_pll_f_max_ref</ipxact:name> + <ipxact:displayName>cdr_pll_f_max_ref</ipxact:displayName> + <ipxact:value>800000000 Hz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_f_max_vco" type="string"> + <ipxact:name>cdr_pll_f_max_vco</ipxact:name> + <ipxact:displayName>cdr_pll_f_max_vco</ipxact:displayName> + <ipxact:value>9800000000 Hz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_f_min_gt_channel" type="string"> + <ipxact:name>cdr_pll_f_min_gt_channel</ipxact:name> + <ipxact:displayName>cdr_pll_f_min_gt_channel</ipxact:displayName> + <ipxact:value>8700000000 Hz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_f_min_pfd" type="string"> + <ipxact:name>cdr_pll_f_min_pfd</ipxact:name> + <ipxact:displayName>cdr_pll_f_min_pfd</ipxact:displayName> + <ipxact:value>50000000 Hz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_f_min_ref" type="string"> + <ipxact:name>cdr_pll_f_min_ref</ipxact:name> + <ipxact:displayName>cdr_pll_f_min_ref</ipxact:displayName> + <ipxact:value>50000000 Hz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_f_min_vco" type="string"> + <ipxact:name>cdr_pll_f_min_vco</ipxact:name> + <ipxact:displayName>cdr_pll_f_min_vco</ipxact:displayName> + <ipxact:value>4900000000 Hz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_lpd_counter" type="int"> + <ipxact:name>cdr_pll_lpd_counter</ipxact:name> + <ipxact:displayName>cdr_pll_lpd_counter</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_lpfd_counter" type="int"> + <ipxact:name>cdr_pll_lpfd_counter</ipxact:name> + <ipxact:displayName>cdr_pll_lpfd_counter</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_n_counter_scratch" type="int"> + <ipxact:name>cdr_pll_n_counter_scratch</ipxact:name> + <ipxact:displayName>cdr_pll_n_counter_scratch</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_output_clock_frequency" type="string"> + <ipxact:name>cdr_pll_output_clock_frequency</ipxact:name> + <ipxact:displayName>cdr_pll_output_clock_frequency</ipxact:displayName> + <ipxact:value>5156250000 Hz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_reference_clock_frequency" type="string"> + <ipxact:name>cdr_pll_reference_clock_frequency</ipxact:name> + <ipxact:displayName>cdr_pll_reference_clock_frequency</ipxact:displayName> + <ipxact:value>644531250 hz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_set_cdr_vco_speed" type="int"> + <ipxact:name>cdr_pll_set_cdr_vco_speed</ipxact:name> + <ipxact:displayName>cdr_pll_set_cdr_vco_speed</ipxact:displayName> + <ipxact:value>3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_set_cdr_vco_speed_fix" type="int"> + <ipxact:name>cdr_pll_set_cdr_vco_speed_fix</ipxact:name> + <ipxact:displayName>cdr_pll_set_cdr_vco_speed_fix</ipxact:displayName> + <ipxact:value>60</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_vco_freq" type="string"> + <ipxact:name>cdr_pll_vco_freq</ipxact:name> + <ipxact:displayName>cdr_pll_vco_freq</ipxact:displayName> + <ipxact:value>5156250000 Hz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_atb_select_control" type="string"> + <ipxact:name>cdr_pll_atb_select_control</ipxact:name> + <ipxact:displayName>cdr_pll_atb_select_control</ipxact:displayName> + <ipxact:value>atb_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_auto_reset_on" type="string"> + <ipxact:name>cdr_pll_auto_reset_on</ipxact:name> + <ipxact:displayName>cdr_pll_auto_reset_on</ipxact:displayName> + <ipxact:value>auto_reset_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_bbpd_data_pattern_filter_select" type="string"> + <ipxact:name>cdr_pll_bbpd_data_pattern_filter_select</ipxact:name> + <ipxact:displayName>cdr_pll_bbpd_data_pattern_filter_select</ipxact:displayName> + <ipxact:value>bbpd_data_pat_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_bw_sel" type="string"> + <ipxact:name>cdr_pll_bw_sel</ipxact:name> + <ipxact:displayName>cdr_pll_bw_sel</ipxact:displayName> + <ipxact:value>medium</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_cdr_odi_select" type="string"> + <ipxact:name>cdr_pll_cdr_odi_select</ipxact:name> + <ipxact:displayName>cdr_pll_cdr_odi_select</ipxact:displayName> + <ipxact:value>sel_cdr</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_cdr_phaselock_mode" type="string"> + <ipxact:name>cdr_pll_cdr_phaselock_mode</ipxact:name> + <ipxact:displayName>cdr_pll_cdr_phaselock_mode</ipxact:displayName> + <ipxact:value>no_ignore_lock</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_cdr_powerdown_mode" type="string"> + <ipxact:name>cdr_pll_cdr_powerdown_mode</ipxact:name> + <ipxact:displayName>cdr_pll_cdr_powerdown_mode</ipxact:displayName> + <ipxact:value>power_up</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_chgpmp_current_pd" type="string"> + <ipxact:name>cdr_pll_chgpmp_current_pd</ipxact:name> + <ipxact:displayName>cdr_pll_chgpmp_current_pd</ipxact:displayName> + <ipxact:value>cp_current_pd_setting0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_chgpmp_current_pfd" type="string"> + <ipxact:name>cdr_pll_chgpmp_current_pfd</ipxact:name> + <ipxact:displayName>cdr_pll_chgpmp_current_pfd</ipxact:displayName> + <ipxact:value>cp_current_pfd_setting2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_chgpmp_replicate" type="string"> + <ipxact:name>cdr_pll_chgpmp_replicate</ipxact:name> + <ipxact:displayName>cdr_pll_chgpmp_replicate</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_chgpmp_testmode" type="string"> + <ipxact:name>cdr_pll_chgpmp_testmode</ipxact:name> + <ipxact:displayName>cdr_pll_chgpmp_testmode</ipxact:displayName> + <ipxact:value>cp_test_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_clklow_mux_select" type="string"> + <ipxact:name>cdr_pll_clklow_mux_select</ipxact:name> + <ipxact:displayName>cdr_pll_clklow_mux_select</ipxact:displayName> + <ipxact:value>clklow_mux_cdr_fbclk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_diag_loopback_enable" type="string"> + <ipxact:name>cdr_pll_diag_loopback_enable</ipxact:name> + <ipxact:displayName>cdr_pll_diag_loopback_enable</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_disable_up_dn" type="string"> + <ipxact:name>cdr_pll_disable_up_dn</ipxact:name> + <ipxact:displayName>cdr_pll_disable_up_dn</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_fref_clklow_div" type="int"> + <ipxact:name>cdr_pll_fref_clklow_div</ipxact:name> + <ipxact:displayName>cdr_pll_fref_clklow_div</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_fref_mux_select" type="string"> + <ipxact:name>cdr_pll_fref_mux_select</ipxact:name> + <ipxact:displayName>cdr_pll_fref_mux_select</ipxact:displayName> + <ipxact:value>fref_mux_cdr_refclk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_gpon_lck2ref_control" type="string"> + <ipxact:name>cdr_pll_gpon_lck2ref_control</ipxact:name> + <ipxact:displayName>cdr_pll_gpon_lck2ref_control</ipxact:displayName> + <ipxact:value>gpon_lck2ref_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_initial_settings" type="string"> + <ipxact:name>cdr_pll_initial_settings</ipxact:name> + <ipxact:displayName>cdr_pll_initial_settings</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_lck2ref_delay_control" type="string"> + <ipxact:name>cdr_pll_lck2ref_delay_control</ipxact:name> + <ipxact:displayName>cdr_pll_lck2ref_delay_control</ipxact:displayName> + <ipxact:value>lck2ref_delay_2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_lf_resistor_pd" type="string"> + <ipxact:name>cdr_pll_lf_resistor_pd</ipxact:name> + <ipxact:displayName>cdr_pll_lf_resistor_pd</ipxact:displayName> + <ipxact:value>lf_pd_setting2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_lf_resistor_pfd" type="string"> + <ipxact:name>cdr_pll_lf_resistor_pfd</ipxact:name> + <ipxact:displayName>cdr_pll_lf_resistor_pfd</ipxact:displayName> + <ipxact:value>lf_pfd_setting2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_lf_ripple_cap" type="string"> + <ipxact:name>cdr_pll_lf_ripple_cap</ipxact:name> + <ipxact:displayName>cdr_pll_lf_ripple_cap</ipxact:displayName> + <ipxact:value>lf_no_ripple</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_loop_filter_bias_select" type="string"> + <ipxact:name>cdr_pll_loop_filter_bias_select</ipxact:name> + <ipxact:displayName>cdr_pll_loop_filter_bias_select</ipxact:displayName> + <ipxact:value>lpflt_bias_7</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_loopback_mode" type="string"> + <ipxact:name>cdr_pll_loopback_mode</ipxact:name> + <ipxact:displayName>cdr_pll_loopback_mode</ipxact:displayName> + <ipxact:value>loopback_disabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_ltd_ltr_micro_controller_select" type="string"> + <ipxact:name>cdr_pll_ltd_ltr_micro_controller_select</ipxact:name> + <ipxact:displayName>cdr_pll_ltd_ltr_micro_controller_select</ipxact:displayName> + <ipxact:value>ltd_ltr_pcs</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_m_counter" type="int"> + <ipxact:name>cdr_pll_m_counter</ipxact:name> + <ipxact:displayName>cdr_pll_m_counter</ipxact:displayName> + <ipxact:value>16</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_n_counter" type="int"> + <ipxact:name>cdr_pll_n_counter</ipxact:name> + <ipxact:displayName>cdr_pll_n_counter</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_optimal" type="string"> + <ipxact:name>cdr_pll_optimal</ipxact:name> + <ipxact:displayName>cdr_pll_optimal</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_pd_fastlock_mode" type="string"> + <ipxact:name>cdr_pll_pd_fastlock_mode</ipxact:name> + <ipxact:displayName>cdr_pll_pd_fastlock_mode</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_pd_l_counter" type="int"> + <ipxact:name>cdr_pll_pd_l_counter</ipxact:name> + <ipxact:displayName>cdr_pll_pd_l_counter</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_pfd_l_counter" type="int"> + <ipxact:name>cdr_pll_pfd_l_counter</ipxact:name> + <ipxact:displayName>cdr_pll_pfd_l_counter</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_position" type="string"> + <ipxact:name>cdr_pll_position</ipxact:name> + <ipxact:displayName>cdr_pll_position</ipxact:displayName> + <ipxact:value>position_unknown</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_power_mode" type="string"> + <ipxact:name>cdr_pll_power_mode</ipxact:name> + <ipxact:displayName>cdr_pll_power_mode</ipxact:displayName> + <ipxact:value>mid_power</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_primary_use" type="string"> + <ipxact:name>cdr_pll_primary_use</ipxact:name> + <ipxact:displayName>cdr_pll_primary_use</ipxact:displayName> + <ipxact:value>cdr</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_prot_mode" type="string"> + <ipxact:name>cdr_pll_prot_mode</ipxact:name> + <ipxact:displayName>cdr_pll_prot_mode</ipxact:displayName> + <ipxact:value>basic_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_requires_gt_capable_channel" type="string"> + <ipxact:name>cdr_pll_requires_gt_capable_channel</ipxact:name> + <ipxact:displayName>cdr_pll_requires_gt_capable_channel</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_reverse_serial_loopback" type="string"> + <ipxact:name>cdr_pll_reverse_serial_loopback</ipxact:name> + <ipxact:displayName>cdr_pll_reverse_serial_loopback</ipxact:displayName> + <ipxact:value>no_loopback</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_set_cdr_v2i_enable" type="string"> + <ipxact:name>cdr_pll_set_cdr_v2i_enable</ipxact:name> + <ipxact:displayName>cdr_pll_set_cdr_v2i_enable</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_set_cdr_vco_reset" type="string"> + <ipxact:name>cdr_pll_set_cdr_vco_reset</ipxact:name> + <ipxact:displayName>cdr_pll_set_cdr_vco_reset</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_set_cdr_vco_speed_pciegen3" type="string"> + <ipxact:name>cdr_pll_set_cdr_vco_speed_pciegen3</ipxact:name> + <ipxact:displayName>cdr_pll_set_cdr_vco_speed_pciegen3</ipxact:displayName> + <ipxact:value>cdr_vco_max_speedbin_pciegen3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_side" type="string"> + <ipxact:name>cdr_pll_side</ipxact:name> + <ipxact:displayName>cdr_pll_side</ipxact:displayName> + <ipxact:value>side_unknown</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_pm_speed_grade" type="string"> + <ipxact:name>cdr_pll_pm_speed_grade</ipxact:name> + <ipxact:displayName>cdr_pll_pm_speed_grade</ipxact:displayName> + <ipxact:value>e3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_sup_mode" type="string"> + <ipxact:name>cdr_pll_sup_mode</ipxact:name> + <ipxact:displayName>cdr_pll_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_top_or_bottom" type="string"> + <ipxact:name>cdr_pll_top_or_bottom</ipxact:name> + <ipxact:displayName>cdr_pll_top_or_bottom</ipxact:displayName> + <ipxact:value>tb_unknown</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_tx_pll_prot_mode" type="string"> + <ipxact:name>cdr_pll_tx_pll_prot_mode</ipxact:name> + <ipxact:displayName>cdr_pll_tx_pll_prot_mode</ipxact:displayName> + <ipxact:value>txpll_unused</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_txpll_hclk_driver_enable" type="string"> + <ipxact:name>cdr_pll_txpll_hclk_driver_enable</ipxact:name> + <ipxact:displayName>cdr_pll_txpll_hclk_driver_enable</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_vco_overrange_voltage" type="string"> + <ipxact:name>cdr_pll_vco_overrange_voltage</ipxact:name> + <ipxact:displayName>cdr_pll_vco_overrange_voltage</ipxact:displayName> + <ipxact:value>vco_overrange_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_vco_underrange_voltage" type="string"> + <ipxact:name>cdr_pll_vco_underrange_voltage</ipxact:name> + <ipxact:displayName>cdr_pll_vco_underrange_voltage</ipxact:displayName> + <ipxact:value>vco_underange_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_fb_select" type="string"> + <ipxact:name>cdr_pll_fb_select</ipxact:name> + <ipxact:displayName>cdr_pll_fb_select</ipxact:displayName> + <ipxact:value>direct_fb</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_uc_ro_cal" type="string"> + <ipxact:name>cdr_pll_uc_ro_cal</ipxact:name> + <ipxact:displayName>cdr_pll_uc_ro_cal</ipxact:displayName> + <ipxact:value>uc_ro_cal_on</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_uc_ro_cal_status" type="string"> + <ipxact:name>cdr_pll_uc_ro_cal_status</ipxact:name> + <ipxact:displayName>cdr_pll_uc_ro_cal_status</ipxact:displayName> + <ipxact:value>uc_ro_cal_notdone</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_iqclk_mux_sel" type="string"> + <ipxact:name>cdr_pll_iqclk_mux_sel</ipxact:name> + <ipxact:displayName>cdr_pll_iqclk_mux_sel</ipxact:displayName> + <ipxact:value>power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_uc_cru_rstb" type="string"> + <ipxact:name>cdr_pll_uc_cru_rstb</ipxact:name> + <ipxact:displayName>cdr_pll_uc_cru_rstb</ipxact:displayName> + <ipxact:value>cdr_lf_reset_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_pcie_gen" type="string"> + <ipxact:name>cdr_pll_pcie_gen</ipxact:name> + <ipxact:displayName>cdr_pll_pcie_gen</ipxact:displayName> + <ipxact:value>non_pcie</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_analog_mode" type="string"> + <ipxact:name>cdr_pll_analog_mode</ipxact:name> + <ipxact:displayName>cdr_pll_analog_mode</ipxact:displayName> + <ipxact:value>user_custom</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_f_max_m_counter" type="string"> + <ipxact:name>cdr_pll_f_max_m_counter</ipxact:name> + <ipxact:displayName>cdr_pll_f_max_m_counter</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_chgpmp_vccreg" type="string"> + <ipxact:name>cdr_pll_chgpmp_vccreg</ipxact:name> + <ipxact:displayName>cdr_pll_chgpmp_vccreg</ipxact:displayName> + <ipxact:value>vreg_fw0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_set_cdr_input_freq_range" type="int"> + <ipxact:name>cdr_pll_set_cdr_input_freq_range</ipxact:name> + <ipxact:displayName>cdr_pll_set_cdr_input_freq_range</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_chgpmp_current_dn_trim" type="string"> + <ipxact:name>cdr_pll_chgpmp_current_dn_trim</ipxact:name> + <ipxact:displayName>cdr_pll_chgpmp_current_dn_trim</ipxact:displayName> + <ipxact:value>cp_current_trimming_dn_setting0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_chgpmp_up_pd_trim_double" type="string"> + <ipxact:name>cdr_pll_chgpmp_up_pd_trim_double</ipxact:name> + <ipxact:displayName>cdr_pll_chgpmp_up_pd_trim_double</ipxact:displayName> + <ipxact:value>normal_up_trim_current</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_chgpmp_current_up_pd" type="string"> + <ipxact:name>cdr_pll_chgpmp_current_up_pd</ipxact:name> + <ipxact:displayName>cdr_pll_chgpmp_current_up_pd</ipxact:displayName> + <ipxact:value>cp_current_pd_up_setting3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_f_max_cmu_out_freq" type="string"> + <ipxact:name>cdr_pll_f_max_cmu_out_freq</ipxact:name> + <ipxact:displayName>cdr_pll_f_max_cmu_out_freq</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_chgpmp_current_up_trim" type="string"> + <ipxact:name>cdr_pll_chgpmp_current_up_trim</ipxact:name> + <ipxact:displayName>cdr_pll_chgpmp_current_up_trim</ipxact:displayName> + <ipxact:value>cp_current_trimming_up_setting0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_chgpmp_dn_pd_trim_double" type="string"> + <ipxact:name>cdr_pll_chgpmp_dn_pd_trim_double</ipxact:name> + <ipxact:displayName>cdr_pll_chgpmp_dn_pd_trim_double</ipxact:displayName> + <ipxact:value>normal_dn_trim_current</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_cal_vco_count_length" type="string"> + <ipxact:name>cdr_pll_cal_vco_count_length</ipxact:name> + <ipxact:displayName>cdr_pll_cal_vco_count_length</ipxact:displayName> + <ipxact:value>sel_8b_count</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_chgpmp_current_dn_pd" type="string"> + <ipxact:name>cdr_pll_chgpmp_current_dn_pd</ipxact:name> + <ipxact:displayName>cdr_pll_chgpmp_current_dn_pd</ipxact:displayName> + <ipxact:value>cp_current_pd_dn_setting3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cdr_pll_enable_idle_rx_channel_support" type="string"> + <ipxact:name>cdr_pll_enable_idle_rx_channel_support</ipxact:name> + <ipxact:displayName>cdr_pll_enable_idle_rx_channel_support</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_deser_silicon_rev" type="string"> + <ipxact:name>pma_rx_deser_silicon_rev</ipxact:name> + <ipxact:displayName>pma_rx_deser_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_deser_clkdiv_source" type="string"> + <ipxact:name>pma_rx_deser_clkdiv_source</ipxact:name> + <ipxact:displayName>pma_rx_deser_clkdiv_source</ipxact:displayName> + <ipxact:value>vco_bypass_normal</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_deser_clkdivrx_user_mode" type="string"> + <ipxact:name>pma_rx_deser_clkdivrx_user_mode</ipxact:name> + <ipxact:displayName>pma_rx_deser_clkdivrx_user_mode</ipxact:displayName> + <ipxact:value>clkdivrx_user_disabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_deser_datarate" type="string"> + <ipxact:name>pma_rx_deser_datarate</ipxact:name> + <ipxact:displayName>pma_rx_deser_datarate</ipxact:displayName> + <ipxact:value>10312500000 bps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_deser_deser_factor" type="int"> + <ipxact:name>pma_rx_deser_deser_factor</ipxact:name> + <ipxact:displayName>pma_rx_deser_deser_factor</ipxact:displayName> + <ipxact:value>32</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_deser_deser_powerdown" type="string"> + <ipxact:name>pma_rx_deser_deser_powerdown</ipxact:name> + <ipxact:displayName>pma_rx_deser_deser_powerdown</ipxact:displayName> + <ipxact:value>deser_power_up</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_deser_force_adaptation_outputs" type="string"> + <ipxact:name>pma_rx_deser_force_adaptation_outputs</ipxact:name> + <ipxact:displayName>pma_rx_deser_force_adaptation_outputs</ipxact:displayName> + <ipxact:value>normal_outputs</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_deser_force_clkdiv_for_testing" type="string"> + <ipxact:name>pma_rx_deser_force_clkdiv_for_testing</ipxact:name> + <ipxact:displayName>pma_rx_deser_force_clkdiv_for_testing</ipxact:displayName> + <ipxact:value>normal_clkdiv</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_deser_optimal" type="string"> + <ipxact:name>pma_rx_deser_optimal</ipxact:name> + <ipxact:displayName>pma_rx_deser_optimal</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_deser_sdclk_enable" type="string"> + <ipxact:name>pma_rx_deser_sdclk_enable</ipxact:name> + <ipxact:displayName>pma_rx_deser_sdclk_enable</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_deser_sup_mode" type="string"> + <ipxact:name>pma_rx_deser_sup_mode</ipxact:name> + <ipxact:displayName>pma_rx_deser_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_deser_rst_n_adapt_odi" type="string"> + <ipxact:name>pma_rx_deser_rst_n_adapt_odi</ipxact:name> + <ipxact:displayName>pma_rx_deser_rst_n_adapt_odi</ipxact:displayName> + <ipxact:value>no_rst_adapt_odi</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_deser_bitslip_bypass" type="string"> + <ipxact:name>pma_rx_deser_bitslip_bypass</ipxact:name> + <ipxact:displayName>pma_rx_deser_bitslip_bypass</ipxact:displayName> + <ipxact:value>bs_bypass_yes</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_deser_prot_mode" type="string"> + <ipxact:name>pma_rx_deser_prot_mode</ipxact:name> + <ipxact:displayName>pma_rx_deser_prot_mode</ipxact:displayName> + <ipxact:value>basic_rx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_deser_pcie_gen" type="string"> + <ipxact:name>pma_rx_deser_pcie_gen</ipxact:name> + <ipxact:displayName>pma_rx_deser_pcie_gen</ipxact:displayName> + <ipxact:value>non_pcie</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_deser_pcie_gen_bitwidth" type="string"> + <ipxact:name>pma_rx_deser_pcie_gen_bitwidth</ipxact:name> + <ipxact:displayName>pma_rx_deser_pcie_gen_bitwidth</ipxact:displayName> + <ipxact:value>pcie_gen3_32b</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_rx_deser_tdr_mode" type="string"> + <ipxact:name>pma_rx_deser_tdr_mode</ipxact:name> + <ipxact:displayName>pma_rx_deser_tdr_mode</ipxact:displayName> + <ipxact:value>select_bbpd_data</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="data_rate_bps" type="string"> + <ipxact:name>data_rate_bps</ipxact:name> + <ipxact:displayName>data_rate_bps</ipxact:displayName> + <ipxact:value>10312500000 bps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_protocol_mode" type="string"> + <ipxact:name>l_protocol_mode</ipxact:name> + <ipxact:displayName>l_protocol_mode</ipxact:displayName> + <ipxact:value>teng_baser_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pcs_speedgrade" type="string"> + <ipxact:name>pcs_speedgrade</ipxact:name> + <ipxact:displayName>pcs_speedgrade</ipxact:displayName> + <ipxact:value>e3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_speedgrade" type="string"> + <ipxact:name>pma_speedgrade</ipxact:name> + <ipxact:displayName>pma_speedgrade</ipxact:displayName> + <ipxact:value>e3</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_module_parameters> + <altera:altera_system_parameters> + <ipxact:parameters> + <ipxact:parameter parameterId="device" type="string"> + <ipxact:name>device</ipxact:name> + <ipxact:displayName>Device</ipxact:displayName> + <ipxact:value>10AX115U3F45E2SG</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="deviceFamily" type="string"> + <ipxact:name>deviceFamily</ipxact:name> + <ipxact:displayName>Device family</ipxact:displayName> + <ipxact:value>Arria 10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="deviceSpeedGrade" type="string"> + <ipxact:name>deviceSpeedGrade</ipxact:name> + <ipxact:displayName>Device Speed Grade</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="generationId" type="int"> + <ipxact:name>generationId</ipxact:name> + <ipxact:displayName>Generation Id</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="bonusData" type="string"> + <ipxact:name>bonusData</ipxact:name> + <ipxact:displayName>bonusData</ipxact:displayName> + <ipxact:value>bonusData +{ + element xcvr_native_a10_0 + { + datum _sortIndex + { + value = "0"; + type = "int"; + } + } +} +</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hideFromIPCatalog" type="bit"> + <ipxact:name>hideFromIPCatalog</ipxact:name> + <ipxact:displayName>Hide from IP Catalog</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="lockedInterfaceDefinition" type="string"> + <ipxact:name>lockedInterfaceDefinition</ipxact:name> + <ipxact:displayName>lockedInterfaceDefinition</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="systemInfos" type="string"> + <ipxact:name>systemInfos</ipxact:name> + <ipxact:displayName>systemInfos</ipxact:displayName> + <ipxact:value><systemInfosDefinition> + <connPtSystemInfos/> +</systemInfosDefinition></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_system_parameters> + <altera:altera_interface_boundary> + <altera:interface_mapping altera:name="reconfig_avmm" altera:internal="xcvr_native_a10_0.reconfig_avmm" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="reconfig_address" altera:internal="reconfig_address"></altera:port_mapping> + <altera:port_mapping altera:name="reconfig_read" altera:internal="reconfig_read"></altera:port_mapping> + <altera:port_mapping altera:name="reconfig_readdata" altera:internal="reconfig_readdata"></altera:port_mapping> + <altera:port_mapping altera:name="reconfig_waitrequest" altera:internal="reconfig_waitrequest"></altera:port_mapping> + <altera:port_mapping altera:name="reconfig_write" altera:internal="reconfig_write"></altera:port_mapping> + <altera:port_mapping altera:name="reconfig_writedata" altera:internal="reconfig_writedata"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="reconfig_clk" altera:internal="xcvr_native_a10_0.reconfig_clk" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="reconfig_clk" altera:internal="reconfig_clk"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="reconfig_reset" altera:internal="xcvr_native_a10_0.reconfig_reset" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="reconfig_reset" altera:internal="reconfig_reset"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_analogreset" altera:internal="xcvr_native_a10_0.rx_analogreset" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_analogreset" altera:internal="rx_analogreset"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_cal_busy" altera:internal="xcvr_native_a10_0.rx_cal_busy" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_cal_busy" altera:internal="rx_cal_busy"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_cdr_refclk0" altera:internal="xcvr_native_a10_0.rx_cdr_refclk0" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_cdr_refclk0" altera:internal="rx_cdr_refclk0"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_clkout" altera:internal="xcvr_native_a10_0.rx_clkout" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_clkout" altera:internal="rx_clkout"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_control" altera:internal="xcvr_native_a10_0.rx_control" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_control" altera:internal="rx_control"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_coreclkin" altera:internal="xcvr_native_a10_0.rx_coreclkin" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_coreclkin" altera:internal="rx_coreclkin"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_digitalreset" altera:internal="xcvr_native_a10_0.rx_digitalreset" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_digitalreset" altera:internal="rx_digitalreset"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_enh_blk_lock" altera:internal="xcvr_native_a10_0.rx_enh_blk_lock" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_enh_blk_lock" altera:internal="rx_enh_blk_lock"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_enh_data_valid" altera:internal="xcvr_native_a10_0.rx_enh_data_valid" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_enh_data_valid" altera:internal="rx_enh_data_valid"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_enh_fifo_del" altera:internal="xcvr_native_a10_0.rx_enh_fifo_del" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_enh_fifo_del" altera:internal="rx_enh_fifo_del"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_enh_fifo_empty" altera:internal="xcvr_native_a10_0.rx_enh_fifo_empty" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_enh_fifo_empty" altera:internal="rx_enh_fifo_empty"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_enh_fifo_full" altera:internal="xcvr_native_a10_0.rx_enh_fifo_full" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_enh_fifo_full" altera:internal="rx_enh_fifo_full"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_enh_fifo_insert" altera:internal="xcvr_native_a10_0.rx_enh_fifo_insert" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_enh_fifo_insert" altera:internal="rx_enh_fifo_insert"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_enh_highber" altera:internal="xcvr_native_a10_0.rx_enh_highber" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_enh_highber" altera:internal="rx_enh_highber"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_is_lockedtodata" altera:internal="xcvr_native_a10_0.rx_is_lockedtodata" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_is_lockedtodata" altera:internal="rx_is_lockedtodata"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_is_lockedtoref" altera:internal="xcvr_native_a10_0.rx_is_lockedtoref" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_is_lockedtoref" altera:internal="rx_is_lockedtoref"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_parallel_data" altera:internal="xcvr_native_a10_0.rx_parallel_data" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_parallel_data" altera:internal="rx_parallel_data"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_prbs_done" altera:internal="xcvr_native_a10_0.rx_prbs_done" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_prbs_done" altera:internal="rx_prbs_done"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_prbs_err" altera:internal="xcvr_native_a10_0.rx_prbs_err" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_prbs_err" altera:internal="rx_prbs_err"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_prbs_err_clr" altera:internal="xcvr_native_a10_0.rx_prbs_err_clr" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_prbs_err_clr" altera:internal="rx_prbs_err_clr"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_serial_data" altera:internal="xcvr_native_a10_0.rx_serial_data" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_serial_data" altera:internal="rx_serial_data"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_seriallpbken" altera:internal="xcvr_native_a10_0.rx_seriallpbken" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_seriallpbken" altera:internal="rx_seriallpbken"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_analogreset" altera:internal="xcvr_native_a10_0.tx_analogreset" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_analogreset" altera:internal="tx_analogreset"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_cal_busy" altera:internal="xcvr_native_a10_0.tx_cal_busy" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_cal_busy" altera:internal="tx_cal_busy"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_clkout" altera:internal="xcvr_native_a10_0.tx_clkout" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_clkout" altera:internal="tx_clkout"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_control" altera:internal="xcvr_native_a10_0.tx_control" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_control" altera:internal="tx_control"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_coreclkin" altera:internal="xcvr_native_a10_0.tx_coreclkin" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_coreclkin" altera:internal="tx_coreclkin"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_digitalreset" altera:internal="xcvr_native_a10_0.tx_digitalreset" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_digitalreset" altera:internal="tx_digitalreset"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_enh_data_valid" altera:internal="xcvr_native_a10_0.tx_enh_data_valid" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_enh_data_valid" altera:internal="tx_enh_data_valid"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_enh_fifo_empty" altera:internal="xcvr_native_a10_0.tx_enh_fifo_empty" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_enh_fifo_empty" altera:internal="tx_enh_fifo_empty"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_enh_fifo_full" altera:internal="xcvr_native_a10_0.tx_enh_fifo_full" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_enh_fifo_full" altera:internal="tx_enh_fifo_full"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_enh_fifo_pempty" altera:internal="xcvr_native_a10_0.tx_enh_fifo_pempty" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_enh_fifo_pempty" altera:internal="tx_enh_fifo_pempty"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_enh_fifo_pfull" altera:internal="xcvr_native_a10_0.tx_enh_fifo_pfull" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_enh_fifo_pfull" altera:internal="tx_enh_fifo_pfull"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_err_ins" altera:internal="xcvr_native_a10_0.tx_err_ins" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_err_ins" altera:internal="tx_err_ins"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_parallel_data" altera:internal="xcvr_native_a10_0.tx_parallel_data" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_parallel_data" altera:internal="tx_parallel_data"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_serial_clk0" altera:internal="xcvr_native_a10_0.tx_serial_clk0" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_serial_clk0" altera:internal="tx_serial_clk0"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_serial_data" altera:internal="xcvr_native_a10_0.tx_serial_data" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_serial_data" altera:internal="tx_serial_data"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="unused_rx_control" altera:internal="xcvr_native_a10_0.unused_rx_control" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="unused_rx_control" altera:internal="unused_rx_control"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="unused_rx_parallel_data" altera:internal="xcvr_native_a10_0.unused_rx_parallel_data" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="unused_rx_parallel_data" altera:internal="unused_rx_parallel_data"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="unused_tx_control" altera:internal="xcvr_native_a10_0.unused_tx_control" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="unused_tx_control" altera:internal="unused_tx_control"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="unused_tx_parallel_data" altera:internal="xcvr_native_a10_0.unused_tx_parallel_data" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="unused_tx_parallel_data" altera:internal="unused_tx_parallel_data"></altera:port_mapping> + </altera:interface_mapping> + </altera:altera_interface_boundary> + <altera:altera_has_warnings>false</altera:altera_has_warnings> + <altera:altera_has_errors>false</altera:altera_has_errors> + </ipxact:vendorExtensions> +</ipxact:component> \ No newline at end of file diff --git a/libraries/technology/ip_arria10_e2sg/phy_10gbase_r_48/ip_arria10_e2sg_phy_10gbase_r_48.qsys b/libraries/technology/ip_arria10_e2sg/phy_10gbase_r_48/ip_arria10_e2sg_phy_10gbase_r_48.qsys deleted file mode 100644 index f4ae71f53f..0000000000 --- a/libraries/technology/ip_arria10_e2sg/phy_10gbase_r_48/ip_arria10_e2sg_phy_10gbase_r_48.qsys +++ /dev/null @@ -1,627 +0,0 @@ -<?xml version="1.0" encoding="UTF-8"?> -<system name="ip_arria10_e2sg_phy_10gbase_r_48"> - <component - name="$${FILENAME}" - displayName="$${FILENAME}" - version="1.0" - description="" - tags="AUTHORSHIP=Intel Corporation /// INTERNAL_COMPONENT=true" - categories="System" - tool="QsysStandard" /> - <parameter name="bonusData"><![CDATA[bonusData -{ - element $system - { - } - element xcvr_native_a10_0 - { - datum _sortIndex - { - value = "0"; - type = "int"; - } - } -} -]]></parameter> - <parameter name="device" value="10AX115U3F45E2SG" /> - <parameter name="deviceFamily" value="Arria 10" /> - <parameter name="deviceSpeedGrade" value="2" /> - <parameter name="fabricMode" value="QSYS" /> - <parameter name="generateLegacySim" value="false" /> - <parameter name="generationId" value="0" /> - <parameter name="globalResetBus" value="false" /> - <parameter name="hdlLanguage" value="VERILOG" /> - <parameter name="hideFromIPCatalog" value="true" /> - <parameter name="lockedInterfaceDefinition" value="" /> - <parameter name="sopcBorderPoints" value="false" /> - <parameter name="systemHash" value="0" /> - <parameter name="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos/> -</systemInfosDefinition>]]></parameter> - <parameter name="systemScripts" value="" /> - <parameter name="testBenchDutName" value="" /> - <parameter name="timeStamp" value="0" /> - <parameter name="useTestBenchNamingPattern" value="false" /> - <instanceScript></instanceScript> - <interface - name="reconfig_avmm" - internal="xcvr_native_a10_0.reconfig_avmm" - type="conduit" - dir="end"> - <port name="reconfig_address" internal="reconfig_address" /> - <port name="reconfig_read" internal="reconfig_read" /> - <port name="reconfig_readdata" internal="reconfig_readdata" /> - <port name="reconfig_waitrequest" internal="reconfig_waitrequest" /> - <port name="reconfig_write" internal="reconfig_write" /> - <port name="reconfig_writedata" internal="reconfig_writedata" /> - </interface> - <interface - name="reconfig_clk" - internal="xcvr_native_a10_0.reconfig_clk" - type="conduit" - dir="end"> - <port name="reconfig_clk" internal="reconfig_clk" /> - </interface> - <interface - name="reconfig_reset" - internal="xcvr_native_a10_0.reconfig_reset" - type="conduit" - dir="end"> - <port name="reconfig_reset" internal="reconfig_reset" /> - </interface> - <interface - name="rx_analogreset" - internal="xcvr_native_a10_0.rx_analogreset" - type="conduit" - dir="end"> - <port name="rx_analogreset" internal="rx_analogreset" /> - </interface> - <interface - name="rx_cal_busy" - internal="xcvr_native_a10_0.rx_cal_busy" - type="conduit" - dir="end"> - <port name="rx_cal_busy" internal="rx_cal_busy" /> - </interface> - <interface - name="rx_cdr_refclk0" - internal="xcvr_native_a10_0.rx_cdr_refclk0" - type="conduit" - dir="end"> - <port name="rx_cdr_refclk0" internal="rx_cdr_refclk0" /> - </interface> - <interface - name="rx_clkout" - internal="xcvr_native_a10_0.rx_clkout" - type="conduit" - dir="end"> - <port name="rx_clkout" internal="rx_clkout" /> - </interface> - <interface - name="rx_control" - internal="xcvr_native_a10_0.rx_control" - type="conduit" - dir="end"> - <port name="rx_control" internal="rx_control" /> - </interface> - <interface - name="rx_coreclkin" - internal="xcvr_native_a10_0.rx_coreclkin" - type="conduit" - dir="end"> - <port name="rx_coreclkin" internal="rx_coreclkin" /> - </interface> - <interface - name="rx_digitalreset" - internal="xcvr_native_a10_0.rx_digitalreset" - type="conduit" - dir="end"> - <port name="rx_digitalreset" internal="rx_digitalreset" /> - </interface> - <interface - name="rx_enh_blk_lock" - internal="xcvr_native_a10_0.rx_enh_blk_lock" - type="conduit" - dir="end"> - <port name="rx_enh_blk_lock" internal="rx_enh_blk_lock" /> - </interface> - <interface - name="rx_enh_data_valid" - internal="xcvr_native_a10_0.rx_enh_data_valid" - type="conduit" - dir="end"> - <port name="rx_enh_data_valid" internal="rx_enh_data_valid" /> - </interface> - <interface - name="rx_enh_fifo_del" - internal="xcvr_native_a10_0.rx_enh_fifo_del" - type="conduit" - dir="end"> - <port name="rx_enh_fifo_del" internal="rx_enh_fifo_del" /> - </interface> - <interface - name="rx_enh_fifo_empty" - internal="xcvr_native_a10_0.rx_enh_fifo_empty" - type="conduit" - dir="end"> - <port name="rx_enh_fifo_empty" internal="rx_enh_fifo_empty" /> - </interface> - <interface - name="rx_enh_fifo_full" - internal="xcvr_native_a10_0.rx_enh_fifo_full" - type="conduit" - dir="end"> - <port name="rx_enh_fifo_full" internal="rx_enh_fifo_full" /> - </interface> - <interface - name="rx_enh_fifo_insert" - internal="xcvr_native_a10_0.rx_enh_fifo_insert" - type="conduit" - dir="end"> - <port name="rx_enh_fifo_insert" internal="rx_enh_fifo_insert" /> - </interface> - <interface - name="rx_enh_highber" - internal="xcvr_native_a10_0.rx_enh_highber" - type="conduit" - dir="end"> - <port name="rx_enh_highber" internal="rx_enh_highber" /> - </interface> - <interface - name="rx_is_lockedtodata" - internal="xcvr_native_a10_0.rx_is_lockedtodata" - type="conduit" - dir="end"> - <port name="rx_is_lockedtodata" internal="rx_is_lockedtodata" /> - </interface> - <interface - name="rx_is_lockedtoref" - internal="xcvr_native_a10_0.rx_is_lockedtoref" - type="conduit" - dir="end"> - <port name="rx_is_lockedtoref" internal="rx_is_lockedtoref" /> - </interface> - <interface - name="rx_parallel_data" - internal="xcvr_native_a10_0.rx_parallel_data" - type="conduit" - dir="end"> - <port name="rx_parallel_data" internal="rx_parallel_data" /> - </interface> - <interface - name="rx_prbs_done" - internal="xcvr_native_a10_0.rx_prbs_done" - type="conduit" - dir="end"> - <port name="rx_prbs_done" internal="rx_prbs_done" /> - </interface> - <interface - name="rx_prbs_err" - internal="xcvr_native_a10_0.rx_prbs_err" - type="conduit" - dir="end"> - <port name="rx_prbs_err" internal="rx_prbs_err" /> - </interface> - <interface - name="rx_prbs_err_clr" - internal="xcvr_native_a10_0.rx_prbs_err_clr" - type="conduit" - dir="end"> - <port name="rx_prbs_err_clr" internal="rx_prbs_err_clr" /> - </interface> - <interface - name="rx_serial_data" - internal="xcvr_native_a10_0.rx_serial_data" - type="conduit" - dir="end"> - <port name="rx_serial_data" internal="rx_serial_data" /> - </interface> - <interface - name="rx_seriallpbken" - internal="xcvr_native_a10_0.rx_seriallpbken" - type="conduit" - dir="end"> - <port name="rx_seriallpbken" internal="rx_seriallpbken" /> - </interface> - <interface - name="tx_analogreset" - internal="xcvr_native_a10_0.tx_analogreset" - type="conduit" - dir="end"> - <port name="tx_analogreset" internal="tx_analogreset" /> - </interface> - <interface - name="tx_cal_busy" - internal="xcvr_native_a10_0.tx_cal_busy" - type="conduit" - dir="end"> - <port name="tx_cal_busy" internal="tx_cal_busy" /> - </interface> - <interface - name="tx_clkout" - internal="xcvr_native_a10_0.tx_clkout" - type="conduit" - dir="end"> - <port name="tx_clkout" internal="tx_clkout" /> - </interface> - <interface - name="tx_control" - internal="xcvr_native_a10_0.tx_control" - type="conduit" - dir="end"> - <port name="tx_control" internal="tx_control" /> - </interface> - <interface - name="tx_coreclkin" - internal="xcvr_native_a10_0.tx_coreclkin" - type="conduit" - dir="end"> - <port name="tx_coreclkin" internal="tx_coreclkin" /> - </interface> - <interface - name="tx_digitalreset" - internal="xcvr_native_a10_0.tx_digitalreset" - type="conduit" - dir="end"> - <port name="tx_digitalreset" internal="tx_digitalreset" /> - </interface> - <interface - name="tx_enh_data_valid" - internal="xcvr_native_a10_0.tx_enh_data_valid" - type="conduit" - dir="end"> - <port name="tx_enh_data_valid" internal="tx_enh_data_valid" /> - </interface> - <interface - name="tx_enh_fifo_empty" - internal="xcvr_native_a10_0.tx_enh_fifo_empty" - type="conduit" - dir="end"> - <port name="tx_enh_fifo_empty" internal="tx_enh_fifo_empty" /> - </interface> - <interface - name="tx_enh_fifo_full" - internal="xcvr_native_a10_0.tx_enh_fifo_full" - type="conduit" - dir="end"> - <port name="tx_enh_fifo_full" internal="tx_enh_fifo_full" /> - </interface> - <interface - name="tx_enh_fifo_pempty" - internal="xcvr_native_a10_0.tx_enh_fifo_pempty" - type="conduit" - dir="end"> - <port name="tx_enh_fifo_pempty" internal="tx_enh_fifo_pempty" /> - </interface> - <interface - name="tx_enh_fifo_pfull" - internal="xcvr_native_a10_0.tx_enh_fifo_pfull" - type="conduit" - dir="end"> - <port name="tx_enh_fifo_pfull" internal="tx_enh_fifo_pfull" /> - </interface> - <interface - name="tx_err_ins" - internal="xcvr_native_a10_0.tx_err_ins" - type="conduit" - dir="end"> - <port name="tx_err_ins" internal="tx_err_ins" /> - </interface> - <interface - name="tx_parallel_data" - internal="xcvr_native_a10_0.tx_parallel_data" - type="conduit" - dir="end"> - <port name="tx_parallel_data" internal="tx_parallel_data" /> - </interface> - <interface name="tx_pma_clkout" internal="xcvr_native_a10_0.tx_pma_clkout" /> - <interface - name="tx_pma_div_clkout" - internal="xcvr_native_a10_0.tx_pma_div_clkout" /> - <interface - name="tx_serial_clk0" - internal="xcvr_native_a10_0.tx_serial_clk0" - type="conduit" - dir="end"> - <port name="tx_serial_clk0" internal="tx_serial_clk0" /> - </interface> - <interface - name="tx_serial_data" - internal="xcvr_native_a10_0.tx_serial_data" - type="conduit" - dir="end"> - <port name="tx_serial_data" internal="tx_serial_data" /> - </interface> - <interface - name="unused_rx_control" - internal="xcvr_native_a10_0.unused_rx_control" - type="conduit" - dir="end"> - <port name="unused_rx_control" internal="unused_rx_control" /> - </interface> - <interface - name="unused_rx_parallel_data" - internal="xcvr_native_a10_0.unused_rx_parallel_data" - type="conduit" - dir="end"> - <port name="unused_rx_parallel_data" internal="unused_rx_parallel_data" /> - </interface> - <interface - name="unused_tx_control" - internal="xcvr_native_a10_0.unused_tx_control" - type="conduit" - dir="end"> - <port name="unused_tx_control" internal="unused_tx_control" /> - </interface> - <interface - name="unused_tx_parallel_data" - internal="xcvr_native_a10_0.unused_tx_parallel_data" - type="conduit" - dir="end"> - <port name="unused_tx_parallel_data" internal="unused_tx_parallel_data" /> - </interface> - <module - name="xcvr_native_a10_0" - kind="altera_xcvr_native_a10" - version="19.1" - enabled="1" - autoexport="1"> - <parameter name="anlg_enable_rx_default_ovr" value="0" /> - <parameter name="anlg_enable_tx_default_ovr" value="0" /> - <parameter name="anlg_link" value="sr" /> - <parameter name="anlg_rx_adp_ctle_acgain_4s">radp_ctle_acgain_4s_1</parameter> - <parameter name="anlg_rx_adp_ctle_eqz_1s_sel">radp_ctle_eqz_1s_sel_3</parameter> - <parameter name="anlg_rx_adp_dfe_fxtap1" value="radp_dfe_fxtap1_0" /> - <parameter name="anlg_rx_adp_dfe_fxtap10" value="radp_dfe_fxtap10_0" /> - <parameter name="anlg_rx_adp_dfe_fxtap11" value="radp_dfe_fxtap11_0" /> - <parameter name="anlg_rx_adp_dfe_fxtap2" value="radp_dfe_fxtap2_0" /> - <parameter name="anlg_rx_adp_dfe_fxtap3" value="radp_dfe_fxtap3_0" /> - <parameter name="anlg_rx_adp_dfe_fxtap4" value="radp_dfe_fxtap4_0" /> - <parameter name="anlg_rx_adp_dfe_fxtap5" value="radp_dfe_fxtap5_0" /> - <parameter name="anlg_rx_adp_dfe_fxtap6" value="radp_dfe_fxtap6_0" /> - <parameter name="anlg_rx_adp_dfe_fxtap7" value="radp_dfe_fxtap7_0" /> - <parameter name="anlg_rx_adp_dfe_fxtap8" value="radp_dfe_fxtap8_0" /> - <parameter name="anlg_rx_adp_dfe_fxtap9" value="radp_dfe_fxtap9_0" /> - <parameter name="anlg_rx_adp_vga_sel" value="radp_vga_sel_2" /> - <parameter name="anlg_rx_eq_dc_gain_trim" value="stg2_gain7" /> - <parameter name="anlg_rx_one_stage_enable" value="s1_mode" /> - <parameter name="anlg_rx_term_sel" value="r_r1" /> - <parameter name="anlg_tx_analog_mode" value="user_custom" /> - <parameter name="anlg_tx_compensation_en" value="enable" /> - <parameter name="anlg_tx_pre_emp_sign_1st_post_tap" value="fir_post_1t_neg" /> - <parameter name="anlg_tx_pre_emp_sign_2nd_post_tap" value="fir_post_2t_neg" /> - <parameter name="anlg_tx_pre_emp_sign_pre_tap_1t" value="fir_pre_1t_neg" /> - <parameter name="anlg_tx_pre_emp_sign_pre_tap_2t" value="fir_pre_2t_neg" /> - <parameter name="anlg_tx_pre_emp_switching_ctrl_1st_post_tap" value="0" /> - <parameter name="anlg_tx_pre_emp_switching_ctrl_2nd_post_tap" value="0" /> - <parameter name="anlg_tx_pre_emp_switching_ctrl_pre_tap_1t" value="0" /> - <parameter name="anlg_tx_pre_emp_switching_ctrl_pre_tap_2t" value="0" /> - <parameter name="anlg_tx_slew_rate_ctrl" value="slew_r7" /> - <parameter name="anlg_tx_term_sel" value="r_r1" /> - <parameter name="anlg_tx_vod_output_swing_ctrl" value="0" /> - <parameter name="anlg_voltage" value="1_1V" /> - <parameter name="base_device" value="NIGHTFURY5" /> - <parameter name="bonded_mode" value="not_bonded" /> - <parameter name="cdr_refclk_cnt" value="1" /> - <parameter name="cdr_refclk_select" value="0" /> - <parameter name="channels" value="48" /> - <parameter name="design_environment" value="NATIVE" /> - <parameter name="device" value="10AX115U3F45E2SG" /> - <parameter name="device_family" value="Arria 10" /> - <parameter name="disable_continuous_dfe" value="false" /> - <parameter name="duplex_mode" value="duplex" /> - <parameter name="enable_analog_settings" value="0" /> - <parameter name="enable_hard_reset" value="0" /> - <parameter name="enable_hip" value="0" /> - <parameter name="enable_parallel_loopback" value="0" /> - <parameter name="enable_pcie_data_mask_option" value="0" /> - <parameter name="enable_pcie_dfe_ip" value="false" /> - <parameter name="enable_port_krfec_rx_enh_frame" value="0" /> - <parameter name="enable_port_krfec_rx_enh_frame_diag_status" value="0" /> - <parameter name="enable_port_krfec_tx_enh_frame" value="0" /> - <parameter name="enable_port_pipe_rx_polarity" value="0" /> - <parameter name="enable_port_rx_analog_reset_ack" value="0" /> - <parameter name="enable_port_rx_enh_bitslip" value="0" /> - <parameter name="enable_port_rx_enh_blk_lock" value="1" /> - <parameter name="enable_port_rx_enh_clr_errblk_count" value="0" /> - <parameter name="enable_port_rx_enh_clr_errblk_count_c10" value="0" /> - <parameter name="enable_port_rx_enh_crc32_err" value="0" /> - <parameter name="enable_port_rx_enh_data_valid" value="1" /> - <parameter name="enable_port_rx_enh_fifo_align_clr" value="0" /> - <parameter name="enable_port_rx_enh_fifo_align_val" value="0" /> - <parameter name="enable_port_rx_enh_fifo_cnt" value="0" /> - <parameter name="enable_port_rx_enh_fifo_del" value="1" /> - <parameter name="enable_port_rx_enh_fifo_empty" value="1" /> - <parameter name="enable_port_rx_enh_fifo_full" value="1" /> - <parameter name="enable_port_rx_enh_fifo_insert" value="1" /> - <parameter name="enable_port_rx_enh_fifo_pempty" value="0" /> - <parameter name="enable_port_rx_enh_fifo_pfull" value="0" /> - <parameter name="enable_port_rx_enh_fifo_rd_en" value="0" /> - <parameter name="enable_port_rx_enh_frame" value="0" /> - <parameter name="enable_port_rx_enh_frame_diag_status" value="0" /> - <parameter name="enable_port_rx_enh_frame_lock" value="0" /> - <parameter name="enable_port_rx_enh_highber" value="1" /> - <parameter name="enable_port_rx_enh_highber_clr_cnt" value="0" /> - <parameter name="enable_port_rx_is_lockedtodata" value="1" /> - <parameter name="enable_port_rx_is_lockedtoref" value="1" /> - <parameter name="enable_port_rx_pma_clkout" value="0" /> - <parameter name="enable_port_rx_pma_clkslip" value="0" /> - <parameter name="enable_port_rx_pma_div_clkout" value="0" /> - <parameter name="enable_port_rx_pma_iqtxrx_clkout" value="0" /> - <parameter name="enable_port_rx_pma_qpipulldn" value="0" /> - <parameter name="enable_port_rx_polinv" value="0" /> - <parameter name="enable_port_rx_seriallpbken" value="1" /> - <parameter name="enable_port_rx_seriallpbken_tx" value="1" /> - <parameter name="enable_port_rx_signaldetect" value="0" /> - <parameter name="enable_port_rx_std_bitrev_ena" value="0" /> - <parameter name="enable_port_rx_std_bitslip" value="0" /> - <parameter name="enable_port_rx_std_bitslipboundarysel" value="0" /> - <parameter name="enable_port_rx_std_byterev_ena" value="0" /> - <parameter name="enable_port_rx_std_pcfifo_empty" value="0" /> - <parameter name="enable_port_rx_std_pcfifo_full" value="0" /> - <parameter name="enable_port_rx_std_rmfifo_empty" value="0" /> - <parameter name="enable_port_rx_std_rmfifo_full" value="0" /> - <parameter name="enable_port_rx_std_signaldetect" value="0" /> - <parameter name="enable_port_rx_std_wa_a1a2size" value="0" /> - <parameter name="enable_port_rx_std_wa_patternalign" value="0" /> - <parameter name="enable_port_tx_analog_reset_ack" value="0" /> - <parameter name="enable_port_tx_enh_bitslip" value="0" /> - <parameter name="enable_port_tx_enh_fifo_cnt" value="0" /> - <parameter name="enable_port_tx_enh_fifo_empty" value="1" /> - <parameter name="enable_port_tx_enh_fifo_full" value="1" /> - <parameter name="enable_port_tx_enh_fifo_pempty" value="1" /> - <parameter name="enable_port_tx_enh_fifo_pfull" value="1" /> - <parameter name="enable_port_tx_enh_frame" value="0" /> - <parameter name="enable_port_tx_enh_frame_burst_en" value="0" /> - <parameter name="enable_port_tx_enh_frame_diag_status" value="0" /> - <parameter name="enable_port_tx_pma_clkout" value="0" /> - <parameter name="enable_port_tx_pma_div_clkout" value="0" /> - <parameter name="enable_port_tx_pma_elecidle" value="0" /> - <parameter name="enable_port_tx_pma_iqtxrx_clkout" value="0" /> - <parameter name="enable_port_tx_pma_qpipulldn" value="0" /> - <parameter name="enable_port_tx_pma_qpipullup" value="0" /> - <parameter name="enable_port_tx_pma_rxfound" value="0" /> - <parameter name="enable_port_tx_pma_txdetectrx" value="0" /> - <parameter name="enable_port_tx_polinv" value="0" /> - <parameter name="enable_port_tx_std_bitslipboundarysel" value="0" /> - <parameter name="enable_port_tx_std_pcfifo_empty" value="0" /> - <parameter name="enable_port_tx_std_pcfifo_full" value="0" /> - <parameter name="enable_ports_adaptation" value="0" /> - <parameter name="enable_ports_pipe_g3_analog" value="0" /> - <parameter name="enable_ports_pipe_hclk" value="0" /> - <parameter name="enable_ports_pipe_rx_elecidle" value="0" /> - <parameter name="enable_ports_pipe_sw" value="0" /> - <parameter name="enable_ports_rx_manual_cdr_mode" value="0" /> - <parameter name="enable_ports_rx_manual_ppm" value="0" /> - <parameter name="enable_ports_rx_prbs" value="1" /> - <parameter name="enable_simple_interface" value="1" /> - <parameter name="enable_skp_ports" value="0" /> - <parameter name="enable_split_interface" value="0" /> - <parameter name="enable_transparent_pcs" value="0" /> - <parameter name="enable_upi_pipeline_options" value="0" /> - <parameter name="enh_low_latency_enable" value="0" /> - <parameter name="enh_pcs_pma_width" value="32" /> - <parameter name="enh_pld_pcs_width" value="66" /> - <parameter name="enh_rx_64b66b_enable" value="1" /> - <parameter name="enh_rx_bitslip_enable" value="0" /> - <parameter name="enh_rx_blksync_enable" value="1" /> - <parameter name="enh_rx_crcchk_enable" value="0" /> - <parameter name="enh_rx_descram_enable" value="1" /> - <parameter name="enh_rx_dispchk_enable" value="0" /> - <parameter name="enh_rx_frmsync_enable" value="0" /> - <parameter name="enh_rx_frmsync_mfrm_length" value="2048" /> - <parameter name="enh_rx_krfec_err_mark_enable" value="0" /> - <parameter name="enh_rx_krfec_err_mark_type" value="10G" /> - <parameter name="enh_rx_polinv_enable" value="0" /> - <parameter name="enh_rxfifo_align_del" value="0" /> - <parameter name="enh_rxfifo_control_del" value="0" /> - <parameter name="enh_rxfifo_mode" value="10GBase-R" /> - <parameter name="enh_rxfifo_pempty" value="2" /> - <parameter name="enh_rxfifo_pfull" value="23" /> - <parameter name="enh_rxtxfifo_double_width" value="0" /> - <parameter name="enh_tx_64b66b_enable" value="1" /> - <parameter name="enh_tx_bitslip_enable" value="0" /> - <parameter name="enh_tx_crcerr_enable" value="0" /> - <parameter name="enh_tx_crcgen_enable" value="0" /> - <parameter name="enh_tx_dispgen_enable" value="0" /> - <parameter name="enh_tx_frmgen_burst_enable" value="0" /> - <parameter name="enh_tx_frmgen_enable" value="0" /> - <parameter name="enh_tx_frmgen_mfrm_length" value="2048" /> - <parameter name="enh_tx_krfec_burst_err_enable" value="0" /> - <parameter name="enh_tx_krfec_burst_err_len" value="1" /> - <parameter name="enh_tx_polinv_enable" value="0" /> - <parameter name="enh_tx_randomdispbit_enable" value="0" /> - <parameter name="enh_tx_scram_enable" value="1" /> - <parameter name="enh_tx_scram_seed" value="288230376151711743" /> - <parameter name="enh_tx_sh_err" value="0" /> - <parameter name="enh_txfifo_mode" value="Phase compensation" /> - <parameter name="enh_txfifo_pempty" value="2" /> - <parameter name="enh_txfifo_pfull" value="11" /> - <parameter name="generate_add_hdl_instance_example" value="0" /> - <parameter name="generate_docs" value="1" /> - <parameter name="message_level" value="error" /> - <parameter name="number_physical_bonding_clocks" value="1" /> - <parameter name="pcie_rate_match" value="Bypass" /> - <parameter name="pcs_direct_width" value="8" /> - <parameter name="pcs_tx_delay1_ctrl" value="delay1_path0" /> - <parameter name="pcs_tx_delay1_data_sel" value="one_ff_delay" /> - <parameter name="pcs_tx_delay2_ctrl" value="delay2_path0" /> - <parameter name="pll_select" value="0" /> - <parameter name="plls" value="1" /> - <parameter name="pma_mode" value="basic" /> - <parameter name="protocol_mode" value="teng_baser_mode" /> - <parameter name="rcfg_enable" value="1" /> - <parameter name="rcfg_enable_avmm_busy_port" value="0" /> - <parameter name="rcfg_file_prefix">altera_xcvr_native_a10</parameter> - <parameter name="rcfg_h_file_enable" value="1" /> - <parameter name="rcfg_iface_enable" value="0" /> - <parameter name="rcfg_jtag_enable" value="1" /> - <parameter name="rcfg_mif_file_enable" value="1" /> - <parameter name="rcfg_multi_enable" value="0" /> - <parameter name="rcfg_profile_cnt" value="2" /> - <parameter name="rcfg_profile_data0" value="" /> - <parameter name="rcfg_profile_data1" value="" /> - <parameter name="rcfg_profile_data2" value="" /> - <parameter name="rcfg_profile_data3" value="" /> - <parameter name="rcfg_profile_data4" value="" /> - <parameter name="rcfg_profile_data5" value="" /> - <parameter name="rcfg_profile_data6" value="" /> - <parameter name="rcfg_profile_data7" value="" /> - <parameter name="rcfg_profile_select" value="1" /> - <parameter name="rcfg_reduced_files_enable" value="0" /> - <parameter name="rcfg_separate_avmm_busy" value="0" /> - <parameter name="rcfg_shared" value="1" /> - <parameter name="rcfg_sv_file_enable" value="1" /> - <parameter name="rx_pma_ctle_adaptation_mode" value="manual" /> - <parameter name="rx_pma_dfe_adaptation_mode" value="disabled" /> - <parameter name="rx_pma_dfe_fixed_taps" value="3" /> - <parameter name="rx_pma_div_clkout_divider" value="0" /> - <parameter name="rx_ppm_detect_threshold" value="1000" /> - <parameter name="set_capability_reg_enable" value="1" /> - <parameter name="set_cdr_refclk_freq" value="644.531250" /> - <parameter name="set_csr_soft_logic_enable" value="1" /> - <parameter name="set_data_rate" value="10312.5" /> - <parameter name="set_disconnect_analog_resets" value="0" /> - <parameter name="set_embedded_debug_enable" value="0" /> - <parameter name="set_enable_calibration" value="0" /> - <parameter name="set_hip_cal_en" value="0" /> - <parameter name="set_odi_soft_logic_enable" value="0" /> - <parameter name="set_pcs_bonding_master" value="Auto" /> - <parameter name="set_prbs_soft_logic_enable" value="1" /> - <parameter name="set_rcfg_emb_strm_enable" value="0" /> - <parameter name="set_user_identifier" value="0" /> - <parameter name="sim_reduced_counters" value="false" /> - <parameter name="std_data_mask_count_multi" value="0" /> - <parameter name="std_low_latency_bypass_enable" value="0" /> - <parameter name="std_pcs_pma_width" value="10" /> - <parameter name="std_rx_8b10b_enable" value="0" /> - <parameter name="std_rx_bitrev_enable" value="0" /> - <parameter name="std_rx_byte_deser_mode" value="Disabled" /> - <parameter name="std_rx_byterev_enable" value="0" /> - <parameter name="std_rx_pcfifo_mode" value="low_latency" /> - <parameter name="std_rx_polinv_enable" value="0" /> - <parameter name="std_rx_rmfifo_mode" value="disabled" /> - <parameter name="std_rx_rmfifo_pattern_n" value="0" /> - <parameter name="std_rx_rmfifo_pattern_p" value="0" /> - <parameter name="std_rx_word_aligner_fast_sync_status_enable" value="0" /> - <parameter name="std_rx_word_aligner_mode" value="bitslip" /> - <parameter name="std_rx_word_aligner_pattern" value="0" /> - <parameter name="std_rx_word_aligner_pattern_len" value="7" /> - <parameter name="std_rx_word_aligner_renumber" value="3" /> - <parameter name="std_rx_word_aligner_rgnumber" value="3" /> - <parameter name="std_rx_word_aligner_rknumber" value="3" /> - <parameter name="std_rx_word_aligner_rvnumber" value="0" /> - <parameter name="std_tx_8b10b_disp_ctrl_enable" value="0" /> - <parameter name="std_tx_8b10b_enable" value="0" /> - <parameter name="std_tx_bitrev_enable" value="0" /> - <parameter name="std_tx_bitslip_enable" value="0" /> - <parameter name="std_tx_byte_ser_mode" value="Disabled" /> - <parameter name="std_tx_byterev_enable" value="0" /> - <parameter name="std_tx_pcfifo_mode" value="low_latency" /> - <parameter name="std_tx_polinv_enable" value="0" /> - <parameter name="support_mode" value="user_mode" /> - <parameter name="tx_pma_clk_div" value="1" /> - <parameter name="tx_pma_div_clkout_divider" value="33" /> - <parameter name="validation_rule_select" value="" /> - </module> -</system> diff --git a/libraries/technology/ip_arria10_e2sg/pll_clk125/hdllib.cfg b/libraries/technology/ip_arria10_e2sg/pll_clk125/hdllib.cfg index 8fe6e7c5ba..bf30c7ce5a 100644 --- a/libraries/technology/ip_arria10_e2sg/pll_clk125/hdllib.cfg +++ b/libraries/technology/ip_arria10_e2sg/pll_clk125/hdllib.cfg @@ -20,5 +20,5 @@ quartus_qip_files = [generate_ip_libs] qsys-generate_ip_files = - ip_arria10_e2sg_pll_clk125.qsys + ip_arria10_e2sg_pll_clk125.ip diff --git a/libraries/technology/ip_arria10_e2sg/pll_clk125/ip_arria10_e2sg_pll_clk125.ip b/libraries/technology/ip_arria10_e2sg/pll_clk125/ip_arria10_e2sg_pll_clk125.ip new file mode 100644 index 0000000000..2be347d096 --- /dev/null +++ b/libraries/technology/ip_arria10_e2sg/pll_clk125/ip_arria10_e2sg_pll_clk125.ip @@ -0,0 +1,4300 @@ +<?xml version="1.0" ?> +<ipxact:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact2014/extensions" xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"> + <ipxact:vendor>Intel Corporation</ipxact:vendor> + <ipxact:library>ip_arria10_e2sg_pll_clk125</ipxact:library> + <ipxact:name>iopll_0</ipxact:name> + <ipxact:version>19.3.0</ipxact:version> + <ipxact:busInterfaces> + <ipxact:busInterface> + <ipxact:name>reset</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="reset" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="reset" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>reset</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rst</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>Associated clock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="synchronousEdges" type="string"> + <ipxact:name>synchronousEdges</ipxact:name> + <ipxact:displayName>Synchronous edges</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>refclk</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="clock" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>refclk</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="clockRate" type="longint"> + <ipxact:name>clockRate</ipxact:name> + <ipxact:displayName>Clock rate</ipxact:displayName> + <ipxact:value>125000000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="externallyDriven" type="bit"> + <ipxact:name>externallyDriven</ipxact:name> + <ipxact:displayName>Externally driven</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ptfSchematicName" type="string"> + <ipxact:name>ptfSchematicName</ipxact:name> + <ipxact:displayName>PTF schematic name</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>locked</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>export</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>locked</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>outclk0</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="clock" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>outclk_0</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:master></ipxact:master> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedDirectClock" type="string"> + <ipxact:name>associatedDirectClock</ipxact:name> + <ipxact:displayName>Associated direct clock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clockRate" type="longint"> + <ipxact:name>clockRate</ipxact:name> + <ipxact:displayName>Clock rate</ipxact:displayName> + <ipxact:value>20000000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clockRateKnown" type="bit"> + <ipxact:name>clockRateKnown</ipxact:name> + <ipxact:displayName>Clock rate known</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="externallyDriven" type="bit"> + <ipxact:name>externallyDriven</ipxact:name> + <ipxact:displayName>Externally driven</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ptfSchematicName" type="string"> + <ipxact:name>ptfSchematicName</ipxact:name> + <ipxact:displayName>PTF schematic name</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>outclk1</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="clock" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>outclk_1</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:master></ipxact:master> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedDirectClock" type="string"> + <ipxact:name>associatedDirectClock</ipxact:name> + <ipxact:displayName>Associated direct clock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clockRate" type="longint"> + <ipxact:name>clockRate</ipxact:name> + <ipxact:displayName>Clock rate</ipxact:displayName> + <ipxact:value>50000000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clockRateKnown" type="bit"> + <ipxact:name>clockRateKnown</ipxact:name> + <ipxact:displayName>Clock rate known</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="externallyDriven" type="bit"> + <ipxact:name>externallyDriven</ipxact:name> + <ipxact:displayName>Externally driven</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ptfSchematicName" type="string"> + <ipxact:name>ptfSchematicName</ipxact:name> + <ipxact:displayName>PTF schematic name</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>outclk2</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="clock" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>outclk_2</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:master></ipxact:master> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedDirectClock" type="string"> + <ipxact:name>associatedDirectClock</ipxact:name> + <ipxact:displayName>Associated direct clock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clockRate" type="longint"> + <ipxact:name>clockRate</ipxact:name> + <ipxact:displayName>Clock rate</ipxact:displayName> + <ipxact:value>100000000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clockRateKnown" type="bit"> + <ipxact:name>clockRateKnown</ipxact:name> + <ipxact:displayName>Clock rate known</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="externallyDriven" type="bit"> + <ipxact:name>externallyDriven</ipxact:name> + <ipxact:displayName>Externally driven</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ptfSchematicName" type="string"> + <ipxact:name>ptfSchematicName</ipxact:name> + <ipxact:displayName>PTF schematic name</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>outclk3</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="clock" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>outclk_3</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:master></ipxact:master> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedDirectClock" type="string"> + <ipxact:name>associatedDirectClock</ipxact:name> + <ipxact:displayName>Associated direct clock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clockRate" type="longint"> + <ipxact:name>clockRate</ipxact:name> + <ipxact:displayName>Clock rate</ipxact:displayName> + <ipxact:value>125000000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clockRateKnown" type="bit"> + <ipxact:name>clockRateKnown</ipxact:name> + <ipxact:displayName>Clock rate known</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="externallyDriven" type="bit"> + <ipxact:name>externallyDriven</ipxact:name> + <ipxact:displayName>Externally driven</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ptfSchematicName" type="string"> + <ipxact:name>ptfSchematicName</ipxact:name> + <ipxact:displayName>PTF schematic name</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + </ipxact:busInterfaces> + <ipxact:model> + <ipxact:views> + <ipxact:view> + <ipxact:name>QUARTUS_SYNTH</ipxact:name> + <ipxact:envIdentifier>:quartus.altera.com:</ipxact:envIdentifier> + <ipxact:componentInstantiationRef>QUARTUS_SYNTH</ipxact:componentInstantiationRef> + </ipxact:view> + </ipxact:views> + <ipxact:instantiations> + <ipxact:componentInstantiation> + <ipxact:name>QUARTUS_SYNTH</ipxact:name> + <ipxact:moduleName>altera_iopll</ipxact:moduleName> + <ipxact:fileSetRef> + <ipxact:localName>QUARTUS_SYNTH</ipxact:localName> + </ipxact:fileSetRef> + </ipxact:componentInstantiation> + </ipxact:instantiations> + <ipxact:ports> + <ipxact:port> + <ipxact:name>rst</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>refclk</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>locked</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>outclk_0</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>outclk_1</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>outclk_2</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>outclk_3</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + </ipxact:ports> + </ipxact:model> + <ipxact:vendorExtensions> + <altera:entity_info> + <ipxact:vendor>Intel Corporation</ipxact:vendor> + <ipxact:library>ip_arria10_e2sg_pll_clk125</ipxact:library> + <ipxact:name>altera_iopll</ipxact:name> + <ipxact:version>19.3.0</ipxact:version> + </altera:entity_info> + <altera:altera_module_parameters> + <ipxact:parameters> + <ipxact:parameter parameterId="gui_device_family" type="string"> + <ipxact:name>gui_device_family</ipxact:name> + <ipxact:displayName>Device Family</ipxact:displayName> + <ipxact:value>Arria 10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_device_component" type="string"> + <ipxact:name>gui_device_component</ipxact:name> + <ipxact:displayName>Component</ipxact:displayName> + <ipxact:value>10AX115U3F45E2SG</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_device_speed_grade" type="int"> + <ipxact:name>gui_device_speed_grade</ipxact:name> + <ipxact:displayName>Speed Grade</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_debug_mode" type="bit"> + <ipxact:name>gui_debug_mode</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_skip_sdc_generation" type="bit"> + <ipxact:name>gui_skip_sdc_generation</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_include_iossm" type="bit"> + <ipxact:name>gui_include_iossm</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_cal_code_hex_file" type="string"> + <ipxact:name>gui_cal_code_hex_file</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>iossm.hex</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_parameter_table_hex_file" type="string"> + <ipxact:name>gui_parameter_table_hex_file</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>seq_params_sim.hex</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_pll_tclk_mux_en" type="bit"> + <ipxact:name>gui_pll_tclk_mux_en</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_pll_tclk_sel" type="string"> + <ipxact:name>gui_pll_tclk_sel</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>pll_tclk_m_src</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_pll_vco_freq_band_0" type="string"> + <ipxact:name>gui_pll_vco_freq_band_0</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>pll_freq_clk0_disabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_pll_vco_freq_band_1" type="string"> + <ipxact:name>gui_pll_vco_freq_band_1</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>pll_freq_clk1_disabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_pll_freqcal_en" type="bit"> + <ipxact:name>gui_pll_freqcal_en</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_pll_freqcal_req_flag" type="bit"> + <ipxact:name>gui_pll_freqcal_req_flag</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_cal_converge" type="bit"> + <ipxact:name>gui_cal_converge</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_cal_error" type="string"> + <ipxact:name>gui_cal_error</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>cal_clean</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_pll_cal_done" type="bit"> + <ipxact:name>gui_pll_cal_done</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_pll_type" type="string"> + <ipxact:name>gui_pll_type</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>S10_Simple</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_pll_m_cnt_in_src" type="string"> + <ipxact:name>gui_pll_m_cnt_in_src</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_c_cnt_in_src0" type="string"> + <ipxact:name>gui_c_cnt_in_src0</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_c_cnt_in_src1" type="string"> + <ipxact:name>gui_c_cnt_in_src1</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_c_cnt_in_src2" type="string"> + <ipxact:name>gui_c_cnt_in_src2</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_c_cnt_in_src3" type="string"> + <ipxact:name>gui_c_cnt_in_src3</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_c_cnt_in_src4" type="string"> + <ipxact:name>gui_c_cnt_in_src4</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_c_cnt_in_src5" type="string"> + <ipxact:name>gui_c_cnt_in_src5</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_c_cnt_in_src6" type="string"> + <ipxact:name>gui_c_cnt_in_src6</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_c_cnt_in_src7" type="string"> + <ipxact:name>gui_c_cnt_in_src7</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_c_cnt_in_src8" type="string"> + <ipxact:name>gui_c_cnt_in_src8</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="system_info_device_family" type="string"> + <ipxact:name>system_info_device_family</ipxact:name> + <ipxact:displayName>Device Family</ipxact:displayName> + <ipxact:value>Arria 10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="system_info_device_component" type="string"> + <ipxact:name>system_info_device_component</ipxact:name> + <ipxact:displayName>Component</ipxact:displayName> + <ipxact:value>10AX115U3F45E2SG</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="system_info_device_speed_grade" type="string"> + <ipxact:name>system_info_device_speed_grade</ipxact:name> + <ipxact:displayName>Speed Grade</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="system_part_trait_speed_grade" type="string"> + <ipxact:name>system_part_trait_speed_grade</ipxact:name> + <ipxact:displayName>Speed Grade Trait</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_usr_device_speed_grade" type="string"> + <ipxact:name>gui_usr_device_speed_grade</ipxact:name> + <ipxact:displayName>Speed Grade</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_en_reconf" type="bit"> + <ipxact:name>gui_en_reconf</ipxact:name> + <ipxact:displayName>Enable dynamic reconfiguration of PLL</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_en_dps_ports" type="bit"> + <ipxact:name>gui_en_dps_ports</ipxact:name> + <ipxact:displayName>Enable access to dynamic phase shift ports</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_pll_mode" type="string"> + <ipxact:name>gui_pll_mode</ipxact:name> + <ipxact:displayName>PLL Mode</ipxact:displayName> + <ipxact:value>Integer-N PLL</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_location_type" type="string"> + <ipxact:name>gui_location_type</ipxact:name> + <ipxact:displayName>IOPLL Type</ipxact:displayName> + <ipxact:value>I/O Bank</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_use_logical" type="bit"> + <ipxact:name>gui_use_logical</ipxact:name> + <ipxact:displayName>Use logical PLL</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_reference_clock_frequency" type="real"> + <ipxact:name>gui_reference_clock_frequency</ipxact:name> + <ipxact:displayName>Reference Clock Frequency</ipxact:displayName> + <ipxact:value>125.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_reference_clock_frequency_ps" type="real"> + <ipxact:name>gui_reference_clock_frequency_ps</ipxact:name> + <ipxact:displayName>Reference Clock Frequency</ipxact:displayName> + <ipxact:value>8000.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_use_coreclk" type="bit"> + <ipxact:name>gui_use_coreclk</ipxact:name> + <ipxact:displayName>Refclk source is global clock</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_refclk_might_change" type="bit"> + <ipxact:name>gui_refclk_might_change</ipxact:name> + <ipxact:displayName>My reference clock frequency might change</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_fractional_cout" type="int"> + <ipxact:name>gui_fractional_cout</ipxact:name> + <ipxact:displayName>Fractional carry out</ipxact:displayName> + <ipxact:value>32</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_prot_mode" type="string"> + <ipxact:name>gui_prot_mode</ipxact:name> + <ipxact:displayName>prot_mode</ipxact:displayName> + <ipxact:value>UNUSED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_dsm_out_sel" type="string"> + <ipxact:name>gui_dsm_out_sel</ipxact:name> + <ipxact:displayName>DSM Order</ipxact:displayName> + <ipxact:value>1st_order</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_use_locked" type="bit"> + <ipxact:name>gui_use_locked</ipxact:name> + <ipxact:displayName>Enable locked output port</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_en_adv_params" type="bit"> + <ipxact:name>gui_en_adv_params</ipxact:name> + <ipxact:displayName>Enable physical output clock parameters</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_pll_bandwidth_preset" type="string"> + <ipxact:name>gui_pll_bandwidth_preset</ipxact:name> + <ipxact:displayName>PLL Bandwidth Preset</ipxact:displayName> + <ipxact:value>Low</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_lock_setting" type="string"> + <ipxact:name>gui_lock_setting</ipxact:name> + <ipxact:displayName>Lock Threshold Setting</ipxact:displayName> + <ipxact:value>Low Lock Time</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_pll_auto_reset" type="bit"> + <ipxact:name>gui_pll_auto_reset</ipxact:name> + <ipxact:displayName>PLL Auto Reset</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_en_lvds_ports" type="string"> + <ipxact:name>gui_en_lvds_ports</ipxact:name> + <ipxact:displayName>Access to PLL LVDS_CLK/LOADEN output port</ipxact:displayName> + <ipxact:value>Disabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_operation_mode" type="string"> + <ipxact:name>gui_operation_mode</ipxact:name> + <ipxact:displayName>Compensation Mode</ipxact:displayName> + <ipxact:value>direct</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_feedback_clock" type="string"> + <ipxact:name>gui_feedback_clock</ipxact:name> + <ipxact:displayName>Feedback Clock</ipxact:displayName> + <ipxact:value>Global Clock</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_clock_to_compensate" type="int"> + <ipxact:name>gui_clock_to_compensate</ipxact:name> + <ipxact:displayName>Compensated Outclk</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_use_NDFB_modes" type="bit"> + <ipxact:name>gui_use_NDFB_modes</ipxact:name> + <ipxact:displayName>Use Nondedicated Feedback Path</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_refclk_switch" type="bit"> + <ipxact:name>gui_refclk_switch</ipxact:name> + <ipxact:displayName>Create a second input clock signal 'refclk1'</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_refclk1_frequency" type="real"> + <ipxact:name>gui_refclk1_frequency</ipxact:name> + <ipxact:displayName>Second Reference Clock Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_en_phout_ports" type="bit"> + <ipxact:name>gui_en_phout_ports</ipxact:name> + <ipxact:displayName>Enable access to PLL DPA output port</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phout_division" type="int"> + <ipxact:name>gui_phout_division</ipxact:name> + <ipxact:displayName>PLL DPA output division</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_en_extclkout_ports" type="bit"> + <ipxact:name>gui_en_extclkout_ports</ipxact:name> + <ipxact:displayName>Enable access to PLL external clock output port</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_number_of_clocks" type="int"> + <ipxact:name>gui_number_of_clocks</ipxact:name> + <ipxact:displayName>Number Of Clocks</ipxact:displayName> + <ipxact:value>4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_multiply_factor" type="int"> + <ipxact:name>gui_multiply_factor</ipxact:name> + <ipxact:displayName>Multiply Factor (M-Counter)</ipxact:displayName> + <ipxact:value>6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_divide_factor_n" type="int"> + <ipxact:name>gui_divide_factor_n</ipxact:name> + <ipxact:displayName>Divide Factor (N-Counter)</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_frac_multiply_factor" type="longint"> + <ipxact:name>gui_frac_multiply_factor</ipxact:name> + <ipxact:displayName>Fractional Multiply Factor (K)</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_fix_vco_frequency" type="bit"> + <ipxact:name>gui_fix_vco_frequency</ipxact:name> + <ipxact:displayName>Specify VCO frequency</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_fixed_vco_frequency" type="real"> + <ipxact:name>gui_fixed_vco_frequency</ipxact:name> + <ipxact:displayName>Desired VCO Frequency</ipxact:displayName> + <ipxact:value>600.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_fixed_vco_frequency_ps" type="real"> + <ipxact:name>gui_fixed_vco_frequency_ps</ipxact:name> + <ipxact:displayName>Desired VCO Frequency</ipxact:displayName> + <ipxact:value>1667.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_vco_frequency" type="string"> + <ipxact:name>gui_vco_frequency</ipxact:name> + <ipxact:displayName>Actual VCO Frequency</ipxact:displayName> + <ipxact:value>600.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_enable_output_counter_cascading" type="bit"> + <ipxact:name>gui_enable_output_counter_cascading</ipxact:name> + <ipxact:displayName>Enable output counter cascading</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_mif_gen_options" type="string"> + <ipxact:name>gui_mif_gen_options</ipxact:name> + <ipxact:displayName>MIF Generation Options</ipxact:displayName> + <ipxact:value>Generate New MIF File</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_new_mif_file_path" type="string"> + <ipxact:name>gui_new_mif_file_path</ipxact:name> + <ipxact:displayName>Path to New MIF file</ipxact:displayName> + <ipxact:value>~/pll.mif</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_existing_mif_file_path" type="string"> + <ipxact:name>gui_existing_mif_file_path</ipxact:name> + <ipxact:displayName>Path to Existing MIF file</ipxact:displayName> + <ipxact:value>~/pll.mif</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_mif_config_name" type="string"> + <ipxact:name>gui_mif_config_name</ipxact:name> + <ipxact:displayName>Name of Current Configuration</ipxact:displayName> + <ipxact:value>unnamed</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_active_clk" type="bit"> + <ipxact:name>gui_active_clk</ipxact:name> + <ipxact:displayName>Create an 'active_clk' signal to indicate the input clock in use</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_clk_bad" type="bit"> + <ipxact:name>gui_clk_bad</ipxact:name> + <ipxact:displayName>Create a 'clkbad' signal for each of the input clocks</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_switchover_mode" type="string"> + <ipxact:name>gui_switchover_mode</ipxact:name> + <ipxact:displayName>Switchover Mode</ipxact:displayName> + <ipxact:value>Automatic Switchover</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_switchover_delay" type="int"> + <ipxact:name>gui_switchover_delay</ipxact:name> + <ipxact:displayName>Switchover Delay</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_enable_cascade_out" type="bit"> + <ipxact:name>gui_enable_cascade_out</ipxact:name> + <ipxact:displayName>Create a 'cascade_out' signal to connect to a downstream PLL</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_cascade_outclk_index" type="string"> + <ipxact:name>gui_cascade_outclk_index</ipxact:name> + <ipxact:displayName>cascade_out source</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_enable_cascade_in" type="bit"> + <ipxact:name>gui_enable_cascade_in</ipxact:name> + <ipxact:displayName>Create an 'adjpllin' (cascade in) signal to connect to an upstream PLL through IO Column Cascading</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_enable_permit_cal" type="bit"> + <ipxact:name>gui_enable_permit_cal</ipxact:name> + <ipxact:displayName>Connect to an upstream PLL through Core Clock Network Cascading (create a permit_cal input signal)</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_pll_cascading_mode" type="string"> + <ipxact:name>gui_pll_cascading_mode</ipxact:name> + <ipxact:displayName>Connection Signal Type to Upstream PLL</ipxact:displayName> + <ipxact:value>adjpllin</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_enable_mif_dps" type="bit"> + <ipxact:name>gui_enable_mif_dps</ipxact:name> + <ipxact:displayName>Enable Dynamic Phase Shift for MIF streaming</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_dps_cntr" type="string"> + <ipxact:name>gui_dps_cntr</ipxact:name> + <ipxact:displayName>DPS Counter Selection</ipxact:displayName> + <ipxact:value>C0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_dps_num" type="int"> + <ipxact:name>gui_dps_num</ipxact:name> + <ipxact:displayName>Number of Dynamic Phase Shifts</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_dps_dir" type="string"> + <ipxact:name>gui_dps_dir</ipxact:name> + <ipxact:displayName>Dynamic Phase Shift Direction</ipxact:displayName> + <ipxact:value>Positive</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_extclkout_0_source" type="string"> + <ipxact:name>gui_extclkout_0_source</ipxact:name> + <ipxact:displayName>extclk_out[0] source</ipxact:displayName> + <ipxact:value>C0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_extclkout_1_source" type="string"> + <ipxact:name>gui_extclkout_1_source</ipxact:name> + <ipxact:displayName>extclk_out[1] source</ipxact:displayName> + <ipxact:value>C0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_clock_name_global" type="bit"> + <ipxact:name>gui_clock_name_global</ipxact:name> + <ipxact:displayName>Give clocks global names</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_clock_name_string0" type="string"> + <ipxact:name>gui_clock_name_string0</ipxact:name> + <ipxact:displayName>Clock Name</ipxact:displayName> + <ipxact:value>pll_clk20</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_clock_name_string1" type="string"> + <ipxact:name>gui_clock_name_string1</ipxact:name> + <ipxact:displayName>Clock Name</ipxact:displayName> + <ipxact:value>pll_clk50</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_clock_name_string2" type="string"> + <ipxact:name>gui_clock_name_string2</ipxact:name> + <ipxact:displayName>Clock Name</ipxact:displayName> + <ipxact:value>pll_clk100</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_clock_name_string3" type="string"> + <ipxact:name>gui_clock_name_string3</ipxact:name> + <ipxact:displayName>Clock Name</ipxact:displayName> + <ipxact:value>pll_clk125</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_clock_name_string4" type="string"> + <ipxact:name>gui_clock_name_string4</ipxact:name> + <ipxact:displayName>Clock Name</ipxact:displayName> + <ipxact:value>outclk4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_clock_name_string5" type="string"> + <ipxact:name>gui_clock_name_string5</ipxact:name> + <ipxact:displayName>Clock Name</ipxact:displayName> + <ipxact:value>outclk5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_clock_name_string6" type="string"> + <ipxact:name>gui_clock_name_string6</ipxact:name> + <ipxact:displayName>Clock Name</ipxact:displayName> + <ipxact:value>outclk6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_clock_name_string7" type="string"> + <ipxact:name>gui_clock_name_string7</ipxact:name> + <ipxact:displayName>Clock Name</ipxact:displayName> + <ipxact:value>outclk7</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_clock_name_string8" type="string"> + <ipxact:name>gui_clock_name_string8</ipxact:name> + <ipxact:displayName>Clock Name</ipxact:displayName> + <ipxact:value>outclk8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_clock_name_string9" type="string"> + <ipxact:name>gui_clock_name_string9</ipxact:name> + <ipxact:displayName>Clock Name</ipxact:displayName> + <ipxact:value>outclk9</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_clock_name_string10" type="string"> + <ipxact:name>gui_clock_name_string10</ipxact:name> + <ipxact:displayName>Clock Name</ipxact:displayName> + <ipxact:value>outclk10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_clock_name_string11" type="string"> + <ipxact:name>gui_clock_name_string11</ipxact:name> + <ipxact:displayName>Clock Name</ipxact:displayName> + <ipxact:value>outclk11</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_clock_name_string12" type="string"> + <ipxact:name>gui_clock_name_string12</ipxact:name> + <ipxact:displayName>Clock Name</ipxact:displayName> + <ipxact:value>outclk12</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_clock_name_string13" type="string"> + <ipxact:name>gui_clock_name_string13</ipxact:name> + <ipxact:displayName>Clock Name</ipxact:displayName> + <ipxact:value>outclk13</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_clock_name_string14" type="string"> + <ipxact:name>gui_clock_name_string14</ipxact:name> + <ipxact:displayName>Clock Name</ipxact:displayName> + <ipxact:value>outclk14</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_clock_name_string15" type="string"> + <ipxact:name>gui_clock_name_string15</ipxact:name> + <ipxact:displayName>Clock Name</ipxact:displayName> + <ipxact:value>outclk15</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_clock_name_string16" type="string"> + <ipxact:name>gui_clock_name_string16</ipxact:name> + <ipxact:displayName>Clock Name</ipxact:displayName> + <ipxact:value>outclk16</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_clock_name_string17" type="string"> + <ipxact:name>gui_clock_name_string17</ipxact:name> + <ipxact:displayName>Clock Name</ipxact:displayName> + <ipxact:value>outclk17</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_divide_factor_c0" type="int"> + <ipxact:name>gui_divide_factor_c0</ipxact:name> + <ipxact:displayName>Divide Factor (C-Counter)</ipxact:displayName> + <ipxact:value>6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_divide_factor_c1" type="int"> + <ipxact:name>gui_divide_factor_c1</ipxact:name> + <ipxact:displayName>Divide Factor (C-Counter)</ipxact:displayName> + <ipxact:value>6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_divide_factor_c2" type="int"> + <ipxact:name>gui_divide_factor_c2</ipxact:name> + <ipxact:displayName>Divide Factor (C-Counter)</ipxact:displayName> + <ipxact:value>6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_divide_factor_c3" type="int"> + <ipxact:name>gui_divide_factor_c3</ipxact:name> + <ipxact:displayName>Divide Factor (C-Counter)</ipxact:displayName> + <ipxact:value>6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_divide_factor_c4" type="int"> + <ipxact:name>gui_divide_factor_c4</ipxact:name> + <ipxact:displayName>Divide Factor (C-Counter)</ipxact:displayName> + <ipxact:value>6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_divide_factor_c5" type="int"> + <ipxact:name>gui_divide_factor_c5</ipxact:name> + <ipxact:displayName>Divide Factor (C-Counter)</ipxact:displayName> + <ipxact:value>6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_divide_factor_c6" type="int"> + <ipxact:name>gui_divide_factor_c6</ipxact:name> + <ipxact:displayName>Divide Factor (C-Counter)</ipxact:displayName> + <ipxact:value>6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_divide_factor_c7" type="int"> + <ipxact:name>gui_divide_factor_c7</ipxact:name> + <ipxact:displayName>Divide Factor (C-Counter)</ipxact:displayName> + <ipxact:value>6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_divide_factor_c8" type="int"> + <ipxact:name>gui_divide_factor_c8</ipxact:name> + <ipxact:displayName>Divide Factor (C-Counter)</ipxact:displayName> + <ipxact:value>6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_divide_factor_c9" type="int"> + <ipxact:name>gui_divide_factor_c9</ipxact:name> + <ipxact:displayName>Divide Factor (C-Counter)</ipxact:displayName> + <ipxact:value>6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_divide_factor_c10" type="int"> + <ipxact:name>gui_divide_factor_c10</ipxact:name> + <ipxact:displayName>Divide Factor (C-Counter)</ipxact:displayName> + <ipxact:value>6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_divide_factor_c11" type="int"> + <ipxact:name>gui_divide_factor_c11</ipxact:name> + <ipxact:displayName>Divide Factor (C-Counter)</ipxact:displayName> + <ipxact:value>6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_divide_factor_c12" type="int"> + <ipxact:name>gui_divide_factor_c12</ipxact:name> + <ipxact:displayName>Divide Factor (C-Counter)</ipxact:displayName> + <ipxact:value>6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_divide_factor_c13" type="int"> + <ipxact:name>gui_divide_factor_c13</ipxact:name> + <ipxact:displayName>Divide Factor (C-Counter)</ipxact:displayName> + <ipxact:value>6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_divide_factor_c14" type="int"> + <ipxact:name>gui_divide_factor_c14</ipxact:name> + <ipxact:displayName>Divide Factor (C-Counter)</ipxact:displayName> + <ipxact:value>6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_divide_factor_c15" type="int"> + <ipxact:name>gui_divide_factor_c15</ipxact:name> + <ipxact:displayName>Divide Factor (C-Counter)</ipxact:displayName> + <ipxact:value>6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_divide_factor_c16" type="int"> + <ipxact:name>gui_divide_factor_c16</ipxact:name> + <ipxact:displayName>Divide Factor (C-Counter)</ipxact:displayName> + <ipxact:value>6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_divide_factor_c17" type="int"> + <ipxact:name>gui_divide_factor_c17</ipxact:name> + <ipxact:displayName>Divide Factor (C-Counter)</ipxact:displayName> + <ipxact:value>6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_cascade_counter0" type="bit"> + <ipxact:name>gui_cascade_counter0</ipxact:name> + <ipxact:displayName>Make this a cascade counter</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_cascade_counter1" type="bit"> + <ipxact:name>gui_cascade_counter1</ipxact:name> + <ipxact:displayName>Make this a cascade counter</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_cascade_counter2" type="bit"> + <ipxact:name>gui_cascade_counter2</ipxact:name> + <ipxact:displayName>Make this a cascade counter</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_cascade_counter3" type="bit"> + <ipxact:name>gui_cascade_counter3</ipxact:name> + <ipxact:displayName>Make this a cascade counter</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_cascade_counter4" type="bit"> + <ipxact:name>gui_cascade_counter4</ipxact:name> + <ipxact:displayName>Make this a cascade counter</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_cascade_counter5" type="bit"> + <ipxact:name>gui_cascade_counter5</ipxact:name> + <ipxact:displayName>Make this a cascade counter</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_cascade_counter6" type="bit"> + <ipxact:name>gui_cascade_counter6</ipxact:name> + <ipxact:displayName>Make this a cascade counter</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_cascade_counter7" type="bit"> + <ipxact:name>gui_cascade_counter7</ipxact:name> + <ipxact:displayName>Make this a cascade counter</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_cascade_counter8" type="bit"> + <ipxact:name>gui_cascade_counter8</ipxact:name> + <ipxact:displayName>Make this a cascade counter</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_cascade_counter9" type="bit"> + <ipxact:name>gui_cascade_counter9</ipxact:name> + <ipxact:displayName>Make this a cascade counter</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_cascade_counter10" type="bit"> + <ipxact:name>gui_cascade_counter10</ipxact:name> + <ipxact:displayName>Make this a cascade counter</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_cascade_counter11" type="bit"> + <ipxact:name>gui_cascade_counter11</ipxact:name> + <ipxact:displayName>Make this a cascade counter</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_cascade_counter12" type="bit"> + <ipxact:name>gui_cascade_counter12</ipxact:name> + <ipxact:displayName>Make this a cascade counter</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_cascade_counter13" type="bit"> + <ipxact:name>gui_cascade_counter13</ipxact:name> + <ipxact:displayName>Make this a cascade counter</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_cascade_counter14" type="bit"> + <ipxact:name>gui_cascade_counter14</ipxact:name> + <ipxact:displayName>Make this a cascade counter</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_cascade_counter15" type="bit"> + <ipxact:name>gui_cascade_counter15</ipxact:name> + <ipxact:displayName>Make this a cascade counter</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_cascade_counter16" type="bit"> + <ipxact:name>gui_cascade_counter16</ipxact:name> + <ipxact:displayName>Make this a cascade counter</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_cascade_counter17" type="bit"> + <ipxact:name>gui_cascade_counter17</ipxact:name> + <ipxact:displayName>Make this a cascade counter</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency0" type="real"> + <ipxact:name>gui_output_clock_frequency0</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>20.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency1" type="real"> + <ipxact:name>gui_output_clock_frequency1</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency2" type="real"> + <ipxact:name>gui_output_clock_frequency2</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency3" type="real"> + <ipxact:name>gui_output_clock_frequency3</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>125.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency4" type="real"> + <ipxact:name>gui_output_clock_frequency4</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency5" type="real"> + <ipxact:name>gui_output_clock_frequency5</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency6" type="real"> + <ipxact:name>gui_output_clock_frequency6</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency7" type="real"> + <ipxact:name>gui_output_clock_frequency7</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency8" type="real"> + <ipxact:name>gui_output_clock_frequency8</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency9" type="real"> + <ipxact:name>gui_output_clock_frequency9</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency10" type="real"> + <ipxact:name>gui_output_clock_frequency10</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency11" type="real"> + <ipxact:name>gui_output_clock_frequency11</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency12" type="real"> + <ipxact:name>gui_output_clock_frequency12</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency13" type="real"> + <ipxact:name>gui_output_clock_frequency13</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency14" type="real"> + <ipxact:name>gui_output_clock_frequency14</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency15" type="real"> + <ipxact:name>gui_output_clock_frequency15</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency16" type="real"> + <ipxact:name>gui_output_clock_frequency16</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency17" type="real"> + <ipxact:name>gui_output_clock_frequency17</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency_ps0" type="real"> + <ipxact:name>gui_output_clock_frequency_ps0</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>50000.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency_ps1" type="real"> + <ipxact:name>gui_output_clock_frequency_ps1</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>20000.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency_ps2" type="real"> + <ipxact:name>gui_output_clock_frequency_ps2</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>10000.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency_ps3" type="real"> + <ipxact:name>gui_output_clock_frequency_ps3</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>8000.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency_ps4" type="real"> + <ipxact:name>gui_output_clock_frequency_ps4</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>10000.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency_ps5" type="real"> + <ipxact:name>gui_output_clock_frequency_ps5</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>10000.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency_ps6" type="real"> + <ipxact:name>gui_output_clock_frequency_ps6</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>10000.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency_ps7" type="real"> + <ipxact:name>gui_output_clock_frequency_ps7</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>10000.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency_ps8" type="real"> + <ipxact:name>gui_output_clock_frequency_ps8</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>10000.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency_ps9" type="real"> + <ipxact:name>gui_output_clock_frequency_ps9</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>10000.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency_ps10" type="real"> + <ipxact:name>gui_output_clock_frequency_ps10</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>10000.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency_ps11" type="real"> + <ipxact:name>gui_output_clock_frequency_ps11</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>10000.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency_ps12" type="real"> + <ipxact:name>gui_output_clock_frequency_ps12</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>10000.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency_ps13" type="real"> + <ipxact:name>gui_output_clock_frequency_ps13</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>10000.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency_ps14" type="real"> + <ipxact:name>gui_output_clock_frequency_ps14</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>10000.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency_ps15" type="real"> + <ipxact:name>gui_output_clock_frequency_ps15</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>10000.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency_ps16" type="real"> + <ipxact:name>gui_output_clock_frequency_ps16</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>10000.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency_ps17" type="real"> + <ipxact:name>gui_output_clock_frequency_ps17</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>10000.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency0" type="string"> + <ipxact:name>gui_actual_output_clock_frequency0</ipxact:name> + <ipxact:displayName>Actual Frequency</ipxact:displayName> + <ipxact:value>20.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency1" type="string"> + <ipxact:name>gui_actual_output_clock_frequency1</ipxact:name> + <ipxact:displayName>Actual Frequency</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency2" type="string"> + <ipxact:name>gui_actual_output_clock_frequency2</ipxact:name> + <ipxact:displayName>Actual Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency3" type="string"> + <ipxact:name>gui_actual_output_clock_frequency3</ipxact:name> + <ipxact:displayName>Actual Frequency</ipxact:displayName> + <ipxact:value>125.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency4" type="string"> + <ipxact:name>gui_actual_output_clock_frequency4</ipxact:name> + <ipxact:displayName>Actual Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency5" type="string"> + <ipxact:name>gui_actual_output_clock_frequency5</ipxact:name> + <ipxact:displayName>Actual Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency6" type="string"> + <ipxact:name>gui_actual_output_clock_frequency6</ipxact:name> + <ipxact:displayName>Actual Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency7" type="string"> + <ipxact:name>gui_actual_output_clock_frequency7</ipxact:name> + <ipxact:displayName>Actual Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency8" type="string"> + <ipxact:name>gui_actual_output_clock_frequency8</ipxact:name> + <ipxact:displayName>Actual Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency9" type="string"> + <ipxact:name>gui_actual_output_clock_frequency9</ipxact:name> + <ipxact:displayName>Actual Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency10" type="string"> + <ipxact:name>gui_actual_output_clock_frequency10</ipxact:name> + <ipxact:displayName>Actual Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency11" type="string"> + <ipxact:name>gui_actual_output_clock_frequency11</ipxact:name> + <ipxact:displayName>Actual Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency12" type="string"> + <ipxact:name>gui_actual_output_clock_frequency12</ipxact:name> + <ipxact:displayName>Actual Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency13" type="string"> + <ipxact:name>gui_actual_output_clock_frequency13</ipxact:name> + <ipxact:displayName>Actual Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency14" type="string"> + <ipxact:name>gui_actual_output_clock_frequency14</ipxact:name> + <ipxact:displayName>Actual Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency15" type="string"> + <ipxact:name>gui_actual_output_clock_frequency15</ipxact:name> + <ipxact:displayName>Actual Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency16" type="string"> + <ipxact:name>gui_actual_output_clock_frequency16</ipxact:name> + <ipxact:displayName>Actual Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency17" type="string"> + <ipxact:name>gui_actual_output_clock_frequency17</ipxact:name> + <ipxact:displayName>Actual Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency_range0" type="string"> + <ipxact:name>gui_actual_output_clock_frequency_range0</ipxact:name> + <ipxact:displayName>Legal Frequencies</ipxact:displayName> + <ipxact:value>19.990809,19.991582,19.99328,20.0,20.006402,20.006614</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency_range1" type="string"> + <ipxact:name>gui_actual_output_clock_frequency_range1</ipxact:name> + <ipxact:displayName>Legal Frequencies</ipxact:displayName> + <ipxact:value>48.0,48.148148,48.275862,50.0,51.851852,52.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency_range2" type="string"> + <ipxact:name>gui_actual_output_clock_frequency_range2</ipxact:name> + <ipxact:displayName>Legal Frequencies</ipxact:displayName> + <ipxact:value>92.307692,92.857143,93.333333,100.0,107.692308,108.333333</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency_range3" type="string"> + <ipxact:name>gui_actual_output_clock_frequency_range3</ipxact:name> + <ipxact:displayName>Legal Frequencies</ipxact:displayName> + <ipxact:value>118.181818,120.0,122.222222,125.0,127.272727,128.571429</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency_range4" type="string"> + <ipxact:name>gui_actual_output_clock_frequency_range4</ipxact:name> + <ipxact:displayName>Legal Frequencies</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency_range5" type="string"> + <ipxact:name>gui_actual_output_clock_frequency_range5</ipxact:name> + <ipxact:displayName>Legal Frequencies</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency_range6" type="string"> + <ipxact:name>gui_actual_output_clock_frequency_range6</ipxact:name> + <ipxact:displayName>Legal Frequencies</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency_range7" type="string"> + <ipxact:name>gui_actual_output_clock_frequency_range7</ipxact:name> + <ipxact:displayName>Legal Frequencies</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency_range8" type="string"> + <ipxact:name>gui_actual_output_clock_frequency_range8</ipxact:name> + <ipxact:displayName>Legal Frequencies</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency_range9" type="string"> + <ipxact:name>gui_actual_output_clock_frequency_range9</ipxact:name> + <ipxact:displayName>Legal Frequencies</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency_range10" type="string"> + <ipxact:name>gui_actual_output_clock_frequency_range10</ipxact:name> + <ipxact:displayName>Legal Frequencies</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency_range11" type="string"> + <ipxact:name>gui_actual_output_clock_frequency_range11</ipxact:name> + <ipxact:displayName>Legal Frequencies</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency_range12" type="string"> + <ipxact:name>gui_actual_output_clock_frequency_range12</ipxact:name> + <ipxact:displayName>Legal Frequencies</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency_range13" type="string"> + <ipxact:name>gui_actual_output_clock_frequency_range13</ipxact:name> + <ipxact:displayName>Legal Frequencies</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency_range14" type="string"> + <ipxact:name>gui_actual_output_clock_frequency_range14</ipxact:name> + <ipxact:displayName>Legal Frequencies</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency_range15" type="string"> + <ipxact:name>gui_actual_output_clock_frequency_range15</ipxact:name> + <ipxact:displayName>Legal Frequencies</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency_range16" type="string"> + <ipxact:name>gui_actual_output_clock_frequency_range16</ipxact:name> + <ipxact:displayName>Legal Frequencies</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency_range17" type="string"> + <ipxact:name>gui_actual_output_clock_frequency_range17</ipxact:name> + <ipxact:displayName>Legal Frequencies</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_ps_units0" type="string"> + <ipxact:name>gui_ps_units0</ipxact:name> + <ipxact:displayName>Phase Shift Units</ipxact:displayName> + <ipxact:value>ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_ps_units1" type="string"> + <ipxact:name>gui_ps_units1</ipxact:name> + <ipxact:displayName>Phase Shift Units</ipxact:displayName> + <ipxact:value>ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_ps_units2" type="string"> + <ipxact:name>gui_ps_units2</ipxact:name> + <ipxact:displayName>Phase Shift Units</ipxact:displayName> + <ipxact:value>ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_ps_units3" type="string"> + <ipxact:name>gui_ps_units3</ipxact:name> + <ipxact:displayName>Phase Shift Units</ipxact:displayName> + <ipxact:value>ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_ps_units4" type="string"> + <ipxact:name>gui_ps_units4</ipxact:name> + <ipxact:displayName>Phase Shift Units</ipxact:displayName> + <ipxact:value>ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_ps_units5" type="string"> + <ipxact:name>gui_ps_units5</ipxact:name> + <ipxact:displayName>Phase Shift Units</ipxact:displayName> + <ipxact:value>ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_ps_units6" type="string"> + <ipxact:name>gui_ps_units6</ipxact:name> + <ipxact:displayName>Phase Shift Units</ipxact:displayName> + <ipxact:value>ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_ps_units7" type="string"> + <ipxact:name>gui_ps_units7</ipxact:name> + <ipxact:displayName>Phase Shift Units</ipxact:displayName> + <ipxact:value>ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_ps_units8" type="string"> + <ipxact:name>gui_ps_units8</ipxact:name> + <ipxact:displayName>Phase Shift Units</ipxact:displayName> + <ipxact:value>ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_ps_units9" type="string"> + <ipxact:name>gui_ps_units9</ipxact:name> + <ipxact:displayName>Phase Shift Units</ipxact:displayName> + <ipxact:value>ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_ps_units10" type="string"> + <ipxact:name>gui_ps_units10</ipxact:name> + <ipxact:displayName>Phase Shift Units</ipxact:displayName> + <ipxact:value>ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_ps_units11" type="string"> + <ipxact:name>gui_ps_units11</ipxact:name> + <ipxact:displayName>Phase Shift Units</ipxact:displayName> + <ipxact:value>ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_ps_units12" type="string"> + <ipxact:name>gui_ps_units12</ipxact:name> + <ipxact:displayName>Phase Shift Units</ipxact:displayName> + <ipxact:value>ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_ps_units13" type="string"> + <ipxact:name>gui_ps_units13</ipxact:name> + <ipxact:displayName>Phase Shift Units</ipxact:displayName> + <ipxact:value>ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_ps_units14" type="string"> + <ipxact:name>gui_ps_units14</ipxact:name> + <ipxact:displayName>Phase Shift Units</ipxact:displayName> + <ipxact:value>ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_ps_units15" type="string"> + <ipxact:name>gui_ps_units15</ipxact:name> + <ipxact:displayName>Phase Shift Units</ipxact:displayName> + <ipxact:value>ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_ps_units16" type="string"> + <ipxact:name>gui_ps_units16</ipxact:name> + <ipxact:displayName>Phase Shift Units</ipxact:displayName> + <ipxact:value>ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_ps_units17" type="string"> + <ipxact:name>gui_ps_units17</ipxact:name> + <ipxact:displayName>Phase Shift Units</ipxact:displayName> + <ipxact:value>ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift0" type="real"> + <ipxact:name>gui_phase_shift0</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift1" type="real"> + <ipxact:name>gui_phase_shift1</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift2" type="real"> + <ipxact:name>gui_phase_shift2</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift3" type="real"> + <ipxact:name>gui_phase_shift3</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift4" type="real"> + <ipxact:name>gui_phase_shift4</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift5" type="real"> + <ipxact:name>gui_phase_shift5</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift6" type="real"> + <ipxact:name>gui_phase_shift6</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift7" type="real"> + <ipxact:name>gui_phase_shift7</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift8" type="real"> + <ipxact:name>gui_phase_shift8</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift9" type="real"> + <ipxact:name>gui_phase_shift9</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift10" type="real"> + <ipxact:name>gui_phase_shift10</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift11" type="real"> + <ipxact:name>gui_phase_shift11</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift12" type="real"> + <ipxact:name>gui_phase_shift12</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift13" type="real"> + <ipxact:name>gui_phase_shift13</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift14" type="real"> + <ipxact:name>gui_phase_shift14</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift15" type="real"> + <ipxact:name>gui_phase_shift15</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift16" type="real"> + <ipxact:name>gui_phase_shift16</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift17" type="real"> + <ipxact:name>gui_phase_shift17</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift_deg0" type="real"> + <ipxact:name>gui_phase_shift_deg0</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift_deg1" type="real"> + <ipxact:name>gui_phase_shift_deg1</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift_deg2" type="real"> + <ipxact:name>gui_phase_shift_deg2</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift_deg3" type="real"> + <ipxact:name>gui_phase_shift_deg3</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift_deg4" type="real"> + <ipxact:name>gui_phase_shift_deg4</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift_deg5" type="real"> + <ipxact:name>gui_phase_shift_deg5</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift_deg6" type="real"> + <ipxact:name>gui_phase_shift_deg6</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift_deg7" type="real"> + <ipxact:name>gui_phase_shift_deg7</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift_deg8" type="real"> + <ipxact:name>gui_phase_shift_deg8</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift_deg9" type="real"> + <ipxact:name>gui_phase_shift_deg9</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift_deg10" type="real"> + <ipxact:name>gui_phase_shift_deg10</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift_deg11" type="real"> + <ipxact:name>gui_phase_shift_deg11</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift_deg12" type="real"> + <ipxact:name>gui_phase_shift_deg12</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift_deg13" type="real"> + <ipxact:name>gui_phase_shift_deg13</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift_deg14" type="real"> + <ipxact:name>gui_phase_shift_deg14</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift_deg15" type="real"> + <ipxact:name>gui_phase_shift_deg15</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift_deg16" type="real"> + <ipxact:name>gui_phase_shift_deg16</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift_deg17" type="real"> + <ipxact:name>gui_phase_shift_deg17</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift0" type="string"> + <ipxact:name>gui_actual_phase_shift0</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift1" type="string"> + <ipxact:name>gui_actual_phase_shift1</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift2" type="string"> + <ipxact:name>gui_actual_phase_shift2</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift3" type="string"> + <ipxact:name>gui_actual_phase_shift3</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift4" type="string"> + <ipxact:name>gui_actual_phase_shift4</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift5" type="string"> + <ipxact:name>gui_actual_phase_shift5</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift6" type="string"> + <ipxact:name>gui_actual_phase_shift6</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift7" type="string"> + <ipxact:name>gui_actual_phase_shift7</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift8" type="string"> + <ipxact:name>gui_actual_phase_shift8</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift9" type="string"> + <ipxact:name>gui_actual_phase_shift9</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift10" type="string"> + <ipxact:name>gui_actual_phase_shift10</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift11" type="string"> + <ipxact:name>gui_actual_phase_shift11</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift12" type="string"> + <ipxact:name>gui_actual_phase_shift12</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift13" type="string"> + <ipxact:name>gui_actual_phase_shift13</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift14" type="string"> + <ipxact:name>gui_actual_phase_shift14</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift15" type="string"> + <ipxact:name>gui_actual_phase_shift15</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift16" type="string"> + <ipxact:name>gui_actual_phase_shift16</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift17" type="string"> + <ipxact:name>gui_actual_phase_shift17</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_range0" type="string"> + <ipxact:name>gui_actual_phase_shift_range0</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0,125.0,250.0,375.0,500.0,625.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_range1" type="string"> + <ipxact:name>gui_actual_phase_shift_range1</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0,125.0,250.0,375.0,500.0,625.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_range2" type="string"> + <ipxact:name>gui_actual_phase_shift_range2</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0,125.0,250.0,375.0,500.0,625.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_range3" type="string"> + <ipxact:name>gui_actual_phase_shift_range3</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0,125.0,250.0,375.0,500.0,625.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_range4" type="string"> + <ipxact:name>gui_actual_phase_shift_range4</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_range5" type="string"> + <ipxact:name>gui_actual_phase_shift_range5</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_range6" type="string"> + <ipxact:name>gui_actual_phase_shift_range6</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_range7" type="string"> + <ipxact:name>gui_actual_phase_shift_range7</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_range8" type="string"> + <ipxact:name>gui_actual_phase_shift_range8</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_range9" type="string"> + <ipxact:name>gui_actual_phase_shift_range9</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_range10" type="string"> + <ipxact:name>gui_actual_phase_shift_range10</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_range11" type="string"> + <ipxact:name>gui_actual_phase_shift_range11</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_range12" type="string"> + <ipxact:name>gui_actual_phase_shift_range12</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_range13" type="string"> + <ipxact:name>gui_actual_phase_shift_range13</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_range14" type="string"> + <ipxact:name>gui_actual_phase_shift_range14</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_range15" type="string"> + <ipxact:name>gui_actual_phase_shift_range15</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_range16" type="string"> + <ipxact:name>gui_actual_phase_shift_range16</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_range17" type="string"> + <ipxact:name>gui_actual_phase_shift_range17</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg0" type="string"> + <ipxact:name>gui_actual_phase_shift_deg0</ipxact:name> + <ipxact:displayName>Actual Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg1" type="string"> + <ipxact:name>gui_actual_phase_shift_deg1</ipxact:name> + <ipxact:displayName>Actual Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg2" type="string"> + <ipxact:name>gui_actual_phase_shift_deg2</ipxact:name> + <ipxact:displayName>Actual Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg3" type="string"> + <ipxact:name>gui_actual_phase_shift_deg3</ipxact:name> + <ipxact:displayName>Actual Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg4" type="string"> + <ipxact:name>gui_actual_phase_shift_deg4</ipxact:name> + <ipxact:displayName>Actual Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg5" type="string"> + <ipxact:name>gui_actual_phase_shift_deg5</ipxact:name> + <ipxact:displayName>Actual Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg6" type="string"> + <ipxact:name>gui_actual_phase_shift_deg6</ipxact:name> + <ipxact:displayName>Actual Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg7" type="string"> + <ipxact:name>gui_actual_phase_shift_deg7</ipxact:name> + <ipxact:displayName>Actual Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg8" type="string"> + <ipxact:name>gui_actual_phase_shift_deg8</ipxact:name> + <ipxact:displayName>Actual Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg9" type="string"> + <ipxact:name>gui_actual_phase_shift_deg9</ipxact:name> + <ipxact:displayName>Actual Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg10" type="string"> + <ipxact:name>gui_actual_phase_shift_deg10</ipxact:name> + <ipxact:displayName>Actual Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg11" type="string"> + <ipxact:name>gui_actual_phase_shift_deg11</ipxact:name> + <ipxact:displayName>Actual Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg12" type="string"> + <ipxact:name>gui_actual_phase_shift_deg12</ipxact:name> + <ipxact:displayName>Actual Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg13" type="string"> + <ipxact:name>gui_actual_phase_shift_deg13</ipxact:name> + <ipxact:displayName>Actual Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg14" type="string"> + <ipxact:name>gui_actual_phase_shift_deg14</ipxact:name> + <ipxact:displayName>Actual Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg15" type="string"> + <ipxact:name>gui_actual_phase_shift_deg15</ipxact:name> + <ipxact:displayName>Actual Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg16" type="string"> + <ipxact:name>gui_actual_phase_shift_deg16</ipxact:name> + <ipxact:displayName>Actual Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg17" type="string"> + <ipxact:name>gui_actual_phase_shift_deg17</ipxact:name> + <ipxact:displayName>Actual Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg_range0" type="string"> + <ipxact:name>gui_actual_phase_shift_deg_range0</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0,0.9,1.8,2.7,3.6,4.5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg_range1" type="string"> + <ipxact:name>gui_actual_phase_shift_deg_range1</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0,2.2,4.5,6.8,9.0,11.2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg_range2" type="string"> + <ipxact:name>gui_actual_phase_shift_deg_range2</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0,4.5,9.0,13.5,18.0,22.5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg_range3" type="string"> + <ipxact:name>gui_actual_phase_shift_deg_range3</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0,5.6,11.2,16.9,22.5,28.1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg_range4" type="string"> + <ipxact:name>gui_actual_phase_shift_deg_range4</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg_range5" type="string"> + <ipxact:name>gui_actual_phase_shift_deg_range5</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg_range6" type="string"> + <ipxact:name>gui_actual_phase_shift_deg_range6</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg_range7" type="string"> + <ipxact:name>gui_actual_phase_shift_deg_range7</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg_range8" type="string"> + <ipxact:name>gui_actual_phase_shift_deg_range8</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg_range9" type="string"> + <ipxact:name>gui_actual_phase_shift_deg_range9</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg_range10" type="string"> + <ipxact:name>gui_actual_phase_shift_deg_range10</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg_range11" type="string"> + <ipxact:name>gui_actual_phase_shift_deg_range11</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg_range12" type="string"> + <ipxact:name>gui_actual_phase_shift_deg_range12</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg_range13" type="string"> + <ipxact:name>gui_actual_phase_shift_deg_range13</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg_range14" type="string"> + <ipxact:name>gui_actual_phase_shift_deg_range14</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg_range15" type="string"> + <ipxact:name>gui_actual_phase_shift_deg_range15</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg_range16" type="string"> + <ipxact:name>gui_actual_phase_shift_deg_range16</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg_range17" type="string"> + <ipxact:name>gui_actual_phase_shift_deg_range17</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_duty_cycle0" type="real"> + <ipxact:name>gui_duty_cycle0</ipxact:name> + <ipxact:displayName>Desired Duty Cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_duty_cycle1" type="real"> + <ipxact:name>gui_duty_cycle1</ipxact:name> + <ipxact:displayName>Desired Duty Cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_duty_cycle2" type="real"> + <ipxact:name>gui_duty_cycle2</ipxact:name> + <ipxact:displayName>Desired Duty Cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_duty_cycle3" type="real"> + <ipxact:name>gui_duty_cycle3</ipxact:name> + <ipxact:displayName>Desired Duty Cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_duty_cycle4" type="real"> + <ipxact:name>gui_duty_cycle4</ipxact:name> + <ipxact:displayName>Desired Duty Cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_duty_cycle5" type="real"> + <ipxact:name>gui_duty_cycle5</ipxact:name> + <ipxact:displayName>Desired Duty Cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_duty_cycle6" type="real"> + <ipxact:name>gui_duty_cycle6</ipxact:name> + <ipxact:displayName>Desired Duty Cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_duty_cycle7" type="real"> + <ipxact:name>gui_duty_cycle7</ipxact:name> + <ipxact:displayName>Desired Duty Cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_duty_cycle8" type="real"> + <ipxact:name>gui_duty_cycle8</ipxact:name> + <ipxact:displayName>Desired Duty Cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_duty_cycle9" type="real"> + <ipxact:name>gui_duty_cycle9</ipxact:name> + <ipxact:displayName>Desired Duty Cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_duty_cycle10" type="real"> + <ipxact:name>gui_duty_cycle10</ipxact:name> + <ipxact:displayName>Desired Duty Cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_duty_cycle11" type="real"> + <ipxact:name>gui_duty_cycle11</ipxact:name> + <ipxact:displayName>Desired Duty Cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_duty_cycle12" type="real"> + <ipxact:name>gui_duty_cycle12</ipxact:name> + <ipxact:displayName>Desired Duty Cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_duty_cycle13" type="real"> + <ipxact:name>gui_duty_cycle13</ipxact:name> + <ipxact:displayName>Desired Duty Cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_duty_cycle14" type="real"> + <ipxact:name>gui_duty_cycle14</ipxact:name> + <ipxact:displayName>Desired Duty Cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_duty_cycle15" type="real"> + <ipxact:name>gui_duty_cycle15</ipxact:name> + <ipxact:displayName>Desired Duty Cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_duty_cycle16" type="real"> + <ipxact:name>gui_duty_cycle16</ipxact:name> + <ipxact:displayName>Desired Duty Cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_duty_cycle17" type="real"> + <ipxact:name>gui_duty_cycle17</ipxact:name> + <ipxact:displayName>Desired Duty Cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle0" type="string"> + <ipxact:name>gui_actual_duty_cycle0</ipxact:name> + <ipxact:displayName>Actual duty cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle1" type="string"> + <ipxact:name>gui_actual_duty_cycle1</ipxact:name> + <ipxact:displayName>Actual duty cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle2" type="string"> + <ipxact:name>gui_actual_duty_cycle2</ipxact:name> + <ipxact:displayName>Actual duty cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle3" type="string"> + <ipxact:name>gui_actual_duty_cycle3</ipxact:name> + <ipxact:displayName>Actual duty cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle4" type="string"> + <ipxact:name>gui_actual_duty_cycle4</ipxact:name> + <ipxact:displayName>Actual duty cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle5" type="string"> + <ipxact:name>gui_actual_duty_cycle5</ipxact:name> + <ipxact:displayName>Actual duty cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle6" type="string"> + <ipxact:name>gui_actual_duty_cycle6</ipxact:name> + <ipxact:displayName>Actual duty cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle7" type="string"> + <ipxact:name>gui_actual_duty_cycle7</ipxact:name> + <ipxact:displayName>Actual duty cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle8" type="string"> + <ipxact:name>gui_actual_duty_cycle8</ipxact:name> + <ipxact:displayName>Actual duty cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle9" type="string"> + <ipxact:name>gui_actual_duty_cycle9</ipxact:name> + <ipxact:displayName>Actual duty cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle10" type="string"> + <ipxact:name>gui_actual_duty_cycle10</ipxact:name> + <ipxact:displayName>Actual duty cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle11" type="string"> + <ipxact:name>gui_actual_duty_cycle11</ipxact:name> + <ipxact:displayName>Actual duty cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle12" type="string"> + <ipxact:name>gui_actual_duty_cycle12</ipxact:name> + <ipxact:displayName>Actual duty cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle13" type="string"> + <ipxact:name>gui_actual_duty_cycle13</ipxact:name> + <ipxact:displayName>Actual duty cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle14" type="string"> + <ipxact:name>gui_actual_duty_cycle14</ipxact:name> + <ipxact:displayName>Actual duty cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle15" type="string"> + <ipxact:name>gui_actual_duty_cycle15</ipxact:name> + <ipxact:displayName>Actual duty cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle16" type="string"> + <ipxact:name>gui_actual_duty_cycle16</ipxact:name> + <ipxact:displayName>Actual duty cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle17" type="string"> + <ipxact:name>gui_actual_duty_cycle17</ipxact:name> + <ipxact:displayName>Actual duty cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle_range0" type="string"> + <ipxact:name>gui_actual_duty_cycle_range0</ipxact:name> + <ipxact:displayName>Legal Duty Cycles</ipxact:displayName> + <ipxact:value>47.0,48.0,49.0,50.0,51.0,52.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle_range1" type="string"> + <ipxact:name>gui_actual_duty_cycle_range1</ipxact:name> + <ipxact:displayName>Legal Duty Cycles</ipxact:displayName> + <ipxact:value>42.5,45.0,47.5,50.0,52.5,55.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle_range2" type="string"> + <ipxact:name>gui_actual_duty_cycle_range2</ipxact:name> + <ipxact:displayName>Legal Duty Cycles</ipxact:displayName> + <ipxact:value>35.0,40.0,45.0,50.0,55.0,60.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle_range3" type="string"> + <ipxact:name>gui_actual_duty_cycle_range3</ipxact:name> + <ipxact:displayName>Legal Duty Cycles</ipxact:displayName> + <ipxact:value>31.25,37.5,43.75,50.0,56.25,62.5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle_range4" type="string"> + <ipxact:name>gui_actual_duty_cycle_range4</ipxact:name> + <ipxact:displayName>Legal Duty Cycles</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle_range5" type="string"> + <ipxact:name>gui_actual_duty_cycle_range5</ipxact:name> + <ipxact:displayName>Legal Duty Cycles</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle_range6" type="string"> + <ipxact:name>gui_actual_duty_cycle_range6</ipxact:name> + <ipxact:displayName>Legal Duty Cycles</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle_range7" type="string"> + <ipxact:name>gui_actual_duty_cycle_range7</ipxact:name> + <ipxact:displayName>Legal Duty Cycles</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle_range8" type="string"> + <ipxact:name>gui_actual_duty_cycle_range8</ipxact:name> + <ipxact:displayName>Legal Duty Cycles</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle_range9" type="string"> + <ipxact:name>gui_actual_duty_cycle_range9</ipxact:name> + <ipxact:displayName>Legal Duty Cycles</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle_range10" type="string"> + <ipxact:name>gui_actual_duty_cycle_range10</ipxact:name> + <ipxact:displayName>Legal Duty Cycles</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle_range11" type="string"> + <ipxact:name>gui_actual_duty_cycle_range11</ipxact:name> + <ipxact:displayName>Legal Duty Cycles</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle_range12" type="string"> + <ipxact:name>gui_actual_duty_cycle_range12</ipxact:name> + <ipxact:displayName>Legal Duty Cycles</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle_range13" type="string"> + <ipxact:name>gui_actual_duty_cycle_range13</ipxact:name> + <ipxact:displayName>Legal Duty Cycles</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle_range14" type="string"> + <ipxact:name>gui_actual_duty_cycle_range14</ipxact:name> + <ipxact:displayName>Legal Duty Cycles</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle_range15" type="string"> + <ipxact:name>gui_actual_duty_cycle_range15</ipxact:name> + <ipxact:displayName>Legal Duty Cycles</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle_range16" type="string"> + <ipxact:name>gui_actual_duty_cycle_range16</ipxact:name> + <ipxact:displayName>Legal Duty Cycles</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle_range17" type="string"> + <ipxact:name>gui_actual_duty_cycle_range17</ipxact:name> + <ipxact:displayName>Legal Duty Cycles</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="parameterTable_names" type="string"> + <ipxact:name>parameterTable_names</ipxact:name> + <ipxact:displayName>Parameter Names</ipxact:displayName> + <ipxact:value>M-Counter Divide Setting,N-Counter Divide Setting,VCO Frequency,C-Counter-0 Divide Setting,C-Counter-1 Divide Setting,C-Counter-2 Divide Setting,C-Counter-3 Divide Setting,C-Counter-4 Divide Setting,C-Counter-5 Divide Setting,C-Counter-6 Divide Setting,C-Counter-7 Divide Setting,C-Counter-8 Divide Setting,PLL Auto Reset,M-Counter Hi Divide,M-Counter Lo Divide,M-Counter Even Duty Enable,M-Counter Bypass Enable,N-Counter Hi Divide,N-Counter Lo Divide,N-Counter Even Duty Enable,N-Counter Bypass Enable,C-Counter-0 Hi Divide,C-Counter-1 Hi Divide,C-Counter-2 Hi Divide,C-Counter-3 Hi Divide,C-Counter-4 Hi Divide,C-Counter-5 Hi Divide,C-Counter-6 Hi Divide,C-Counter-7 Hi Divide,C-Counter-8 Hi Divide,C-Counter-0 Lo Divide,C-Counter-1 Lo Divide,C-Counter-2 Lo Divide,C-Counter-3 Lo Divide,C-Counter-4 Lo Divide,C-Counter-5 Lo Divide,C-Counter-6 Lo Divide,C-Counter-7 Lo Divide,C-Counter-8 Lo Divide,C-Counter-0 Even Duty Enable,C-Counter-1 Even Duty Enable,C-Counter-2 Even Duty Enable,C-Counter-3 Even Duty Enable,C-Counter-4 Even Duty Enable,C-Counter-5 Even Duty Enable,C-Counter-6 Even Duty Enable,C-Counter-7 Even Duty Enable,C-Counter-8 Even Duty Enable,C-Counter-0 Bypass Enable,C-Counter-1 Bypass Enable,C-Counter-2 Bypass Enable,C-Counter-3 Bypass Enable,C-Counter-4 Bypass Enable,C-Counter-5 Bypass Enable,C-Counter-6 Bypass Enable,C-Counter-7 Bypass Enable,C-Counter-8 Bypass Enable,C-Counter-0 Preset,C-Counter-1 Preset,C-Counter-2 Preset,C-Counter-3 Preset,C-Counter-4 Preset,C-Counter-5 Preset,C-Counter-6 Preset,C-Counter-7 Preset,C-Counter-8 Preset,C-Counter-0 Phase Mux Preset,C-Counter-1 Phase Mux Preset,C-Counter-2 Phase Mux Preset,C-Counter-3 Phase Mux Preset,C-Counter-4 Phase Mux Preset,C-Counter-5 Phase Mux Preset,C-Counter-6 Phase Mux Preset,C-Counter-7 Phase Mux Preset,C-Counter-8 Phase Mux Preset,Charge Pump Current,Bandwidth Control</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="parameterTable_values" type="string"> + <ipxact:name>parameterTable_values</ipxact:name> + <ipxact:displayName>Parameter Values</ipxact:displayName> + <ipxact:value>8,1,1000.0 MHz,50,20,10,8,1,1,1,1,1,false,4,4,false,false,256,256,false,true,25,10,5,4,256,256,256,256,256,25,10,5,4,256,256,256,256,256,false,false,false,false,false,false,false,false,false,false,false,false,false,true,true,true,true,true,1,1,1,1,1,1,1,1,1,0,0,0,0,0,0,0,0,0,pll_cp_setting14,pll_bw_res_setting3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="mifTable_names" type="string"> + <ipxact:name>mifTable_names</ipxact:name> + <ipxact:displayName>MIF File Property</ipxact:displayName> + <ipxact:value>The MIF file specified does not yet exist</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="mifTable_values" type="string"> + <ipxact:name>mifTable_values</ipxact:name> + <ipxact:displayName>Values</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_m_cnt_basic" type="int"> + <ipxact:name>pll_m_cnt_basic</ipxact:name> + <ipxact:displayName>pll_m_cnt_basic</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_m_cnt" type="int"> + <ipxact:name>pll_m_cnt</ipxact:name> + <ipxact:displayName>pll_m_cnt</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prot_mode" type="string"> + <ipxact:name>prot_mode</ipxact:name> + <ipxact:displayName>prot_mode</ipxact:displayName> + <ipxact:value>BASIC</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="m_cnt_hi_div" type="int"> + <ipxact:name>m_cnt_hi_div</ipxact:name> + <ipxact:displayName>m_cnt_hi_div</ipxact:displayName> + <ipxact:value>4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="eff_m_cnt" type="int"> + <ipxact:name>eff_m_cnt</ipxact:name> + <ipxact:displayName>eff_m_cnt</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="multiply_factor" type="int"> + <ipxact:name>multiply_factor</ipxact:name> + <ipxact:displayName>multiply_factor</ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="use_core_refclk" type="bit"> + <ipxact:name>use_core_refclk</ipxact:name> + <ipxact:displayName>use_core_refclk</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="m_cnt_lo_div" type="int"> + <ipxact:name>m_cnt_lo_div</ipxact:name> + <ipxact:displayName>m_cnt_lo_div</ipxact:displayName> + <ipxact:value>4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="n_cnt_hi_div" type="int"> + <ipxact:name>n_cnt_hi_div</ipxact:name> + <ipxact:displayName>n_cnt_hi_div</ipxact:displayName> + <ipxact:value>256</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="n_cnt_lo_div" type="int"> + <ipxact:name>n_cnt_lo_div</ipxact:name> + <ipxact:displayName>n_cnt_lo_div</ipxact:displayName> + <ipxact:value>256</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="m_cnt_bypass_en" type="bit"> + <ipxact:name>m_cnt_bypass_en</ipxact:name> + <ipxact:displayName>m_cnt_bypass_en</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="n_cnt_bypass_en" type="bit"> + <ipxact:name>n_cnt_bypass_en</ipxact:name> + <ipxact:displayName>n_cnt_bypass_en</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="m_cnt_odd_div_duty_en" type="bit"> + <ipxact:name>m_cnt_odd_div_duty_en</ipxact:name> + <ipxact:displayName>m_cnt_odd_div_duty_en</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="n_cnt_odd_div_duty_en" type="bit"> + <ipxact:name>n_cnt_odd_div_duty_en</ipxact:name> + <ipxact:displayName>n_cnt_odd_div_duty_en</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_vco_div" type="int"> + <ipxact:name>pll_vco_div</ipxact:name> + <ipxact:displayName>pll_vco_div</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_cp_current" type="string"> + <ipxact:name>pll_cp_current</ipxact:name> + <ipxact:displayName>pll_cp_current</ipxact:displayName> + <ipxact:value>pll_cp_setting14</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_bwctrl" type="string"> + <ipxact:name>pll_bwctrl</ipxact:name> + <ipxact:displayName>pll_bwctrl</ipxact:displayName> + <ipxact:value>pll_bw_res_setting3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_ripplecap_ctrl" type="string"> + <ipxact:name>pll_ripplecap_ctrl</ipxact:name> + <ipxact:displayName>pll_ripplecap_ctrl</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_fractional_division" type="int"> + <ipxact:name>pll_fractional_division</ipxact:name> + <ipxact:displayName>pll_fractional_division</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="fractional_vco_multiplier" type="bit"> + <ipxact:name>fractional_vco_multiplier</ipxact:name> + <ipxact:displayName>fractional_vco_multiplier</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="reference_clock_frequency" type="string"> + <ipxact:name>reference_clock_frequency</ipxact:name> + <ipxact:displayName>reference_clock_frequency</ipxact:displayName> + <ipxact:value>125.0 MHz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_fractional_cout" type="int"> + <ipxact:name>pll_fractional_cout</ipxact:name> + <ipxact:displayName>pll_fractional_cout</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_dsm_out_sel" type="string"> + <ipxact:name>pll_dsm_out_sel</ipxact:name> + <ipxact:displayName>pll_dsm_out_sel</ipxact:displayName> + <ipxact:value>1st_order</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="operation_mode" type="string"> + <ipxact:name>operation_mode</ipxact:name> + <ipxact:displayName>operation_mode</ipxact:displayName> + <ipxact:value>direct</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="number_of_clocks" type="int"> + <ipxact:name>number_of_clocks</ipxact:name> + <ipxact:displayName>number_of_clocks</ipxact:displayName> + <ipxact:value>4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="number_of_outclks" type="int"> + <ipxact:name>number_of_outclks</ipxact:name> + <ipxact:displayName>number_of_outclks</ipxact:displayName> + <ipxact:value>4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_vcoph_div" type="int"> + <ipxact:name>pll_vcoph_div</ipxact:name> + <ipxact:displayName>pll_vcoph_div</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_type" type="string"> + <ipxact:name>pll_type</ipxact:name> + <ipxact:displayName>pll_type</ipxact:displayName> + <ipxact:value>Arria 10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_subtype" type="string"> + <ipxact:name>pll_subtype</ipxact:name> + <ipxact:displayName>pll_subtype</ipxact:displayName> + <ipxact:value>General</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_output_clk_frequency" type="string"> + <ipxact:name>pll_output_clk_frequency</ipxact:name> + <ipxact:displayName>pll_output_clk_frequency</ipxact:displayName> + <ipxact:value>1000.0 MHz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_pfd_frequency" type="string"> + <ipxact:name>pll_pfd_frequency</ipxact:name> + <ipxact:displayName>pll_pfd_frequency</ipxact:displayName> + <ipxact:value>125.0 MHz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="mimic_fbclk_type" type="string"> + <ipxact:name>mimic_fbclk_type</ipxact:name> + <ipxact:displayName>mimic_fbclk_type</ipxact:displayName> + <ipxact:value>gclk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_bw_sel" type="string"> + <ipxact:name>pll_bw_sel</ipxact:name> + <ipxact:displayName>pll_bw_sel</ipxact:displayName> + <ipxact:value>Low</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_slf_rst" type="bit"> + <ipxact:name>pll_slf_rst</ipxact:name> + <ipxact:displayName>pll_slf_rst</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_fbclk_mux_1" type="string"> + <ipxact:name>pll_fbclk_mux_1</ipxact:name> + <ipxact:displayName>pll_fbclk_mux_1</ipxact:displayName> + <ipxact:value>pll_fbclk_mux_1_glb</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_fbclk_mux_2" type="string"> + <ipxact:name>pll_fbclk_mux_2</ipxact:name> + <ipxact:displayName>pll_fbclk_mux_2</ipxact:displayName> + <ipxact:value>pll_fbclk_mux_2_m_cnt</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_m_cnt_in_src" type="string"> + <ipxact:name>pll_m_cnt_in_src</ipxact:name> + <ipxact:displayName>pll_m_cnt_in_src</ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_clkin_0_src" type="string"> + <ipxact:name>pll_clkin_0_src</ipxact:name> + <ipxact:displayName>pll_clkin_0_src</ipxact:displayName> + <ipxact:value>clk_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="refclk1_frequency" type="string"> + <ipxact:name>refclk1_frequency</ipxact:name> + <ipxact:displayName>refclk1_frequency</ipxact:displayName> + <ipxact:value>100.0 MHz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_clk_loss_sw_en" type="bit"> + <ipxact:name>pll_clk_loss_sw_en</ipxact:name> + <ipxact:displayName>pll_clk_loss_sw_en</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_manu_clk_sw_en" type="bit"> + <ipxact:name>pll_manu_clk_sw_en</ipxact:name> + <ipxact:displayName>pll_manu_clk_sw_en</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_auto_clk_sw_en" type="bit"> + <ipxact:name>pll_auto_clk_sw_en</ipxact:name> + <ipxact:displayName>pll_auto_clk_sw_en</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_clkin_1_src" type="string"> + <ipxact:name>pll_clkin_1_src</ipxact:name> + <ipxact:displayName>pll_clkin_1_src</ipxact:displayName> + <ipxact:value>clk_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_clk_sw_dly" type="int"> + <ipxact:name>pll_clk_sw_dly</ipxact:name> + <ipxact:displayName>pll_clk_sw_dly</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_extclk_0_cnt_src" type="string"> + <ipxact:name>pll_extclk_0_cnt_src</ipxact:name> + <ipxact:displayName>pll_extclk_0_cnt_src</ipxact:displayName> + <ipxact:value>pll_extclk_cnt_src_vss</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_extclk_1_cnt_src" type="string"> + <ipxact:name>pll_extclk_1_cnt_src</ipxact:name> + <ipxact:displayName>pll_extclk_1_cnt_src</ipxact:displayName> + <ipxact:value>pll_extclk_cnt_src_vss</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_lock_fltr_cfg" type="int"> + <ipxact:name>pll_lock_fltr_cfg</ipxact:name> + <ipxact:displayName>pll_lock_fltr_cfg</ipxact:displayName> + <ipxact:value>100</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_unlock_fltr_cfg" type="int"> + <ipxact:name>pll_unlock_fltr_cfg</ipxact:name> + <ipxact:displayName>pll_unlock_fltr_cfg</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="lock_mode" type="string"> + <ipxact:name>lock_mode</ipxact:name> + <ipxact:displayName>lock_mode</ipxact:displayName> + <ipxact:value>low_lock_time</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clock_to_compensate" type="int"> + <ipxact:name>clock_to_compensate</ipxact:name> + <ipxact:displayName>clock_to_compensate</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clock_name_global" type="bit"> + <ipxact:name>clock_name_global</ipxact:name> + <ipxact:displayName>clock_name_global</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_freqcal_en" type="bit"> + <ipxact:name>pll_freqcal_en</ipxact:name> + <ipxact:displayName>pll_freqcal_en</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_defer_cal_user_mode" type="bit"> + <ipxact:name>pll_defer_cal_user_mode</ipxact:name> + <ipxact:displayName>pll_defer_cal_user_mode</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="dprio_interface_sel" type="int"> + <ipxact:name>dprio_interface_sel</ipxact:name> + <ipxact:displayName>dprio_interface_sel</ipxact:displayName> + <ipxact:value>3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="merging_permitted" type="bit"> + <ipxact:name>merging_permitted</ipxact:name> + <ipxact:displayName>merging_permitted</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_hi_div0" type="int"> + <ipxact:name>c_cnt_hi_div0</ipxact:name> + <ipxact:displayName>c_cnt_hi_div0</ipxact:displayName> + <ipxact:value>25</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_hi_div1" type="int"> + <ipxact:name>c_cnt_hi_div1</ipxact:name> + <ipxact:displayName>c_cnt_hi_div1</ipxact:displayName> + <ipxact:value>10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_hi_div2" type="int"> + <ipxact:name>c_cnt_hi_div2</ipxact:name> + <ipxact:displayName>c_cnt_hi_div2</ipxact:displayName> + <ipxact:value>5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_hi_div3" type="int"> + <ipxact:name>c_cnt_hi_div3</ipxact:name> + <ipxact:displayName>c_cnt_hi_div3</ipxact:displayName> + <ipxact:value>4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_hi_div4" type="int"> + <ipxact:name>c_cnt_hi_div4</ipxact:name> + <ipxact:displayName>c_cnt_hi_div4</ipxact:displayName> + <ipxact:value>256</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_hi_div5" type="int"> + <ipxact:name>c_cnt_hi_div5</ipxact:name> + <ipxact:displayName>c_cnt_hi_div5</ipxact:displayName> + <ipxact:value>256</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_hi_div6" type="int"> + <ipxact:name>c_cnt_hi_div6</ipxact:name> + <ipxact:displayName>c_cnt_hi_div6</ipxact:displayName> + <ipxact:value>256</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_hi_div7" type="int"> + <ipxact:name>c_cnt_hi_div7</ipxact:name> + <ipxact:displayName>c_cnt_hi_div7</ipxact:displayName> + <ipxact:value>256</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_hi_div8" type="int"> + <ipxact:name>c_cnt_hi_div8</ipxact:name> + <ipxact:displayName>c_cnt_hi_div8</ipxact:displayName> + <ipxact:value>256</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_hi_div9" type="int"> + <ipxact:name>c_cnt_hi_div9</ipxact:name> + <ipxact:displayName>c_cnt_hi_div9</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_hi_div10" type="int"> + <ipxact:name>c_cnt_hi_div10</ipxact:name> + <ipxact:displayName>c_cnt_hi_div10</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_hi_div11" type="int"> + <ipxact:name>c_cnt_hi_div11</ipxact:name> + <ipxact:displayName>c_cnt_hi_div11</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_hi_div12" type="int"> + <ipxact:name>c_cnt_hi_div12</ipxact:name> + <ipxact:displayName>c_cnt_hi_div12</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_hi_div13" type="int"> + <ipxact:name>c_cnt_hi_div13</ipxact:name> + <ipxact:displayName>c_cnt_hi_div13</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_hi_div14" type="int"> + <ipxact:name>c_cnt_hi_div14</ipxact:name> + <ipxact:displayName>c_cnt_hi_div14</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_hi_div15" type="int"> + <ipxact:name>c_cnt_hi_div15</ipxact:name> + <ipxact:displayName>c_cnt_hi_div15</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_hi_div16" type="int"> + <ipxact:name>c_cnt_hi_div16</ipxact:name> + <ipxact:displayName>c_cnt_hi_div16</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_hi_div17" type="int"> + <ipxact:name>c_cnt_hi_div17</ipxact:name> + <ipxact:displayName>c_cnt_hi_div17</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_lo_div0" type="int"> + <ipxact:name>c_cnt_lo_div0</ipxact:name> + <ipxact:displayName>c_cnt_lo_div0</ipxact:displayName> + <ipxact:value>25</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_lo_div1" type="int"> + <ipxact:name>c_cnt_lo_div1</ipxact:name> + <ipxact:displayName>c_cnt_lo_div1</ipxact:displayName> + <ipxact:value>10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_lo_div2" type="int"> + <ipxact:name>c_cnt_lo_div2</ipxact:name> + <ipxact:displayName>c_cnt_lo_div2</ipxact:displayName> + <ipxact:value>5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_lo_div3" type="int"> + <ipxact:name>c_cnt_lo_div3</ipxact:name> + <ipxact:displayName>c_cnt_lo_div3</ipxact:displayName> + <ipxact:value>4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_lo_div4" type="int"> + <ipxact:name>c_cnt_lo_div4</ipxact:name> + <ipxact:displayName>c_cnt_lo_div4</ipxact:displayName> + <ipxact:value>256</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_lo_div5" type="int"> + <ipxact:name>c_cnt_lo_div5</ipxact:name> + <ipxact:displayName>c_cnt_lo_div5</ipxact:displayName> + <ipxact:value>256</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_lo_div6" type="int"> + <ipxact:name>c_cnt_lo_div6</ipxact:name> + <ipxact:displayName>c_cnt_lo_div6</ipxact:displayName> + <ipxact:value>256</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_lo_div7" type="int"> + <ipxact:name>c_cnt_lo_div7</ipxact:name> + <ipxact:displayName>c_cnt_lo_div7</ipxact:displayName> + <ipxact:value>256</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_lo_div8" type="int"> + <ipxact:name>c_cnt_lo_div8</ipxact:name> + <ipxact:displayName>c_cnt_lo_div8</ipxact:displayName> + <ipxact:value>256</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_lo_div9" type="int"> + <ipxact:name>c_cnt_lo_div9</ipxact:name> + <ipxact:displayName>c_cnt_lo_div9</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_lo_div10" type="int"> + <ipxact:name>c_cnt_lo_div10</ipxact:name> + <ipxact:displayName>c_cnt_lo_div10</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_lo_div11" type="int"> + <ipxact:name>c_cnt_lo_div11</ipxact:name> + <ipxact:displayName>c_cnt_lo_div11</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_lo_div12" type="int"> + <ipxact:name>c_cnt_lo_div12</ipxact:name> + <ipxact:displayName>c_cnt_lo_div12</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_lo_div13" type="int"> + <ipxact:name>c_cnt_lo_div13</ipxact:name> + <ipxact:displayName>c_cnt_lo_div13</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_lo_div14" type="int"> + <ipxact:name>c_cnt_lo_div14</ipxact:name> + <ipxact:displayName>c_cnt_lo_div14</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_lo_div15" type="int"> + <ipxact:name>c_cnt_lo_div15</ipxact:name> + <ipxact:displayName>c_cnt_lo_div15</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_lo_div16" type="int"> + <ipxact:name>c_cnt_lo_div16</ipxact:name> + <ipxact:displayName>c_cnt_lo_div16</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_lo_div17" type="int"> + <ipxact:name>c_cnt_lo_div17</ipxact:name> + <ipxact:displayName>c_cnt_lo_div17</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_prst0" type="int"> + <ipxact:name>c_cnt_prst0</ipxact:name> + <ipxact:displayName>c_cnt_prst0</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_prst1" type="int"> + <ipxact:name>c_cnt_prst1</ipxact:name> + <ipxact:displayName>c_cnt_prst1</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_prst2" type="int"> + <ipxact:name>c_cnt_prst2</ipxact:name> + <ipxact:displayName>c_cnt_prst2</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_prst3" type="int"> + <ipxact:name>c_cnt_prst3</ipxact:name> + <ipxact:displayName>c_cnt_prst3</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_prst4" type="int"> + <ipxact:name>c_cnt_prst4</ipxact:name> + <ipxact:displayName>c_cnt_prst4</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_prst5" type="int"> + <ipxact:name>c_cnt_prst5</ipxact:name> + <ipxact:displayName>c_cnt_prst5</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_prst6" type="int"> + <ipxact:name>c_cnt_prst6</ipxact:name> + <ipxact:displayName>c_cnt_prst6</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_prst7" type="int"> + <ipxact:name>c_cnt_prst7</ipxact:name> + <ipxact:displayName>c_cnt_prst7</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_prst8" type="int"> + <ipxact:name>c_cnt_prst8</ipxact:name> + <ipxact:displayName>c_cnt_prst8</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_prst9" type="int"> + <ipxact:name>c_cnt_prst9</ipxact:name> + <ipxact:displayName>c_cnt_prst9</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_prst10" type="int"> + <ipxact:name>c_cnt_prst10</ipxact:name> + <ipxact:displayName>c_cnt_prst10</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_prst11" type="int"> + <ipxact:name>c_cnt_prst11</ipxact:name> + <ipxact:displayName>c_cnt_prst11</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_prst12" type="int"> + <ipxact:name>c_cnt_prst12</ipxact:name> + <ipxact:displayName>c_cnt_prst12</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_prst13" type="int"> + <ipxact:name>c_cnt_prst13</ipxact:name> + <ipxact:displayName>c_cnt_prst13</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_prst14" type="int"> + <ipxact:name>c_cnt_prst14</ipxact:name> + <ipxact:displayName>c_cnt_prst14</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_prst15" type="int"> + <ipxact:name>c_cnt_prst15</ipxact:name> + <ipxact:displayName>c_cnt_prst15</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_prst16" type="int"> + <ipxact:name>c_cnt_prst16</ipxact:name> + <ipxact:displayName>c_cnt_prst16</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_prst17" type="int"> + <ipxact:name>c_cnt_prst17</ipxact:name> + <ipxact:displayName>c_cnt_prst17</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_ph_mux_prst0" type="int"> + <ipxact:name>c_cnt_ph_mux_prst0</ipxact:name> + <ipxact:displayName>c_cnt_ph_mux_prst0</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_ph_mux_prst1" type="int"> + <ipxact:name>c_cnt_ph_mux_prst1</ipxact:name> + <ipxact:displayName>c_cnt_ph_mux_prst1</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_ph_mux_prst2" type="int"> + <ipxact:name>c_cnt_ph_mux_prst2</ipxact:name> + <ipxact:displayName>c_cnt_ph_mux_prst2</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_ph_mux_prst3" type="int"> + <ipxact:name>c_cnt_ph_mux_prst3</ipxact:name> + <ipxact:displayName>c_cnt_ph_mux_prst3</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_ph_mux_prst4" type="int"> + <ipxact:name>c_cnt_ph_mux_prst4</ipxact:name> + <ipxact:displayName>c_cnt_ph_mux_prst4</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_ph_mux_prst5" type="int"> + <ipxact:name>c_cnt_ph_mux_prst5</ipxact:name> + <ipxact:displayName>c_cnt_ph_mux_prst5</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_ph_mux_prst6" type="int"> + <ipxact:name>c_cnt_ph_mux_prst6</ipxact:name> + <ipxact:displayName>c_cnt_ph_mux_prst6</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_ph_mux_prst7" type="int"> + <ipxact:name>c_cnt_ph_mux_prst7</ipxact:name> + <ipxact:displayName>c_cnt_ph_mux_prst7</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_ph_mux_prst8" type="int"> + <ipxact:name>c_cnt_ph_mux_prst8</ipxact:name> + <ipxact:displayName>c_cnt_ph_mux_prst8</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_ph_mux_prst9" type="int"> + <ipxact:name>c_cnt_ph_mux_prst9</ipxact:name> + <ipxact:displayName>c_cnt_ph_mux_prst9</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_ph_mux_prst10" type="int"> + <ipxact:name>c_cnt_ph_mux_prst10</ipxact:name> + <ipxact:displayName>c_cnt_ph_mux_prst10</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_ph_mux_prst11" type="int"> + <ipxact:name>c_cnt_ph_mux_prst11</ipxact:name> + <ipxact:displayName>c_cnt_ph_mux_prst11</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_ph_mux_prst12" type="int"> + <ipxact:name>c_cnt_ph_mux_prst12</ipxact:name> + <ipxact:displayName>c_cnt_ph_mux_prst12</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_ph_mux_prst13" type="int"> + <ipxact:name>c_cnt_ph_mux_prst13</ipxact:name> + <ipxact:displayName>c_cnt_ph_mux_prst13</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_ph_mux_prst14" type="int"> + <ipxact:name>c_cnt_ph_mux_prst14</ipxact:name> + <ipxact:displayName>c_cnt_ph_mux_prst14</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_ph_mux_prst15" type="int"> + <ipxact:name>c_cnt_ph_mux_prst15</ipxact:name> + <ipxact:displayName>c_cnt_ph_mux_prst15</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_ph_mux_prst16" type="int"> + <ipxact:name>c_cnt_ph_mux_prst16</ipxact:name> + <ipxact:displayName>c_cnt_ph_mux_prst16</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_ph_mux_prst17" type="int"> + <ipxact:name>c_cnt_ph_mux_prst17</ipxact:name> + <ipxact:displayName>c_cnt_ph_mux_prst17</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_in_src0" type="string"> + <ipxact:name>c_cnt_in_src0</ipxact:name> + <ipxact:displayName>c_cnt_in_src0</ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_in_src1" type="string"> + <ipxact:name>c_cnt_in_src1</ipxact:name> + <ipxact:displayName>c_cnt_in_src1</ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_in_src2" type="string"> + <ipxact:name>c_cnt_in_src2</ipxact:name> + <ipxact:displayName>c_cnt_in_src2</ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_in_src3" type="string"> + <ipxact:name>c_cnt_in_src3</ipxact:name> + <ipxact:displayName>c_cnt_in_src3</ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_in_src4" type="string"> + <ipxact:name>c_cnt_in_src4</ipxact:name> + <ipxact:displayName>c_cnt_in_src4</ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_in_src5" type="string"> + <ipxact:name>c_cnt_in_src5</ipxact:name> + <ipxact:displayName>c_cnt_in_src5</ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_in_src6" type="string"> + <ipxact:name>c_cnt_in_src6</ipxact:name> + <ipxact:displayName>c_cnt_in_src6</ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_in_src7" type="string"> + <ipxact:name>c_cnt_in_src7</ipxact:name> + <ipxact:displayName>c_cnt_in_src7</ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_in_src8" type="string"> + <ipxact:name>c_cnt_in_src8</ipxact:name> + <ipxact:displayName>c_cnt_in_src8</ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_in_src9" type="string"> + <ipxact:name>c_cnt_in_src9</ipxact:name> + <ipxact:displayName>c_cnt_in_src9</ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_in_src10" type="string"> + <ipxact:name>c_cnt_in_src10</ipxact:name> + <ipxact:displayName>c_cnt_in_src10</ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_in_src11" type="string"> + <ipxact:name>c_cnt_in_src11</ipxact:name> + <ipxact:displayName>c_cnt_in_src11</ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_in_src12" type="string"> + <ipxact:name>c_cnt_in_src12</ipxact:name> + <ipxact:displayName>c_cnt_in_src12</ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_in_src13" type="string"> + <ipxact:name>c_cnt_in_src13</ipxact:name> + <ipxact:displayName>c_cnt_in_src13</ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_in_src14" type="string"> + <ipxact:name>c_cnt_in_src14</ipxact:name> + <ipxact:displayName>c_cnt_in_src14</ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_in_src15" type="string"> + <ipxact:name>c_cnt_in_src15</ipxact:name> + <ipxact:displayName>c_cnt_in_src15</ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_in_src16" type="string"> + <ipxact:name>c_cnt_in_src16</ipxact:name> + <ipxact:displayName>c_cnt_in_src16</ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_in_src17" type="string"> + <ipxact:name>c_cnt_in_src17</ipxact:name> + <ipxact:displayName>c_cnt_in_src17</ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_bypass_en0" type="bit"> + <ipxact:name>c_cnt_bypass_en0</ipxact:name> + <ipxact:displayName>c_cnt_bypass_en0</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_bypass_en1" type="bit"> + <ipxact:name>c_cnt_bypass_en1</ipxact:name> + <ipxact:displayName>c_cnt_bypass_en1</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_bypass_en2" type="bit"> + <ipxact:name>c_cnt_bypass_en2</ipxact:name> + <ipxact:displayName>c_cnt_bypass_en2</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_bypass_en3" type="bit"> + <ipxact:name>c_cnt_bypass_en3</ipxact:name> + <ipxact:displayName>c_cnt_bypass_en3</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_bypass_en4" type="bit"> + <ipxact:name>c_cnt_bypass_en4</ipxact:name> + <ipxact:displayName>c_cnt_bypass_en4</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_bypass_en5" type="bit"> + <ipxact:name>c_cnt_bypass_en5</ipxact:name> + <ipxact:displayName>c_cnt_bypass_en5</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_bypass_en6" type="bit"> + <ipxact:name>c_cnt_bypass_en6</ipxact:name> + <ipxact:displayName>c_cnt_bypass_en6</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_bypass_en7" type="bit"> + <ipxact:name>c_cnt_bypass_en7</ipxact:name> + <ipxact:displayName>c_cnt_bypass_en7</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_bypass_en8" type="bit"> + <ipxact:name>c_cnt_bypass_en8</ipxact:name> + <ipxact:displayName>c_cnt_bypass_en8</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_bypass_en9" type="bit"> + <ipxact:name>c_cnt_bypass_en9</ipxact:name> + <ipxact:displayName>c_cnt_bypass_en9</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_bypass_en10" type="bit"> + <ipxact:name>c_cnt_bypass_en10</ipxact:name> + <ipxact:displayName>c_cnt_bypass_en10</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_bypass_en11" type="bit"> + <ipxact:name>c_cnt_bypass_en11</ipxact:name> + <ipxact:displayName>c_cnt_bypass_en11</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_bypass_en12" type="bit"> + <ipxact:name>c_cnt_bypass_en12</ipxact:name> + <ipxact:displayName>c_cnt_bypass_en12</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_bypass_en13" type="bit"> + <ipxact:name>c_cnt_bypass_en13</ipxact:name> + <ipxact:displayName>c_cnt_bypass_en13</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_bypass_en14" type="bit"> + <ipxact:name>c_cnt_bypass_en14</ipxact:name> + <ipxact:displayName>c_cnt_bypass_en14</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_bypass_en15" type="bit"> + <ipxact:name>c_cnt_bypass_en15</ipxact:name> + <ipxact:displayName>c_cnt_bypass_en15</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_bypass_en16" type="bit"> + <ipxact:name>c_cnt_bypass_en16</ipxact:name> + <ipxact:displayName>c_cnt_bypass_en16</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_bypass_en17" type="bit"> + <ipxact:name>c_cnt_bypass_en17</ipxact:name> + <ipxact:displayName>c_cnt_bypass_en17</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_odd_div_duty_en0" type="bit"> + <ipxact:name>c_cnt_odd_div_duty_en0</ipxact:name> + <ipxact:displayName>c_cnt_odd_div_duty_en0</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_odd_div_duty_en1" type="bit"> + <ipxact:name>c_cnt_odd_div_duty_en1</ipxact:name> + <ipxact:displayName>c_cnt_odd_div_duty_en1</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_odd_div_duty_en2" type="bit"> + <ipxact:name>c_cnt_odd_div_duty_en2</ipxact:name> + <ipxact:displayName>c_cnt_odd_div_duty_en2</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_odd_div_duty_en3" type="bit"> + <ipxact:name>c_cnt_odd_div_duty_en3</ipxact:name> + <ipxact:displayName>c_cnt_odd_div_duty_en3</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_odd_div_duty_en4" type="bit"> + <ipxact:name>c_cnt_odd_div_duty_en4</ipxact:name> + <ipxact:displayName>c_cnt_odd_div_duty_en4</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_odd_div_duty_en5" type="bit"> + <ipxact:name>c_cnt_odd_div_duty_en5</ipxact:name> + <ipxact:displayName>c_cnt_odd_div_duty_en5</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_odd_div_duty_en6" type="bit"> + <ipxact:name>c_cnt_odd_div_duty_en6</ipxact:name> + <ipxact:displayName>c_cnt_odd_div_duty_en6</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_odd_div_duty_en7" type="bit"> + <ipxact:name>c_cnt_odd_div_duty_en7</ipxact:name> + <ipxact:displayName>c_cnt_odd_div_duty_en7</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_odd_div_duty_en8" type="bit"> + <ipxact:name>c_cnt_odd_div_duty_en8</ipxact:name> + <ipxact:displayName>c_cnt_odd_div_duty_en8</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_odd_div_duty_en9" type="bit"> + <ipxact:name>c_cnt_odd_div_duty_en9</ipxact:name> + <ipxact:displayName>c_cnt_odd_div_duty_en9</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_odd_div_duty_en10" type="bit"> + <ipxact:name>c_cnt_odd_div_duty_en10</ipxact:name> + <ipxact:displayName>c_cnt_odd_div_duty_en10</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_odd_div_duty_en11" type="bit"> + <ipxact:name>c_cnt_odd_div_duty_en11</ipxact:name> + <ipxact:displayName>c_cnt_odd_div_duty_en11</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_odd_div_duty_en12" type="bit"> + <ipxact:name>c_cnt_odd_div_duty_en12</ipxact:name> + <ipxact:displayName>c_cnt_odd_div_duty_en12</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_odd_div_duty_en13" type="bit"> + <ipxact:name>c_cnt_odd_div_duty_en13</ipxact:name> + <ipxact:displayName>c_cnt_odd_div_duty_en13</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_odd_div_duty_en14" type="bit"> + <ipxact:name>c_cnt_odd_div_duty_en14</ipxact:name> + <ipxact:displayName>c_cnt_odd_div_duty_en14</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_odd_div_duty_en15" type="bit"> + <ipxact:name>c_cnt_odd_div_duty_en15</ipxact:name> + <ipxact:displayName>c_cnt_odd_div_duty_en15</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_odd_div_duty_en16" type="bit"> + <ipxact:name>c_cnt_odd_div_duty_en16</ipxact:name> + <ipxact:displayName>c_cnt_odd_div_duty_en16</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_odd_div_duty_en17" type="bit"> + <ipxact:name>c_cnt_odd_div_duty_en17</ipxact:name> + <ipxact:displayName>c_cnt_odd_div_duty_en17</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="output_clock_frequency0" type="string"> + <ipxact:name>output_clock_frequency0</ipxact:name> + <ipxact:displayName>output_clock_frequency0</ipxact:displayName> + <ipxact:value>20.0 MHz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="output_clock_frequency1" type="string"> + <ipxact:name>output_clock_frequency1</ipxact:name> + <ipxact:displayName>output_clock_frequency1</ipxact:displayName> + <ipxact:value>50.0 MHz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="output_clock_frequency2" type="string"> + <ipxact:name>output_clock_frequency2</ipxact:name> + <ipxact:displayName>output_clock_frequency2</ipxact:displayName> + <ipxact:value>100.0 MHz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="output_clock_frequency3" type="string"> + <ipxact:name>output_clock_frequency3</ipxact:name> + <ipxact:displayName>output_clock_frequency3</ipxact:displayName> + <ipxact:value>125.0 MHz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="output_clock_frequency4" type="string"> + <ipxact:name>output_clock_frequency4</ipxact:name> + <ipxact:displayName>output_clock_frequency4</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="output_clock_frequency5" type="string"> + <ipxact:name>output_clock_frequency5</ipxact:name> + <ipxact:displayName>output_clock_frequency5</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="output_clock_frequency6" type="string"> + <ipxact:name>output_clock_frequency6</ipxact:name> + <ipxact:displayName>output_clock_frequency6</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="output_clock_frequency7" type="string"> + <ipxact:name>output_clock_frequency7</ipxact:name> + <ipxact:displayName>output_clock_frequency7</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="output_clock_frequency8" type="string"> + <ipxact:name>output_clock_frequency8</ipxact:name> + <ipxact:displayName>output_clock_frequency8</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="output_clock_frequency9" type="string"> + <ipxact:name>output_clock_frequency9</ipxact:name> + <ipxact:displayName>output_clock_frequency9</ipxact:displayName> + <ipxact:value>0 MHz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="output_clock_frequency10" type="string"> + <ipxact:name>output_clock_frequency10</ipxact:name> + <ipxact:displayName>output_clock_frequency10</ipxact:displayName> + <ipxact:value>0 MHz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="output_clock_frequency11" type="string"> + <ipxact:name>output_clock_frequency11</ipxact:name> + <ipxact:displayName>output_clock_frequency11</ipxact:displayName> + <ipxact:value>0 MHz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="output_clock_frequency12" type="string"> + <ipxact:name>output_clock_frequency12</ipxact:name> + <ipxact:displayName>output_clock_frequency12</ipxact:displayName> + <ipxact:value>0 MHz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="output_clock_frequency13" type="string"> + <ipxact:name>output_clock_frequency13</ipxact:name> + <ipxact:displayName>output_clock_frequency13</ipxact:displayName> + <ipxact:value>0 MHz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="output_clock_frequency14" type="string"> + <ipxact:name>output_clock_frequency14</ipxact:name> + <ipxact:displayName>output_clock_frequency14</ipxact:displayName> + <ipxact:value>0 MHz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="output_clock_frequency15" type="string"> + <ipxact:name>output_clock_frequency15</ipxact:name> + <ipxact:displayName>output_clock_frequency15</ipxact:displayName> + <ipxact:value>0 MHz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="output_clock_frequency16" type="string"> + <ipxact:name>output_clock_frequency16</ipxact:name> + <ipxact:displayName>output_clock_frequency16</ipxact:displayName> + <ipxact:value>0 MHz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="output_clock_frequency17" type="string"> + <ipxact:name>output_clock_frequency17</ipxact:name> + <ipxact:displayName>output_clock_frequency17</ipxact:displayName> + <ipxact:value>0 MHz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="phase_shift0" type="string"> + <ipxact:name>phase_shift0</ipxact:name> + <ipxact:displayName>phase_shift0</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="phase_shift1" type="string"> + <ipxact:name>phase_shift1</ipxact:name> + <ipxact:displayName>phase_shift1</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="phase_shift2" type="string"> + <ipxact:name>phase_shift2</ipxact:name> + <ipxact:displayName>phase_shift2</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="phase_shift3" type="string"> + <ipxact:name>phase_shift3</ipxact:name> + <ipxact:displayName>phase_shift3</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="phase_shift4" type="string"> + <ipxact:name>phase_shift4</ipxact:name> + <ipxact:displayName>phase_shift4</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="phase_shift5" type="string"> + <ipxact:name>phase_shift5</ipxact:name> + <ipxact:displayName>phase_shift5</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="phase_shift6" type="string"> + <ipxact:name>phase_shift6</ipxact:name> + <ipxact:displayName>phase_shift6</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="phase_shift7" type="string"> + <ipxact:name>phase_shift7</ipxact:name> + <ipxact:displayName>phase_shift7</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="phase_shift8" type="string"> + <ipxact:name>phase_shift8</ipxact:name> + <ipxact:displayName>phase_shift8</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="phase_shift9" type="string"> + <ipxact:name>phase_shift9</ipxact:name> + <ipxact:displayName>phase_shift9</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="phase_shift10" type="string"> + <ipxact:name>phase_shift10</ipxact:name> + <ipxact:displayName>phase_shift10</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="phase_shift11" type="string"> + <ipxact:name>phase_shift11</ipxact:name> + <ipxact:displayName>phase_shift11</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="phase_shift12" type="string"> + <ipxact:name>phase_shift12</ipxact:name> + <ipxact:displayName>phase_shift12</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="phase_shift13" type="string"> + <ipxact:name>phase_shift13</ipxact:name> + <ipxact:displayName>phase_shift13</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="phase_shift14" type="string"> + <ipxact:name>phase_shift14</ipxact:name> + <ipxact:displayName>phase_shift14</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="phase_shift15" type="string"> + <ipxact:name>phase_shift15</ipxact:name> + <ipxact:displayName>phase_shift15</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="phase_shift16" type="string"> + <ipxact:name>phase_shift16</ipxact:name> + <ipxact:displayName>phase_shift16</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="phase_shift17" type="string"> + <ipxact:name>phase_shift17</ipxact:name> + <ipxact:displayName>phase_shift17</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="duty_cycle0" type="int"> + <ipxact:name>duty_cycle0</ipxact:name> + <ipxact:displayName>duty_cycle0</ipxact:displayName> + <ipxact:value>50</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="duty_cycle1" type="int"> + <ipxact:name>duty_cycle1</ipxact:name> + <ipxact:displayName>duty_cycle1</ipxact:displayName> + <ipxact:value>50</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="duty_cycle2" type="int"> + <ipxact:name>duty_cycle2</ipxact:name> + <ipxact:displayName>duty_cycle2</ipxact:displayName> + <ipxact:value>50</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="duty_cycle3" type="int"> + <ipxact:name>duty_cycle3</ipxact:name> + <ipxact:displayName>duty_cycle3</ipxact:displayName> + <ipxact:value>50</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="duty_cycle4" type="int"> + <ipxact:name>duty_cycle4</ipxact:name> + <ipxact:displayName>duty_cycle4</ipxact:displayName> + <ipxact:value>50</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="duty_cycle5" type="int"> + <ipxact:name>duty_cycle5</ipxact:name> + <ipxact:displayName>duty_cycle5</ipxact:displayName> + <ipxact:value>50</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="duty_cycle6" type="int"> + <ipxact:name>duty_cycle6</ipxact:name> + <ipxact:displayName>duty_cycle6</ipxact:displayName> + <ipxact:value>50</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="duty_cycle7" type="int"> + <ipxact:name>duty_cycle7</ipxact:name> + <ipxact:displayName>duty_cycle7</ipxact:displayName> + <ipxact:value>50</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="duty_cycle8" type="int"> + <ipxact:name>duty_cycle8</ipxact:name> + <ipxact:displayName>duty_cycle8</ipxact:displayName> + <ipxact:value>50</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="duty_cycle9" type="int"> + <ipxact:name>duty_cycle9</ipxact:name> + <ipxact:displayName>duty_cycle9</ipxact:displayName> + <ipxact:value>50</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="duty_cycle10" type="int"> + <ipxact:name>duty_cycle10</ipxact:name> + <ipxact:displayName>duty_cycle10</ipxact:displayName> + <ipxact:value>50</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="duty_cycle11" type="int"> + <ipxact:name>duty_cycle11</ipxact:name> + <ipxact:displayName>duty_cycle11</ipxact:displayName> + <ipxact:value>50</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="duty_cycle12" type="int"> + <ipxact:name>duty_cycle12</ipxact:name> + <ipxact:displayName>duty_cycle12</ipxact:displayName> + <ipxact:value>50</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="duty_cycle13" type="int"> + <ipxact:name>duty_cycle13</ipxact:name> + <ipxact:displayName>duty_cycle13</ipxact:displayName> + <ipxact:value>50</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="duty_cycle14" type="int"> + <ipxact:name>duty_cycle14</ipxact:name> + <ipxact:displayName>duty_cycle14</ipxact:displayName> + <ipxact:value>50</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="duty_cycle15" type="int"> + <ipxact:name>duty_cycle15</ipxact:name> + <ipxact:displayName>duty_cycle15</ipxact:displayName> + <ipxact:value>50</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="duty_cycle16" type="int"> + <ipxact:name>duty_cycle16</ipxact:name> + <ipxact:displayName>duty_cycle16</ipxact:displayName> + <ipxact:value>50</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="duty_cycle17" type="int"> + <ipxact:name>duty_cycle17</ipxact:name> + <ipxact:displayName>duty_cycle17</ipxact:displayName> + <ipxact:value>50</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clock_name_0" type="string"> + <ipxact:name>clock_name_0</ipxact:name> + <ipxact:displayName>clock_name_0</ipxact:displayName> + <ipxact:value>pll_clk20</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clock_name_1" type="string"> + <ipxact:name>clock_name_1</ipxact:name> + <ipxact:displayName>clock_name_1</ipxact:displayName> + <ipxact:value>pll_clk50</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clock_name_2" type="string"> + <ipxact:name>clock_name_2</ipxact:name> + <ipxact:displayName>clock_name_2</ipxact:displayName> + <ipxact:value>pll_clk100</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clock_name_3" type="string"> + <ipxact:name>clock_name_3</ipxact:name> + <ipxact:displayName>clock_name_3</ipxact:displayName> + <ipxact:value>pll_clk125</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clock_name_4" type="string"> + <ipxact:name>clock_name_4</ipxact:name> + <ipxact:displayName>clock_name_4</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clock_name_5" type="string"> + <ipxact:name>clock_name_5</ipxact:name> + <ipxact:displayName>clock_name_5</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clock_name_6" type="string"> + <ipxact:name>clock_name_6</ipxact:name> + <ipxact:displayName>clock_name_6</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clock_name_7" type="string"> + <ipxact:name>clock_name_7</ipxact:name> + <ipxact:displayName>clock_name_7</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clock_name_8" type="string"> + <ipxact:name>clock_name_8</ipxact:name> + <ipxact:displayName>clock_name_8</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clock_name_global_0" type="bit"> + <ipxact:name>clock_name_global_0</ipxact:name> + <ipxact:displayName>clock_name_global_0</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clock_name_global_1" type="bit"> + <ipxact:name>clock_name_global_1</ipxact:name> + <ipxact:displayName>clock_name_global_1</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clock_name_global_2" type="bit"> + <ipxact:name>clock_name_global_2</ipxact:name> + <ipxact:displayName>clock_name_global_2</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clock_name_global_3" type="bit"> + <ipxact:name>clock_name_global_3</ipxact:name> + <ipxact:displayName>clock_name_global_3</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clock_name_global_4" type="bit"> + <ipxact:name>clock_name_global_4</ipxact:name> + <ipxact:displayName>clock_name_global_4</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clock_name_global_5" type="bit"> + <ipxact:name>clock_name_global_5</ipxact:name> + <ipxact:displayName>clock_name_global_5</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clock_name_global_6" type="bit"> + <ipxact:name>clock_name_global_6</ipxact:name> + <ipxact:displayName>clock_name_global_6</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clock_name_global_7" type="bit"> + <ipxact:name>clock_name_global_7</ipxact:name> + <ipxact:displayName>clock_name_global_7</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clock_name_global_8" type="bit"> + <ipxact:name>clock_name_global_8</ipxact:name> + <ipxact:displayName>clock_name_global_8</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="divide_factor0" type="int"> + <ipxact:name>divide_factor0</ipxact:name> + <ipxact:displayName>divide_factor0</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="divide_factor1" type="int"> + <ipxact:name>divide_factor1</ipxact:name> + <ipxact:displayName>divide_factor1</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="divide_factor2" type="int"> + <ipxact:name>divide_factor2</ipxact:name> + <ipxact:displayName>divide_factor2</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="divide_factor3" type="int"> + <ipxact:name>divide_factor3</ipxact:name> + <ipxact:displayName>divide_factor3</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="divide_factor4" type="int"> + <ipxact:name>divide_factor4</ipxact:name> + <ipxact:displayName>divide_factor4</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="divide_factor5" type="int"> + <ipxact:name>divide_factor5</ipxact:name> + <ipxact:displayName>divide_factor5</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="divide_factor6" type="int"> + <ipxact:name>divide_factor6</ipxact:name> + <ipxact:displayName>divide_factor6</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="divide_factor7" type="int"> + <ipxact:name>divide_factor7</ipxact:name> + <ipxact:displayName>divide_factor7</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="divide_factor8" type="int"> + <ipxact:name>divide_factor8</ipxact:name> + <ipxact:displayName>divide_factor8</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_tclk_mux_en" type="bit"> + <ipxact:name>pll_tclk_mux_en</ipxact:name> + <ipxact:displayName>pll_tclk_mux_en</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_tclk_sel" type="string"> + <ipxact:name>pll_tclk_sel</ipxact:name> + <ipxact:displayName>pll_tclk_sel</ipxact:displayName> + <ipxact:value>pll_tclk_m_src</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_vco_freq_band_0" type="string"> + <ipxact:name>pll_vco_freq_band_0</ipxact:name> + <ipxact:displayName>pll_vco_freq_band_0</ipxact:displayName> + <ipxact:value>pll_freq_clk0_disabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_vco_freq_band_1" type="string"> + <ipxact:name>pll_vco_freq_band_1</ipxact:name> + <ipxact:displayName>pll_vco_freq_band_1</ipxact:displayName> + <ipxact:value>pll_freq_clk1_disabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_freqcal_req_flag" type="bit"> + <ipxact:name>pll_freqcal_req_flag</ipxact:name> + <ipxact:displayName>pll_freqcal_req_flag</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cal_converge" type="bit"> + <ipxact:name>cal_converge</ipxact:name> + <ipxact:displayName>cal_converge</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cal_error" type="string"> + <ipxact:name>cal_error</ipxact:name> + <ipxact:displayName>cal_error</ipxact:displayName> + <ipxact:value>cal_clean</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_cal_done" type="bit"> + <ipxact:name>pll_cal_done</ipxact:name> + <ipxact:displayName>pll_cal_done</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="include_iossm" type="bit"> + <ipxact:name>include_iossm</ipxact:name> + <ipxact:displayName>include_iossm</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cal_code_hex_file" type="string"> + <ipxact:name>cal_code_hex_file</ipxact:name> + <ipxact:displayName>cal_code_hex_file</ipxact:displayName> + <ipxact:value>iossm.hex</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="parameter_table_hex_file" type="string"> + <ipxact:name>parameter_table_hex_file</ipxact:name> + <ipxact:displayName>parameter_table_hex_file</ipxact:displayName> + <ipxact:value>seq_params_sim.hex</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="iossm_nios_sim_clk_period_ps" type="int"> + <ipxact:name>iossm_nios_sim_clk_period_ps</ipxact:name> + <ipxact:displayName>iossm_nios_sim_clk_period_ps</ipxact:displayName> + <ipxact:value>1333</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_number_of_family_allowable_clocks" type="int"> + <ipxact:name>hp_number_of_family_allowable_clocks</ipxact:name> + <ipxact:displayName>hp_number_of_family_allowable_clocks</ipxact:displayName> + <ipxact:value>9</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_previous_num_clocks" type="int"> + <ipxact:name>hp_previous_num_clocks</ipxact:name> + <ipxact:displayName>hp_previous_num_clocks</ipxact:displayName> + <ipxact:value>4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_vco_frequency_fp" type="real"> + <ipxact:name>hp_actual_vco_frequency_fp</ipxact:name> + <ipxact:displayName>hp_actual_vco_frequency_fp</ipxact:displayName> + <ipxact:value>600.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_parameter_update_message" type="string"> + <ipxact:name>hp_parameter_update_message</ipxact:name> + <ipxact:displayName>hp_parameter_update_message</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_qsys_scripting_mode" type="bit"> + <ipxact:name>hp_qsys_scripting_mode</ipxact:name> + <ipxact:displayName>hp_qsys_scripting_mode</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_output_clock_frequency_fp0" type="real"> + <ipxact:name>hp_actual_output_clock_frequency_fp0</ipxact:name> + <ipxact:displayName>hp_actual_output_clock_frequency_fp0</ipxact:displayName> + <ipxact:value>20.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_output_clock_frequency_fp1" type="real"> + <ipxact:name>hp_actual_output_clock_frequency_fp1</ipxact:name> + <ipxact:displayName>hp_actual_output_clock_frequency_fp1</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_output_clock_frequency_fp2" type="real"> + <ipxact:name>hp_actual_output_clock_frequency_fp2</ipxact:name> + <ipxact:displayName>hp_actual_output_clock_frequency_fp2</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_output_clock_frequency_fp3" type="real"> + <ipxact:name>hp_actual_output_clock_frequency_fp3</ipxact:name> + <ipxact:displayName>hp_actual_output_clock_frequency_fp3</ipxact:displayName> + <ipxact:value>125.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_output_clock_frequency_fp4" type="real"> + <ipxact:name>hp_actual_output_clock_frequency_fp4</ipxact:name> + <ipxact:displayName>hp_actual_output_clock_frequency_fp4</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_output_clock_frequency_fp5" type="real"> + <ipxact:name>hp_actual_output_clock_frequency_fp5</ipxact:name> + <ipxact:displayName>hp_actual_output_clock_frequency_fp5</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_output_clock_frequency_fp6" type="real"> + <ipxact:name>hp_actual_output_clock_frequency_fp6</ipxact:name> + <ipxact:displayName>hp_actual_output_clock_frequency_fp6</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_output_clock_frequency_fp7" type="real"> + <ipxact:name>hp_actual_output_clock_frequency_fp7</ipxact:name> + <ipxact:displayName>hp_actual_output_clock_frequency_fp7</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_output_clock_frequency_fp8" type="real"> + <ipxact:name>hp_actual_output_clock_frequency_fp8</ipxact:name> + <ipxact:displayName>hp_actual_output_clock_frequency_fp8</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_output_clock_frequency_fp9" type="real"> + <ipxact:name>hp_actual_output_clock_frequency_fp9</ipxact:name> + <ipxact:displayName>hp_actual_output_clock_frequency_fp9</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_output_clock_frequency_fp10" type="real"> + <ipxact:name>hp_actual_output_clock_frequency_fp10</ipxact:name> + <ipxact:displayName>hp_actual_output_clock_frequency_fp10</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_output_clock_frequency_fp11" type="real"> + <ipxact:name>hp_actual_output_clock_frequency_fp11</ipxact:name> + <ipxact:displayName>hp_actual_output_clock_frequency_fp11</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_output_clock_frequency_fp12" type="real"> + <ipxact:name>hp_actual_output_clock_frequency_fp12</ipxact:name> + <ipxact:displayName>hp_actual_output_clock_frequency_fp12</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_output_clock_frequency_fp13" type="real"> + <ipxact:name>hp_actual_output_clock_frequency_fp13</ipxact:name> + <ipxact:displayName>hp_actual_output_clock_frequency_fp13</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_output_clock_frequency_fp14" type="real"> + <ipxact:name>hp_actual_output_clock_frequency_fp14</ipxact:name> + <ipxact:displayName>hp_actual_output_clock_frequency_fp14</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_output_clock_frequency_fp15" type="real"> + <ipxact:name>hp_actual_output_clock_frequency_fp15</ipxact:name> + <ipxact:displayName>hp_actual_output_clock_frequency_fp15</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_output_clock_frequency_fp16" type="real"> + <ipxact:name>hp_actual_output_clock_frequency_fp16</ipxact:name> + <ipxact:displayName>hp_actual_output_clock_frequency_fp16</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_output_clock_frequency_fp17" type="real"> + <ipxact:name>hp_actual_output_clock_frequency_fp17</ipxact:name> + <ipxact:displayName>hp_actual_output_clock_frequency_fp17</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_phase_shift_fp0" type="real"> + <ipxact:name>hp_actual_phase_shift_fp0</ipxact:name> + <ipxact:displayName>hp_actual_phase_shift_fp0</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_phase_shift_fp1" type="real"> + <ipxact:name>hp_actual_phase_shift_fp1</ipxact:name> + <ipxact:displayName>hp_actual_phase_shift_fp1</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_phase_shift_fp2" type="real"> + <ipxact:name>hp_actual_phase_shift_fp2</ipxact:name> + <ipxact:displayName>hp_actual_phase_shift_fp2</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_phase_shift_fp3" type="real"> + <ipxact:name>hp_actual_phase_shift_fp3</ipxact:name> + <ipxact:displayName>hp_actual_phase_shift_fp3</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_phase_shift_fp4" type="real"> + <ipxact:name>hp_actual_phase_shift_fp4</ipxact:name> + <ipxact:displayName>hp_actual_phase_shift_fp4</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_phase_shift_fp5" type="real"> + <ipxact:name>hp_actual_phase_shift_fp5</ipxact:name> + <ipxact:displayName>hp_actual_phase_shift_fp5</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_phase_shift_fp6" type="real"> + <ipxact:name>hp_actual_phase_shift_fp6</ipxact:name> + <ipxact:displayName>hp_actual_phase_shift_fp6</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_phase_shift_fp7" type="real"> + <ipxact:name>hp_actual_phase_shift_fp7</ipxact:name> + <ipxact:displayName>hp_actual_phase_shift_fp7</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_phase_shift_fp8" type="real"> + <ipxact:name>hp_actual_phase_shift_fp8</ipxact:name> + <ipxact:displayName>hp_actual_phase_shift_fp8</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_phase_shift_fp9" type="real"> + <ipxact:name>hp_actual_phase_shift_fp9</ipxact:name> + <ipxact:displayName>hp_actual_phase_shift_fp9</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_phase_shift_fp10" type="real"> + <ipxact:name>hp_actual_phase_shift_fp10</ipxact:name> + <ipxact:displayName>hp_actual_phase_shift_fp10</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_phase_shift_fp11" type="real"> + <ipxact:name>hp_actual_phase_shift_fp11</ipxact:name> + <ipxact:displayName>hp_actual_phase_shift_fp11</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_phase_shift_fp12" type="real"> + <ipxact:name>hp_actual_phase_shift_fp12</ipxact:name> + <ipxact:displayName>hp_actual_phase_shift_fp12</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_phase_shift_fp13" type="real"> + <ipxact:name>hp_actual_phase_shift_fp13</ipxact:name> + <ipxact:displayName>hp_actual_phase_shift_fp13</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_phase_shift_fp14" type="real"> + <ipxact:name>hp_actual_phase_shift_fp14</ipxact:name> + <ipxact:displayName>hp_actual_phase_shift_fp14</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_phase_shift_fp15" type="real"> + <ipxact:name>hp_actual_phase_shift_fp15</ipxact:name> + <ipxact:displayName>hp_actual_phase_shift_fp15</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_phase_shift_fp16" type="real"> + <ipxact:name>hp_actual_phase_shift_fp16</ipxact:name> + <ipxact:displayName>hp_actual_phase_shift_fp16</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_phase_shift_fp17" type="real"> + <ipxact:name>hp_actual_phase_shift_fp17</ipxact:name> + <ipxact:displayName>hp_actual_phase_shift_fp17</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_duty_cycle_fp0" type="real"> + <ipxact:name>hp_actual_duty_cycle_fp0</ipxact:name> + <ipxact:displayName>hp_actual_duty_cycle_fp0</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_duty_cycle_fp1" type="real"> + <ipxact:name>hp_actual_duty_cycle_fp1</ipxact:name> + <ipxact:displayName>hp_actual_duty_cycle_fp1</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_duty_cycle_fp2" type="real"> + <ipxact:name>hp_actual_duty_cycle_fp2</ipxact:name> + <ipxact:displayName>hp_actual_duty_cycle_fp2</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_duty_cycle_fp3" type="real"> + <ipxact:name>hp_actual_duty_cycle_fp3</ipxact:name> + <ipxact:displayName>hp_actual_duty_cycle_fp3</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_duty_cycle_fp4" type="real"> + <ipxact:name>hp_actual_duty_cycle_fp4</ipxact:name> + <ipxact:displayName>hp_actual_duty_cycle_fp4</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_duty_cycle_fp5" type="real"> + <ipxact:name>hp_actual_duty_cycle_fp5</ipxact:name> + <ipxact:displayName>hp_actual_duty_cycle_fp5</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_duty_cycle_fp6" type="real"> + <ipxact:name>hp_actual_duty_cycle_fp6</ipxact:name> + <ipxact:displayName>hp_actual_duty_cycle_fp6</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_duty_cycle_fp7" type="real"> + <ipxact:name>hp_actual_duty_cycle_fp7</ipxact:name> + <ipxact:displayName>hp_actual_duty_cycle_fp7</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_duty_cycle_fp8" type="real"> + <ipxact:name>hp_actual_duty_cycle_fp8</ipxact:name> + <ipxact:displayName>hp_actual_duty_cycle_fp8</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_duty_cycle_fp9" type="real"> + <ipxact:name>hp_actual_duty_cycle_fp9</ipxact:name> + <ipxact:displayName>hp_actual_duty_cycle_fp9</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_duty_cycle_fp10" type="real"> + <ipxact:name>hp_actual_duty_cycle_fp10</ipxact:name> + <ipxact:displayName>hp_actual_duty_cycle_fp10</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_duty_cycle_fp11" type="real"> + <ipxact:name>hp_actual_duty_cycle_fp11</ipxact:name> + <ipxact:displayName>hp_actual_duty_cycle_fp11</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_duty_cycle_fp12" type="real"> + <ipxact:name>hp_actual_duty_cycle_fp12</ipxact:name> + <ipxact:displayName>hp_actual_duty_cycle_fp12</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_duty_cycle_fp13" type="real"> + <ipxact:name>hp_actual_duty_cycle_fp13</ipxact:name> + <ipxact:displayName>hp_actual_duty_cycle_fp13</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_duty_cycle_fp14" type="real"> + <ipxact:name>hp_actual_duty_cycle_fp14</ipxact:name> + <ipxact:displayName>hp_actual_duty_cycle_fp14</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_duty_cycle_fp15" type="real"> + <ipxact:name>hp_actual_duty_cycle_fp15</ipxact:name> + <ipxact:displayName>hp_actual_duty_cycle_fp15</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_duty_cycle_fp16" type="real"> + <ipxact:name>hp_actual_duty_cycle_fp16</ipxact:name> + <ipxact:displayName>hp_actual_duty_cycle_fp16</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_duty_cycle_fp17" type="real"> + <ipxact:name>hp_actual_duty_cycle_fp17</ipxact:name> + <ipxact:displayName>hp_actual_duty_cycle_fp17</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_module_parameters> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="embeddedsw.dts.compatible" type="string"> + <ipxact:name>embeddedsw.dts.compatible</ipxact:name> + <ipxact:value>altr,pll</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="embeddedsw.dts.group" type="string"> + <ipxact:name>embeddedsw.dts.group</ipxact:name> + <ipxact:value>clock</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="embeddedsw.dts.vendor" type="string"> + <ipxact:name>embeddedsw.dts.vendor</ipxact:name> + <ipxact:value>altr</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + <altera:altera_system_parameters> + <ipxact:parameters> + <ipxact:parameter parameterId="device" type="string"> + <ipxact:name>device</ipxact:name> + <ipxact:displayName>Device</ipxact:displayName> + <ipxact:value>10AX115U3F45E2SG</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="deviceFamily" type="string"> + <ipxact:name>deviceFamily</ipxact:name> + <ipxact:displayName>Device family</ipxact:displayName> + <ipxact:value>Arria 10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="deviceSpeedGrade" type="string"> + <ipxact:name>deviceSpeedGrade</ipxact:name> + <ipxact:displayName>Device Speed Grade</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="generationId" type="int"> + <ipxact:name>generationId</ipxact:name> + <ipxact:displayName>Generation Id</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="bonusData" type="string"> + <ipxact:name>bonusData</ipxact:name> + <ipxact:displayName>bonusData</ipxact:displayName> + <ipxact:value>bonusData +{ + element iopll_0 + { + datum _sortIndex + { + value = "0"; + type = "int"; + } + } +} +</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hideFromIPCatalog" type="bit"> + <ipxact:name>hideFromIPCatalog</ipxact:name> + <ipxact:displayName>Hide from IP Catalog</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="lockedInterfaceDefinition" type="string"> + <ipxact:name>lockedInterfaceDefinition</ipxact:name> + <ipxact:displayName>lockedInterfaceDefinition</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="systemInfos" type="string"> + <ipxact:name>systemInfos</ipxact:name> + <ipxact:displayName>systemInfos</ipxact:displayName> + <ipxact:value><systemInfosDefinition> + <connPtSystemInfos> + <entry> + <key>outclk0</key> + <value> + <connectionPointName>outclk0</connectionPointName> + <suppliedSystemInfos/> + <consumedSystemInfos> + <entry> + <key>CLOCK_RATE</key> + <value>20000000</value> + </entry> + </consumedSystemInfos> + </value> + </entry> + <entry> + <key>outclk1</key> + <value> + <connectionPointName>outclk1</connectionPointName> + <suppliedSystemInfos/> + <consumedSystemInfos> + <entry> + <key>CLOCK_RATE</key> + <value>50000000</value> + </entry> + </consumedSystemInfos> + </value> + </entry> + <entry> + <key>outclk2</key> + <value> + <connectionPointName>outclk2</connectionPointName> + <suppliedSystemInfos/> + <consumedSystemInfos> + <entry> + <key>CLOCK_RATE</key> + <value>100000000</value> + </entry> + </consumedSystemInfos> + </value> + </entry> + <entry> + <key>outclk3</key> + <value> + <connectionPointName>outclk3</connectionPointName> + <suppliedSystemInfos/> + <consumedSystemInfos> + <entry> + <key>CLOCK_RATE</key> + <value>125000000</value> + </entry> + </consumedSystemInfos> + </value> + </entry> + </connPtSystemInfos> +</systemInfosDefinition></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_system_parameters> + <altera:altera_interface_boundary> + <altera:interface_mapping altera:name="locked" altera:internal="iopll_0.locked" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="locked" altera:internal="locked"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="outclk0" altera:internal="iopll_0.outclk0" altera:type="clock" altera:dir="start"> + <altera:port_mapping altera:name="outclk_0" altera:internal="outclk_0"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="outclk1" altera:internal="iopll_0.outclk1" altera:type="clock" altera:dir="start"> + <altera:port_mapping altera:name="outclk_1" altera:internal="outclk_1"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="outclk2" altera:internal="iopll_0.outclk2" altera:type="clock" altera:dir="start"> + <altera:port_mapping altera:name="outclk_2" altera:internal="outclk_2"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="outclk3" altera:internal="iopll_0.outclk3" altera:type="clock" altera:dir="start"> + <altera:port_mapping altera:name="outclk_3" altera:internal="outclk_3"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="refclk" altera:internal="iopll_0.refclk" altera:type="clock" altera:dir="end"> + <altera:port_mapping altera:name="refclk" altera:internal="refclk"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="reset" altera:internal="iopll_0.reset" altera:type="reset" altera:dir="end"> + <altera:port_mapping altera:name="rst" altera:internal="rst"></altera:port_mapping> + </altera:interface_mapping> + </altera:altera_interface_boundary> + <altera:altera_has_warnings>false</altera:altera_has_warnings> + <altera:altera_has_errors>false</altera:altera_has_errors> + </ipxact:vendorExtensions> +</ipxact:component> \ No newline at end of file diff --git a/libraries/technology/ip_arria10_e2sg/pll_clk125/ip_arria10_e2sg_pll_clk125.qsys b/libraries/technology/ip_arria10_e2sg/pll_clk125/ip_arria10_e2sg_pll_clk125.qsys deleted file mode 100644 index 68b20e0418..0000000000 --- a/libraries/technology/ip_arria10_e2sg/pll_clk125/ip_arria10_e2sg_pll_clk125.qsys +++ /dev/null @@ -1,376 +0,0 @@ -<?xml version="1.0" encoding="UTF-8"?> -<system name="ip_arria10_e2sg_pll_clk125"> - <component - name="$${FILENAME}" - displayName="$${FILENAME}" - version="1.0" - description="" - tags="AUTHORSHIP=Intel Corporation /// INTERNAL_COMPONENT=true" - categories="System" - tool="QsysStandard" /> - <parameter name="bonusData"><![CDATA[bonusData -{ - element $system - { - } - element iopll_0 - { - datum _sortIndex - { - value = "0"; - type = "int"; - } - } -} -]]></parameter> - <parameter name="device" value="10AX115U3F45E2SG" /> - <parameter name="deviceFamily" value="Arria 10" /> - <parameter name="deviceSpeedGrade" value="2" /> - <parameter name="fabricMode" value="QSYS" /> - <parameter name="generateLegacySim" value="false" /> - <parameter name="generationId" value="0" /> - <parameter name="globalResetBus" value="false" /> - <parameter name="hdlLanguage" value="VERILOG" /> - <parameter name="hideFromIPCatalog" value="true" /> - <parameter name="lockedInterfaceDefinition" value="" /> - <parameter name="sopcBorderPoints" value="false" /> - <parameter name="systemHash" value="0" /> - <parameter name="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos> - <entry> - <key>outclk0</key> - <value> - <connectionPointName>outclk0</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>20000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>outclk1</key> - <value> - <connectionPointName>outclk1</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>50000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>outclk2</key> - <value> - <connectionPointName>outclk2</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>outclk3</key> - <value> - <connectionPointName>outclk3</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>125000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> -</systemInfosDefinition>]]></parameter> - <parameter name="systemScripts" value="" /> - <parameter name="testBenchDutName" value="" /> - <parameter name="timeStamp" value="0" /> - <parameter name="useTestBenchNamingPattern" value="false" /> - <instanceScript></instanceScript> - <interface name="locked" internal="iopll_0.locked" type="conduit" dir="end"> - <port name="locked" internal="locked" /> - </interface> - <interface name="outclk0" internal="iopll_0.outclk0" type="clock" dir="start"> - <port name="outclk_0" internal="outclk_0" /> - </interface> - <interface name="outclk1" internal="iopll_0.outclk1" type="clock" dir="start"> - <port name="outclk_1" internal="outclk_1" /> - </interface> - <interface name="outclk2" internal="iopll_0.outclk2" type="clock" dir="start"> - <port name="outclk_2" internal="outclk_2" /> - </interface> - <interface name="outclk3" internal="iopll_0.outclk3" type="clock" dir="start"> - <port name="outclk_3" internal="outclk_3" /> - </interface> - <interface name="refclk" internal="iopll_0.refclk" type="clock" dir="end"> - <port name="refclk" internal="refclk" /> - </interface> - <interface name="reset" internal="iopll_0.reset" type="reset" dir="end"> - <port name="rst" internal="rst" /> - </interface> - <module - name="iopll_0" - kind="altera_iopll" - version="19.3.0" - enabled="1" - autoexport="1"> - <parameter name="gui_active_clk" value="false" /> - <parameter name="gui_c_cnt_in_src0">c_m_cnt_in_src_ph_mux_clk</parameter> - <parameter name="gui_c_cnt_in_src1">c_m_cnt_in_src_ph_mux_clk</parameter> - <parameter name="gui_c_cnt_in_src2">c_m_cnt_in_src_ph_mux_clk</parameter> - <parameter name="gui_c_cnt_in_src3">c_m_cnt_in_src_ph_mux_clk</parameter> - <parameter name="gui_c_cnt_in_src4">c_m_cnt_in_src_ph_mux_clk</parameter> - <parameter name="gui_c_cnt_in_src5">c_m_cnt_in_src_ph_mux_clk</parameter> - <parameter name="gui_c_cnt_in_src6">c_m_cnt_in_src_ph_mux_clk</parameter> - <parameter name="gui_c_cnt_in_src7">c_m_cnt_in_src_ph_mux_clk</parameter> - <parameter name="gui_c_cnt_in_src8">c_m_cnt_in_src_ph_mux_clk</parameter> - <parameter name="gui_cal_code_hex_file" value="iossm.hex" /> - <parameter name="gui_cal_converge" value="false" /> - <parameter name="gui_cal_error" value="cal_clean" /> - <parameter name="gui_cascade_counter0" value="false" /> - <parameter name="gui_cascade_counter1" value="false" /> - <parameter name="gui_cascade_counter10" value="false" /> - <parameter name="gui_cascade_counter11" value="false" /> - <parameter name="gui_cascade_counter12" value="false" /> - <parameter name="gui_cascade_counter13" value="false" /> - <parameter name="gui_cascade_counter14" value="false" /> - <parameter name="gui_cascade_counter15" value="false" /> - <parameter name="gui_cascade_counter16" value="false" /> - <parameter name="gui_cascade_counter17" value="false" /> - <parameter name="gui_cascade_counter2" value="false" /> - <parameter name="gui_cascade_counter3" value="false" /> - <parameter name="gui_cascade_counter4" value="false" /> - <parameter name="gui_cascade_counter5" value="false" /> - <parameter name="gui_cascade_counter6" value="false" /> - <parameter name="gui_cascade_counter7" value="false" /> - <parameter name="gui_cascade_counter8" value="false" /> - <parameter name="gui_cascade_counter9" value="false" /> - <parameter name="gui_cascade_outclk_index" value="0" /> - <parameter name="gui_clk_bad" value="false" /> - <parameter name="gui_clock_name_global" value="false" /> - <parameter name="gui_clock_name_string0" value="pll_clk20" /> - <parameter name="gui_clock_name_string1" value="pll_clk50" /> - <parameter name="gui_clock_name_string10" value="outclk10" /> - <parameter name="gui_clock_name_string11" value="outclk11" /> - <parameter name="gui_clock_name_string12" value="outclk12" /> - <parameter name="gui_clock_name_string13" value="outclk13" /> - <parameter name="gui_clock_name_string14" value="outclk14" /> - <parameter name="gui_clock_name_string15" value="outclk15" /> - <parameter name="gui_clock_name_string16" value="outclk16" /> - <parameter name="gui_clock_name_string17" value="outclk17" /> - <parameter name="gui_clock_name_string2" value="pll_clk100" /> - <parameter name="gui_clock_name_string3" value="pll_clk125" /> - <parameter name="gui_clock_name_string4" value="outclk4" /> - <parameter name="gui_clock_name_string5" value="outclk5" /> - <parameter name="gui_clock_name_string6" value="outclk6" /> - <parameter name="gui_clock_name_string7" value="outclk7" /> - <parameter name="gui_clock_name_string8" value="outclk8" /> - <parameter name="gui_clock_name_string9" value="outclk9" /> - <parameter name="gui_clock_to_compensate" value="0" /> - <parameter name="gui_debug_mode" value="false" /> - <parameter name="gui_divide_factor_c0" value="6" /> - <parameter name="gui_divide_factor_c1" value="6" /> - <parameter name="gui_divide_factor_c10" value="6" /> - <parameter name="gui_divide_factor_c11" value="6" /> - <parameter name="gui_divide_factor_c12" value="6" /> - <parameter name="gui_divide_factor_c13" value="6" /> - <parameter name="gui_divide_factor_c14" value="6" /> - <parameter name="gui_divide_factor_c15" value="6" /> - <parameter name="gui_divide_factor_c16" value="6" /> - <parameter name="gui_divide_factor_c17" value="6" /> - <parameter name="gui_divide_factor_c2" value="6" /> - <parameter name="gui_divide_factor_c3" value="6" /> - <parameter name="gui_divide_factor_c4" value="6" /> - <parameter name="gui_divide_factor_c5" value="6" /> - <parameter name="gui_divide_factor_c6" value="6" /> - <parameter name="gui_divide_factor_c7" value="6" /> - <parameter name="gui_divide_factor_c8" value="6" /> - <parameter name="gui_divide_factor_c9" value="6" /> - <parameter name="gui_divide_factor_n" value="1" /> - <parameter name="gui_dps_cntr" value="C0" /> - <parameter name="gui_dps_dir" value="Positive" /> - <parameter name="gui_dps_num" value="1" /> - <parameter name="gui_dsm_out_sel" value="1st_order" /> - <parameter name="gui_duty_cycle0" value="50.0" /> - <parameter name="gui_duty_cycle1" value="50.0" /> - <parameter name="gui_duty_cycle10" value="50.0" /> - <parameter name="gui_duty_cycle11" value="50.0" /> - <parameter name="gui_duty_cycle12" value="50.0" /> - <parameter name="gui_duty_cycle13" value="50.0" /> - <parameter name="gui_duty_cycle14" value="50.0" /> - <parameter name="gui_duty_cycle15" value="50.0" /> - <parameter name="gui_duty_cycle16" value="50.0" /> - <parameter name="gui_duty_cycle17" value="50.0" /> - <parameter name="gui_duty_cycle2" value="50.0" /> - <parameter name="gui_duty_cycle3" value="50.0" /> - <parameter name="gui_duty_cycle4" value="50.0" /> - <parameter name="gui_duty_cycle5" value="50.0" /> - <parameter name="gui_duty_cycle6" value="50.0" /> - <parameter name="gui_duty_cycle7" value="50.0" /> - <parameter name="gui_duty_cycle8" value="50.0" /> - <parameter name="gui_duty_cycle9" value="50.0" /> - <parameter name="gui_en_adv_params" value="false" /> - <parameter name="gui_en_dps_ports" value="false" /> - <parameter name="gui_en_extclkout_ports" value="false" /> - <parameter name="gui_en_lvds_ports" value="Disabled" /> - <parameter name="gui_en_phout_ports" value="false" /> - <parameter name="gui_en_reconf" value="false" /> - <parameter name="gui_enable_cascade_in" value="false" /> - <parameter name="gui_enable_cascade_out" value="false" /> - <parameter name="gui_enable_mif_dps" value="false" /> - <parameter name="gui_enable_output_counter_cascading" value="false" /> - <parameter name="gui_enable_permit_cal" value="false" /> - <parameter name="gui_existing_mif_file_path" value="~/pll.mif" /> - <parameter name="gui_extclkout_0_source" value="C0" /> - <parameter name="gui_extclkout_1_source" value="C0" /> - <parameter name="gui_feedback_clock" value="Global Clock" /> - <parameter name="gui_fix_vco_frequency" value="false" /> - <parameter name="gui_fixed_vco_frequency" value="600.0" /> - <parameter name="gui_fixed_vco_frequency_ps" value="1667.0" /> - <parameter name="gui_frac_multiply_factor" value="1" /> - <parameter name="gui_fractional_cout" value="32" /> - <parameter name="gui_include_iossm" value="false" /> - <parameter name="gui_location_type" value="I/O Bank" /> - <parameter name="gui_lock_setting" value="Low Lock Time" /> - <parameter name="gui_mif_config_name" value="unnamed" /> - <parameter name="gui_mif_gen_options">Generate New MIF File</parameter> - <parameter name="gui_multiply_factor" value="6" /> - <parameter name="gui_new_mif_file_path" value="~/pll.mif" /> - <parameter name="gui_number_of_clocks" value="4" /> - <parameter name="gui_operation_mode" value="direct" /> - <parameter name="gui_output_clock_frequency0" value="20.0" /> - <parameter name="gui_output_clock_frequency1" value="50.0" /> - <parameter name="gui_output_clock_frequency10" value="100.0" /> - <parameter name="gui_output_clock_frequency11" value="100.0" /> - <parameter name="gui_output_clock_frequency12" value="100.0" /> - <parameter name="gui_output_clock_frequency13" value="100.0" /> - <parameter name="gui_output_clock_frequency14" value="100.0" /> - <parameter name="gui_output_clock_frequency15" value="100.0" /> - <parameter name="gui_output_clock_frequency16" value="100.0" /> - <parameter name="gui_output_clock_frequency17" value="100.0" /> - <parameter name="gui_output_clock_frequency2" value="100.0" /> - <parameter name="gui_output_clock_frequency3" value="125.0" /> - <parameter name="gui_output_clock_frequency4" value="100.0" /> - <parameter name="gui_output_clock_frequency5" value="100.0" /> - <parameter name="gui_output_clock_frequency6" value="100.0" /> - <parameter name="gui_output_clock_frequency7" value="100.0" /> - <parameter name="gui_output_clock_frequency8" value="100.0" /> - <parameter name="gui_output_clock_frequency9" value="100.0" /> - <parameter name="gui_output_clock_frequency_ps0" value="10000.0" /> - <parameter name="gui_output_clock_frequency_ps1" value="10000.0" /> - <parameter name="gui_output_clock_frequency_ps10" value="10000.0" /> - <parameter name="gui_output_clock_frequency_ps11" value="10000.0" /> - <parameter name="gui_output_clock_frequency_ps12" value="10000.0" /> - <parameter name="gui_output_clock_frequency_ps13" value="10000.0" /> - <parameter name="gui_output_clock_frequency_ps14" value="10000.0" /> - <parameter name="gui_output_clock_frequency_ps15" value="10000.0" /> - <parameter name="gui_output_clock_frequency_ps16" value="10000.0" /> - <parameter name="gui_output_clock_frequency_ps17" value="10000.0" /> - <parameter name="gui_output_clock_frequency_ps2" value="10000.0" /> - <parameter name="gui_output_clock_frequency_ps3" value="10000.0" /> - <parameter name="gui_output_clock_frequency_ps4" value="10000.0" /> - <parameter name="gui_output_clock_frequency_ps5" value="10000.0" /> - <parameter name="gui_output_clock_frequency_ps6" value="10000.0" /> - <parameter name="gui_output_clock_frequency_ps7" value="10000.0" /> - <parameter name="gui_output_clock_frequency_ps8" value="10000.0" /> - <parameter name="gui_output_clock_frequency_ps9" value="10000.0" /> - <parameter name="gui_parameter_table_hex_file" value="seq_params_sim.hex" /> - <parameter name="gui_phase_shift0" value="0.0" /> - <parameter name="gui_phase_shift1" value="0.0" /> - <parameter name="gui_phase_shift10" value="0.0" /> - <parameter name="gui_phase_shift11" value="0.0" /> - <parameter name="gui_phase_shift12" value="0.0" /> - <parameter name="gui_phase_shift13" value="0.0" /> - <parameter name="gui_phase_shift14" value="0.0" /> - <parameter name="gui_phase_shift15" value="0.0" /> - <parameter name="gui_phase_shift16" value="0.0" /> - <parameter name="gui_phase_shift17" value="0.0" /> - <parameter name="gui_phase_shift2" value="0.0" /> - <parameter name="gui_phase_shift3" value="0.0" /> - <parameter name="gui_phase_shift4" value="0.0" /> - <parameter name="gui_phase_shift5" value="0.0" /> - <parameter name="gui_phase_shift6" value="0.0" /> - <parameter name="gui_phase_shift7" value="0.0" /> - <parameter name="gui_phase_shift8" value="0.0" /> - <parameter name="gui_phase_shift9" value="0.0" /> - <parameter name="gui_phase_shift_deg0" value="0.0" /> - <parameter name="gui_phase_shift_deg1" value="0.0" /> - <parameter name="gui_phase_shift_deg10" value="0.0" /> - <parameter name="gui_phase_shift_deg11" value="0.0" /> - <parameter name="gui_phase_shift_deg12" value="0.0" /> - <parameter name="gui_phase_shift_deg13" value="0.0" /> - <parameter name="gui_phase_shift_deg14" value="0.0" /> - <parameter name="gui_phase_shift_deg15" value="0.0" /> - <parameter name="gui_phase_shift_deg16" value="0.0" /> - <parameter name="gui_phase_shift_deg17" value="0.0" /> - <parameter name="gui_phase_shift_deg2" value="0.0" /> - <parameter name="gui_phase_shift_deg3" value="0.0" /> - <parameter name="gui_phase_shift_deg4" value="0.0" /> - <parameter name="gui_phase_shift_deg5" value="0.0" /> - <parameter name="gui_phase_shift_deg6" value="0.0" /> - <parameter name="gui_phase_shift_deg7" value="0.0" /> - <parameter name="gui_phase_shift_deg8" value="0.0" /> - <parameter name="gui_phase_shift_deg9" value="0.0" /> - <parameter name="gui_phout_division" value="1" /> - <parameter name="gui_pll_auto_reset" value="false" /> - <parameter name="gui_pll_bandwidth_preset" value="Low" /> - <parameter name="gui_pll_cal_done" value="false" /> - <parameter name="gui_pll_cascading_mode" value="adjpllin" /> - <parameter name="gui_pll_freqcal_en" value="true" /> - <parameter name="gui_pll_freqcal_req_flag" value="true" /> - <parameter name="gui_pll_m_cnt_in_src">c_m_cnt_in_src_ph_mux_clk</parameter> - <parameter name="gui_pll_mode" value="Integer-N PLL" /> - <parameter name="gui_pll_tclk_mux_en" value="false" /> - <parameter name="gui_pll_tclk_sel" value="pll_tclk_m_src" /> - <parameter name="gui_pll_type" value="S10_Simple" /> - <parameter name="gui_pll_vco_freq_band_0">pll_freq_clk0_disabled</parameter> - <parameter name="gui_pll_vco_freq_band_1">pll_freq_clk1_disabled</parameter> - <parameter name="gui_prot_mode" value="UNUSED" /> - <parameter name="gui_ps_units0" value="ps" /> - <parameter name="gui_ps_units1" value="ps" /> - <parameter name="gui_ps_units10" value="ps" /> - <parameter name="gui_ps_units11" value="ps" /> - <parameter name="gui_ps_units12" value="ps" /> - <parameter name="gui_ps_units13" value="ps" /> - <parameter name="gui_ps_units14" value="ps" /> - <parameter name="gui_ps_units15" value="ps" /> - <parameter name="gui_ps_units16" value="ps" /> - <parameter name="gui_ps_units17" value="ps" /> - <parameter name="gui_ps_units2" value="ps" /> - <parameter name="gui_ps_units3" value="ps" /> - <parameter name="gui_ps_units4" value="ps" /> - <parameter name="gui_ps_units5" value="ps" /> - <parameter name="gui_ps_units6" value="ps" /> - <parameter name="gui_ps_units7" value="ps" /> - <parameter name="gui_ps_units8" value="ps" /> - <parameter name="gui_ps_units9" value="ps" /> - <parameter name="gui_refclk1_frequency" value="100.0" /> - <parameter name="gui_refclk_might_change" value="false" /> - <parameter name="gui_refclk_switch" value="false" /> - <parameter name="gui_reference_clock_frequency" value="125.0" /> - <parameter name="gui_reference_clock_frequency_ps" value="10000.0" /> - <parameter name="gui_skip_sdc_generation" value="false" /> - <parameter name="gui_switchover_delay" value="0" /> - <parameter name="gui_switchover_mode">Automatic Switchover</parameter> - <parameter name="gui_use_NDFB_modes" value="false" /> - <parameter name="gui_use_coreclk" value="false" /> - <parameter name="gui_use_locked" value="true" /> - <parameter name="gui_use_logical" value="false" /> - <parameter name="gui_usr_device_speed_grade" value="1" /> - <parameter name="gui_vco_frequency" value="600.0" /> - <parameter name="hp_qsys_scripting_mode" value="false" /> - <parameter name="system_info_device_component" value="10AX115U3F45E2SG" /> - <parameter name="system_info_device_family" value="Arria 10" /> - <parameter name="system_info_device_speed_grade" value="2" /> - <parameter name="system_part_trait_speed_grade" value="2" /> - </module> -</system> diff --git a/libraries/technology/ip_arria10_e2sg/pll_clk200/hdllib.cfg b/libraries/technology/ip_arria10_e2sg/pll_clk200/hdllib.cfg index fc7bb42449..4d2578ab94 100644 --- a/libraries/technology/ip_arria10_e2sg/pll_clk200/hdllib.cfg +++ b/libraries/technology/ip_arria10_e2sg/pll_clk200/hdllib.cfg @@ -20,5 +20,5 @@ quartus_qip_files = [generate_ip_libs] qsys-generate_ip_files = - ip_arria10_e2sg_pll_clk200.qsys + ip_arria10_e2sg_pll_clk200.ip diff --git a/libraries/technology/ip_arria10_e2sg/pll_clk200/ip_arria10_e2sg_pll_clk200.ip b/libraries/technology/ip_arria10_e2sg/pll_clk200/ip_arria10_e2sg_pll_clk200.ip new file mode 100644 index 0000000000..e646436bfe --- /dev/null +++ b/libraries/technology/ip_arria10_e2sg/pll_clk200/ip_arria10_e2sg_pll_clk200.ip @@ -0,0 +1,4215 @@ +<?xml version="1.0" ?> +<ipxact:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact2014/extensions" xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"> + <ipxact:vendor>Intel Corporation</ipxact:vendor> + <ipxact:library>ip_arria10_e2sg_pll_clk200</ipxact:library> + <ipxact:name>iopll_0</ipxact:name> + <ipxact:version>19.3.0</ipxact:version> + <ipxact:busInterfaces> + <ipxact:busInterface> + <ipxact:name>reset</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="reset" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="reset" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>reset</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rst</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>Associated clock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="synchronousEdges" type="string"> + <ipxact:name>synchronousEdges</ipxact:name> + <ipxact:displayName>Synchronous edges</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>refclk</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="clock" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>refclk</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="clockRate" type="longint"> + <ipxact:name>clockRate</ipxact:name> + <ipxact:displayName>Clock rate</ipxact:displayName> + <ipxact:value>200000000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="externallyDriven" type="bit"> + <ipxact:name>externallyDriven</ipxact:name> + <ipxact:displayName>Externally driven</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ptfSchematicName" type="string"> + <ipxact:name>ptfSchematicName</ipxact:name> + <ipxact:displayName>PTF schematic name</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>locked</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>export</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>locked</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>outclk0</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="clock" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>outclk_0</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:master></ipxact:master> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedDirectClock" type="string"> + <ipxact:name>associatedDirectClock</ipxact:name> + <ipxact:displayName>Associated direct clock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clockRate" type="longint"> + <ipxact:name>clockRate</ipxact:name> + <ipxact:displayName>Clock rate</ipxact:displayName> + <ipxact:value>200000000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clockRateKnown" type="bit"> + <ipxact:name>clockRateKnown</ipxact:name> + <ipxact:displayName>Clock rate known</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="externallyDriven" type="bit"> + <ipxact:name>externallyDriven</ipxact:name> + <ipxact:displayName>Externally driven</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ptfSchematicName" type="string"> + <ipxact:name>ptfSchematicName</ipxact:name> + <ipxact:displayName>PTF schematic name</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>outclk1</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="clock" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>outclk_1</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:master></ipxact:master> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedDirectClock" type="string"> + <ipxact:name>associatedDirectClock</ipxact:name> + <ipxact:displayName>Associated direct clock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clockRate" type="longint"> + <ipxact:name>clockRate</ipxact:name> + <ipxact:displayName>Clock rate</ipxact:displayName> + <ipxact:value>200000000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clockRateKnown" type="bit"> + <ipxact:name>clockRateKnown</ipxact:name> + <ipxact:displayName>Clock rate known</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="externallyDriven" type="bit"> + <ipxact:name>externallyDriven</ipxact:name> + <ipxact:displayName>Externally driven</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ptfSchematicName" type="string"> + <ipxact:name>ptfSchematicName</ipxact:name> + <ipxact:displayName>PTF schematic name</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>outclk2</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="clock" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>outclk_2</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:master></ipxact:master> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedDirectClock" type="string"> + <ipxact:name>associatedDirectClock</ipxact:name> + <ipxact:displayName>Associated direct clock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clockRate" type="longint"> + <ipxact:name>clockRate</ipxact:name> + <ipxact:displayName>Clock rate</ipxact:displayName> + <ipxact:value>400000000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clockRateKnown" type="bit"> + <ipxact:name>clockRateKnown</ipxact:name> + <ipxact:displayName>Clock rate known</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="externallyDriven" type="bit"> + <ipxact:name>externallyDriven</ipxact:name> + <ipxact:displayName>Externally driven</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ptfSchematicName" type="string"> + <ipxact:name>ptfSchematicName</ipxact:name> + <ipxact:displayName>PTF schematic name</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + </ipxact:busInterfaces> + <ipxact:model> + <ipxact:views> + <ipxact:view> + <ipxact:name>QUARTUS_SYNTH</ipxact:name> + <ipxact:envIdentifier>:quartus.altera.com:</ipxact:envIdentifier> + <ipxact:componentInstantiationRef>QUARTUS_SYNTH</ipxact:componentInstantiationRef> + </ipxact:view> + </ipxact:views> + <ipxact:instantiations> + <ipxact:componentInstantiation> + <ipxact:name>QUARTUS_SYNTH</ipxact:name> + <ipxact:moduleName>altera_iopll</ipxact:moduleName> + <ipxact:fileSetRef> + <ipxact:localName>QUARTUS_SYNTH</ipxact:localName> + </ipxact:fileSetRef> + </ipxact:componentInstantiation> + </ipxact:instantiations> + <ipxact:ports> + <ipxact:port> + <ipxact:name>rst</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>refclk</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>locked</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>outclk_0</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>outclk_1</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>outclk_2</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + </ipxact:ports> + </ipxact:model> + <ipxact:vendorExtensions> + <altera:entity_info> + <ipxact:vendor>Intel Corporation</ipxact:vendor> + <ipxact:library>ip_arria10_e2sg_pll_clk200</ipxact:library> + <ipxact:name>altera_iopll</ipxact:name> + <ipxact:version>19.3.0</ipxact:version> + </altera:entity_info> + <altera:altera_module_parameters> + <ipxact:parameters> + <ipxact:parameter parameterId="gui_device_family" type="string"> + <ipxact:name>gui_device_family</ipxact:name> + <ipxact:displayName>Device Family</ipxact:displayName> + <ipxact:value>Arria 10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_device_component" type="string"> + <ipxact:name>gui_device_component</ipxact:name> + <ipxact:displayName>Component</ipxact:displayName> + <ipxact:value>10AX115U3F45E2SG</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_device_speed_grade" type="int"> + <ipxact:name>gui_device_speed_grade</ipxact:name> + <ipxact:displayName>Speed Grade</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_debug_mode" type="bit"> + <ipxact:name>gui_debug_mode</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_skip_sdc_generation" type="bit"> + <ipxact:name>gui_skip_sdc_generation</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_include_iossm" type="bit"> + <ipxact:name>gui_include_iossm</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_cal_code_hex_file" type="string"> + <ipxact:name>gui_cal_code_hex_file</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>iossm.hex</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_parameter_table_hex_file" type="string"> + <ipxact:name>gui_parameter_table_hex_file</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>seq_params_sim.hex</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_pll_tclk_mux_en" type="bit"> + <ipxact:name>gui_pll_tclk_mux_en</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_pll_tclk_sel" type="string"> + <ipxact:name>gui_pll_tclk_sel</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>pll_tclk_m_src</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_pll_vco_freq_band_0" type="string"> + <ipxact:name>gui_pll_vco_freq_band_0</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>pll_freq_clk0_disabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_pll_vco_freq_band_1" type="string"> + <ipxact:name>gui_pll_vco_freq_band_1</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>pll_freq_clk1_disabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_pll_freqcal_en" type="bit"> + <ipxact:name>gui_pll_freqcal_en</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_pll_freqcal_req_flag" type="bit"> + <ipxact:name>gui_pll_freqcal_req_flag</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_cal_converge" type="bit"> + <ipxact:name>gui_cal_converge</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_cal_error" type="string"> + <ipxact:name>gui_cal_error</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>cal_clean</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_pll_cal_done" type="bit"> + <ipxact:name>gui_pll_cal_done</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_pll_type" type="string"> + <ipxact:name>gui_pll_type</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>S10_Simple</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_pll_m_cnt_in_src" type="string"> + <ipxact:name>gui_pll_m_cnt_in_src</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_c_cnt_in_src0" type="string"> + <ipxact:name>gui_c_cnt_in_src0</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_c_cnt_in_src1" type="string"> + <ipxact:name>gui_c_cnt_in_src1</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_c_cnt_in_src2" type="string"> + <ipxact:name>gui_c_cnt_in_src2</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_c_cnt_in_src3" type="string"> + <ipxact:name>gui_c_cnt_in_src3</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_c_cnt_in_src4" type="string"> + <ipxact:name>gui_c_cnt_in_src4</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_c_cnt_in_src5" type="string"> + <ipxact:name>gui_c_cnt_in_src5</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_c_cnt_in_src6" type="string"> + <ipxact:name>gui_c_cnt_in_src6</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_c_cnt_in_src7" type="string"> + <ipxact:name>gui_c_cnt_in_src7</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_c_cnt_in_src8" type="string"> + <ipxact:name>gui_c_cnt_in_src8</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="system_info_device_family" type="string"> + <ipxact:name>system_info_device_family</ipxact:name> + <ipxact:displayName>Device Family</ipxact:displayName> + <ipxact:value>Arria 10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="system_info_device_component" type="string"> + <ipxact:name>system_info_device_component</ipxact:name> + <ipxact:displayName>Component</ipxact:displayName> + <ipxact:value>10AX115U3F45E2SG</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="system_info_device_speed_grade" type="string"> + <ipxact:name>system_info_device_speed_grade</ipxact:name> + <ipxact:displayName>Speed Grade</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="system_part_trait_speed_grade" type="string"> + <ipxact:name>system_part_trait_speed_grade</ipxact:name> + <ipxact:displayName>Speed Grade Trait</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_usr_device_speed_grade" type="string"> + <ipxact:name>gui_usr_device_speed_grade</ipxact:name> + <ipxact:displayName>Speed Grade</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_en_reconf" type="bit"> + <ipxact:name>gui_en_reconf</ipxact:name> + <ipxact:displayName>Enable dynamic reconfiguration of PLL</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_en_dps_ports" type="bit"> + <ipxact:name>gui_en_dps_ports</ipxact:name> + <ipxact:displayName>Enable access to dynamic phase shift ports</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_pll_mode" type="string"> + <ipxact:name>gui_pll_mode</ipxact:name> + <ipxact:displayName>PLL Mode</ipxact:displayName> + <ipxact:value>Integer-N PLL</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_location_type" type="string"> + <ipxact:name>gui_location_type</ipxact:name> + <ipxact:displayName>IOPLL Type</ipxact:displayName> + <ipxact:value>I/O Bank</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_use_logical" type="bit"> + <ipxact:name>gui_use_logical</ipxact:name> + <ipxact:displayName>Use logical PLL</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_reference_clock_frequency" type="real"> + <ipxact:name>gui_reference_clock_frequency</ipxact:name> + <ipxact:displayName>Reference Clock Frequency</ipxact:displayName> + <ipxact:value>200.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_reference_clock_frequency_ps" type="real"> + <ipxact:name>gui_reference_clock_frequency_ps</ipxact:name> + <ipxact:displayName>Reference Clock Frequency</ipxact:displayName> + <ipxact:value>5000.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_use_coreclk" type="bit"> + <ipxact:name>gui_use_coreclk</ipxact:name> + <ipxact:displayName>Refclk source is global clock</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_refclk_might_change" type="bit"> + <ipxact:name>gui_refclk_might_change</ipxact:name> + <ipxact:displayName>My reference clock frequency might change</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_fractional_cout" type="int"> + <ipxact:name>gui_fractional_cout</ipxact:name> + <ipxact:displayName>Fractional carry out</ipxact:displayName> + <ipxact:value>32</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_prot_mode" type="string"> + <ipxact:name>gui_prot_mode</ipxact:name> + <ipxact:displayName>prot_mode</ipxact:displayName> + <ipxact:value>UNUSED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_dsm_out_sel" type="string"> + <ipxact:name>gui_dsm_out_sel</ipxact:name> + <ipxact:displayName>DSM Order</ipxact:displayName> + <ipxact:value>1st_order</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_use_locked" type="bit"> + <ipxact:name>gui_use_locked</ipxact:name> + <ipxact:displayName>Enable locked output port</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_en_adv_params" type="bit"> + <ipxact:name>gui_en_adv_params</ipxact:name> + <ipxact:displayName>Enable physical output clock parameters</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_pll_bandwidth_preset" type="string"> + <ipxact:name>gui_pll_bandwidth_preset</ipxact:name> + <ipxact:displayName>PLL Bandwidth Preset</ipxact:displayName> + <ipxact:value>Low</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_lock_setting" type="string"> + <ipxact:name>gui_lock_setting</ipxact:name> + <ipxact:displayName>Lock Threshold Setting</ipxact:displayName> + <ipxact:value>Low Lock Time</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_pll_auto_reset" type="bit"> + <ipxact:name>gui_pll_auto_reset</ipxact:name> + <ipxact:displayName>PLL Auto Reset</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_en_lvds_ports" type="string"> + <ipxact:name>gui_en_lvds_ports</ipxact:name> + <ipxact:displayName>Access to PLL LVDS_CLK/LOADEN output port</ipxact:displayName> + <ipxact:value>Disabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_operation_mode" type="string"> + <ipxact:name>gui_operation_mode</ipxact:name> + <ipxact:displayName>Compensation Mode</ipxact:displayName> + <ipxact:value>direct</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_feedback_clock" type="string"> + <ipxact:name>gui_feedback_clock</ipxact:name> + <ipxact:displayName>Feedback Clock</ipxact:displayName> + <ipxact:value>Global Clock</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_clock_to_compensate" type="int"> + <ipxact:name>gui_clock_to_compensate</ipxact:name> + <ipxact:displayName>Compensated Outclk</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_use_NDFB_modes" type="bit"> + <ipxact:name>gui_use_NDFB_modes</ipxact:name> + <ipxact:displayName>Use Nondedicated Feedback Path</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_refclk_switch" type="bit"> + <ipxact:name>gui_refclk_switch</ipxact:name> + <ipxact:displayName>Create a second input clock signal 'refclk1'</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_refclk1_frequency" type="real"> + <ipxact:name>gui_refclk1_frequency</ipxact:name> + <ipxact:displayName>Second Reference Clock Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_en_phout_ports" type="bit"> + <ipxact:name>gui_en_phout_ports</ipxact:name> + <ipxact:displayName>Enable access to PLL DPA output port</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phout_division" type="int"> + <ipxact:name>gui_phout_division</ipxact:name> + <ipxact:displayName>PLL DPA output division</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_en_extclkout_ports" type="bit"> + <ipxact:name>gui_en_extclkout_ports</ipxact:name> + <ipxact:displayName>Enable access to PLL external clock output port</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_number_of_clocks" type="int"> + <ipxact:name>gui_number_of_clocks</ipxact:name> + <ipxact:displayName>Number Of Clocks</ipxact:displayName> + <ipxact:value>3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_multiply_factor" type="int"> + <ipxact:name>gui_multiply_factor</ipxact:name> + <ipxact:displayName>Multiply Factor (M-Counter)</ipxact:displayName> + <ipxact:value>6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_divide_factor_n" type="int"> + <ipxact:name>gui_divide_factor_n</ipxact:name> + <ipxact:displayName>Divide Factor (N-Counter)</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_frac_multiply_factor" type="longint"> + <ipxact:name>gui_frac_multiply_factor</ipxact:name> + <ipxact:displayName>Fractional Multiply Factor (K)</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_fix_vco_frequency" type="bit"> + <ipxact:name>gui_fix_vco_frequency</ipxact:name> + <ipxact:displayName>Specify VCO frequency</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_fixed_vco_frequency" type="real"> + <ipxact:name>gui_fixed_vco_frequency</ipxact:name> + <ipxact:displayName>Desired VCO Frequency</ipxact:displayName> + <ipxact:value>600.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_fixed_vco_frequency_ps" type="real"> + <ipxact:name>gui_fixed_vco_frequency_ps</ipxact:name> + <ipxact:displayName>Desired VCO Frequency</ipxact:displayName> + <ipxact:value>1667.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_vco_frequency" type="string"> + <ipxact:name>gui_vco_frequency</ipxact:name> + <ipxact:displayName>Actual VCO Frequency</ipxact:displayName> + <ipxact:value>600.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_enable_output_counter_cascading" type="bit"> + <ipxact:name>gui_enable_output_counter_cascading</ipxact:name> + <ipxact:displayName>Enable output counter cascading</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_mif_gen_options" type="string"> + <ipxact:name>gui_mif_gen_options</ipxact:name> + <ipxact:displayName>MIF Generation Options</ipxact:displayName> + <ipxact:value>Generate New MIF File</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_new_mif_file_path" type="string"> + <ipxact:name>gui_new_mif_file_path</ipxact:name> + <ipxact:displayName>Path to New MIF file</ipxact:displayName> + <ipxact:value>~/pll.mif</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_existing_mif_file_path" type="string"> + <ipxact:name>gui_existing_mif_file_path</ipxact:name> + <ipxact:displayName>Path to Existing MIF file</ipxact:displayName> + <ipxact:value>~/pll.mif</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_mif_config_name" type="string"> + <ipxact:name>gui_mif_config_name</ipxact:name> + <ipxact:displayName>Name of Current Configuration</ipxact:displayName> + <ipxact:value>unnamed</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_active_clk" type="bit"> + <ipxact:name>gui_active_clk</ipxact:name> + <ipxact:displayName>Create an 'active_clk' signal to indicate the input clock in use</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_clk_bad" type="bit"> + <ipxact:name>gui_clk_bad</ipxact:name> + <ipxact:displayName>Create a 'clkbad' signal for each of the input clocks</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_switchover_mode" type="string"> + <ipxact:name>gui_switchover_mode</ipxact:name> + <ipxact:displayName>Switchover Mode</ipxact:displayName> + <ipxact:value>Automatic Switchover</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_switchover_delay" type="int"> + <ipxact:name>gui_switchover_delay</ipxact:name> + <ipxact:displayName>Switchover Delay</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_enable_cascade_out" type="bit"> + <ipxact:name>gui_enable_cascade_out</ipxact:name> + <ipxact:displayName>Create a 'cascade_out' signal to connect to a downstream PLL</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_cascade_outclk_index" type="string"> + <ipxact:name>gui_cascade_outclk_index</ipxact:name> + <ipxact:displayName>cascade_out source</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_enable_cascade_in" type="bit"> + <ipxact:name>gui_enable_cascade_in</ipxact:name> + <ipxact:displayName>Create an 'adjpllin' (cascade in) signal to connect to an upstream PLL through IO Column Cascading</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_enable_permit_cal" type="bit"> + <ipxact:name>gui_enable_permit_cal</ipxact:name> + <ipxact:displayName>Connect to an upstream PLL through Core Clock Network Cascading (create a permit_cal input signal)</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_pll_cascading_mode" type="string"> + <ipxact:name>gui_pll_cascading_mode</ipxact:name> + <ipxact:displayName>Connection Signal Type to Upstream PLL</ipxact:displayName> + <ipxact:value>adjpllin</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_enable_mif_dps" type="bit"> + <ipxact:name>gui_enable_mif_dps</ipxact:name> + <ipxact:displayName>Enable Dynamic Phase Shift for MIF streaming</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_dps_cntr" type="string"> + <ipxact:name>gui_dps_cntr</ipxact:name> + <ipxact:displayName>DPS Counter Selection</ipxact:displayName> + <ipxact:value>C0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_dps_num" type="int"> + <ipxact:name>gui_dps_num</ipxact:name> + <ipxact:displayName>Number of Dynamic Phase Shifts</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_dps_dir" type="string"> + <ipxact:name>gui_dps_dir</ipxact:name> + <ipxact:displayName>Dynamic Phase Shift Direction</ipxact:displayName> + <ipxact:value>Positive</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_extclkout_0_source" type="string"> + <ipxact:name>gui_extclkout_0_source</ipxact:name> + <ipxact:displayName>extclk_out[0] source</ipxact:displayName> + <ipxact:value>C0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_extclkout_1_source" type="string"> + <ipxact:name>gui_extclkout_1_source</ipxact:name> + <ipxact:displayName>extclk_out[1] source</ipxact:displayName> + <ipxact:value>C0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_clock_name_global" type="bit"> + <ipxact:name>gui_clock_name_global</ipxact:name> + <ipxact:displayName>Give clocks global names</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_clock_name_string0" type="string"> + <ipxact:name>gui_clock_name_string0</ipxact:name> + <ipxact:displayName>Clock Name</ipxact:displayName> + <ipxact:value>pll_clk200</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_clock_name_string1" type="string"> + <ipxact:name>gui_clock_name_string1</ipxact:name> + <ipxact:displayName>Clock Name</ipxact:displayName> + <ipxact:value>pll_clk200p</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_clock_name_string2" type="string"> + <ipxact:name>gui_clock_name_string2</ipxact:name> + <ipxact:displayName>Clock Name</ipxact:displayName> + <ipxact:value>pll_clk400</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_clock_name_string3" type="string"> + <ipxact:name>gui_clock_name_string3</ipxact:name> + <ipxact:displayName>Clock Name</ipxact:displayName> + <ipxact:value>outclk3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_clock_name_string4" type="string"> + <ipxact:name>gui_clock_name_string4</ipxact:name> + <ipxact:displayName>Clock Name</ipxact:displayName> + <ipxact:value>outclk4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_clock_name_string5" type="string"> + <ipxact:name>gui_clock_name_string5</ipxact:name> + <ipxact:displayName>Clock Name</ipxact:displayName> + <ipxact:value>outclk5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_clock_name_string6" type="string"> + <ipxact:name>gui_clock_name_string6</ipxact:name> + <ipxact:displayName>Clock Name</ipxact:displayName> + <ipxact:value>outclk6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_clock_name_string7" type="string"> + <ipxact:name>gui_clock_name_string7</ipxact:name> + <ipxact:displayName>Clock Name</ipxact:displayName> + <ipxact:value>outclk7</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_clock_name_string8" type="string"> + <ipxact:name>gui_clock_name_string8</ipxact:name> + <ipxact:displayName>Clock Name</ipxact:displayName> + <ipxact:value>outclk8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_clock_name_string9" type="string"> + <ipxact:name>gui_clock_name_string9</ipxact:name> + <ipxact:displayName>Clock Name</ipxact:displayName> + <ipxact:value>outclk9</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_clock_name_string10" type="string"> + <ipxact:name>gui_clock_name_string10</ipxact:name> + <ipxact:displayName>Clock Name</ipxact:displayName> + <ipxact:value>outclk10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_clock_name_string11" type="string"> + <ipxact:name>gui_clock_name_string11</ipxact:name> + <ipxact:displayName>Clock Name</ipxact:displayName> + <ipxact:value>outclk11</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_clock_name_string12" type="string"> + <ipxact:name>gui_clock_name_string12</ipxact:name> + <ipxact:displayName>Clock Name</ipxact:displayName> + <ipxact:value>outclk12</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_clock_name_string13" type="string"> + <ipxact:name>gui_clock_name_string13</ipxact:name> + <ipxact:displayName>Clock Name</ipxact:displayName> + <ipxact:value>outclk13</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_clock_name_string14" type="string"> + <ipxact:name>gui_clock_name_string14</ipxact:name> + <ipxact:displayName>Clock Name</ipxact:displayName> + <ipxact:value>outclk14</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_clock_name_string15" type="string"> + <ipxact:name>gui_clock_name_string15</ipxact:name> + <ipxact:displayName>Clock Name</ipxact:displayName> + <ipxact:value>outclk15</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_clock_name_string16" type="string"> + <ipxact:name>gui_clock_name_string16</ipxact:name> + <ipxact:displayName>Clock Name</ipxact:displayName> + <ipxact:value>outclk16</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_clock_name_string17" type="string"> + <ipxact:name>gui_clock_name_string17</ipxact:name> + <ipxact:displayName>Clock Name</ipxact:displayName> + <ipxact:value>outclk17</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_divide_factor_c0" type="int"> + <ipxact:name>gui_divide_factor_c0</ipxact:name> + <ipxact:displayName>Divide Factor (C-Counter)</ipxact:displayName> + <ipxact:value>6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_divide_factor_c1" type="int"> + <ipxact:name>gui_divide_factor_c1</ipxact:name> + <ipxact:displayName>Divide Factor (C-Counter)</ipxact:displayName> + <ipxact:value>6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_divide_factor_c2" type="int"> + <ipxact:name>gui_divide_factor_c2</ipxact:name> + <ipxact:displayName>Divide Factor (C-Counter)</ipxact:displayName> + <ipxact:value>6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_divide_factor_c3" type="int"> + <ipxact:name>gui_divide_factor_c3</ipxact:name> + <ipxact:displayName>Divide Factor (C-Counter)</ipxact:displayName> + <ipxact:value>6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_divide_factor_c4" type="int"> + <ipxact:name>gui_divide_factor_c4</ipxact:name> + <ipxact:displayName>Divide Factor (C-Counter)</ipxact:displayName> + <ipxact:value>6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_divide_factor_c5" type="int"> + <ipxact:name>gui_divide_factor_c5</ipxact:name> + <ipxact:displayName>Divide Factor (C-Counter)</ipxact:displayName> + <ipxact:value>6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_divide_factor_c6" type="int"> + <ipxact:name>gui_divide_factor_c6</ipxact:name> + <ipxact:displayName>Divide Factor (C-Counter)</ipxact:displayName> + <ipxact:value>6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_divide_factor_c7" type="int"> + <ipxact:name>gui_divide_factor_c7</ipxact:name> + <ipxact:displayName>Divide Factor (C-Counter)</ipxact:displayName> + <ipxact:value>6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_divide_factor_c8" type="int"> + <ipxact:name>gui_divide_factor_c8</ipxact:name> + <ipxact:displayName>Divide Factor (C-Counter)</ipxact:displayName> + <ipxact:value>6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_divide_factor_c9" type="int"> + <ipxact:name>gui_divide_factor_c9</ipxact:name> + <ipxact:displayName>Divide Factor (C-Counter)</ipxact:displayName> + <ipxact:value>6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_divide_factor_c10" type="int"> + <ipxact:name>gui_divide_factor_c10</ipxact:name> + <ipxact:displayName>Divide Factor (C-Counter)</ipxact:displayName> + <ipxact:value>6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_divide_factor_c11" type="int"> + <ipxact:name>gui_divide_factor_c11</ipxact:name> + <ipxact:displayName>Divide Factor (C-Counter)</ipxact:displayName> + <ipxact:value>6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_divide_factor_c12" type="int"> + <ipxact:name>gui_divide_factor_c12</ipxact:name> + <ipxact:displayName>Divide Factor (C-Counter)</ipxact:displayName> + <ipxact:value>6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_divide_factor_c13" type="int"> + <ipxact:name>gui_divide_factor_c13</ipxact:name> + <ipxact:displayName>Divide Factor (C-Counter)</ipxact:displayName> + <ipxact:value>6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_divide_factor_c14" type="int"> + <ipxact:name>gui_divide_factor_c14</ipxact:name> + <ipxact:displayName>Divide Factor (C-Counter)</ipxact:displayName> + <ipxact:value>6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_divide_factor_c15" type="int"> + <ipxact:name>gui_divide_factor_c15</ipxact:name> + <ipxact:displayName>Divide Factor (C-Counter)</ipxact:displayName> + <ipxact:value>6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_divide_factor_c16" type="int"> + <ipxact:name>gui_divide_factor_c16</ipxact:name> + <ipxact:displayName>Divide Factor (C-Counter)</ipxact:displayName> + <ipxact:value>6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_divide_factor_c17" type="int"> + <ipxact:name>gui_divide_factor_c17</ipxact:name> + <ipxact:displayName>Divide Factor (C-Counter)</ipxact:displayName> + <ipxact:value>6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_cascade_counter0" type="bit"> + <ipxact:name>gui_cascade_counter0</ipxact:name> + <ipxact:displayName>Make this a cascade counter</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_cascade_counter1" type="bit"> + <ipxact:name>gui_cascade_counter1</ipxact:name> + <ipxact:displayName>Make this a cascade counter</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_cascade_counter2" type="bit"> + <ipxact:name>gui_cascade_counter2</ipxact:name> + <ipxact:displayName>Make this a cascade counter</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_cascade_counter3" type="bit"> + <ipxact:name>gui_cascade_counter3</ipxact:name> + <ipxact:displayName>Make this a cascade counter</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_cascade_counter4" type="bit"> + <ipxact:name>gui_cascade_counter4</ipxact:name> + <ipxact:displayName>Make this a cascade counter</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_cascade_counter5" type="bit"> + <ipxact:name>gui_cascade_counter5</ipxact:name> + <ipxact:displayName>Make this a cascade counter</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_cascade_counter6" type="bit"> + <ipxact:name>gui_cascade_counter6</ipxact:name> + <ipxact:displayName>Make this a cascade counter</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_cascade_counter7" type="bit"> + <ipxact:name>gui_cascade_counter7</ipxact:name> + <ipxact:displayName>Make this a cascade counter</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_cascade_counter8" type="bit"> + <ipxact:name>gui_cascade_counter8</ipxact:name> + <ipxact:displayName>Make this a cascade counter</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_cascade_counter9" type="bit"> + <ipxact:name>gui_cascade_counter9</ipxact:name> + <ipxact:displayName>Make this a cascade counter</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_cascade_counter10" type="bit"> + <ipxact:name>gui_cascade_counter10</ipxact:name> + <ipxact:displayName>Make this a cascade counter</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_cascade_counter11" type="bit"> + <ipxact:name>gui_cascade_counter11</ipxact:name> + <ipxact:displayName>Make this a cascade counter</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_cascade_counter12" type="bit"> + <ipxact:name>gui_cascade_counter12</ipxact:name> + <ipxact:displayName>Make this a cascade counter</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_cascade_counter13" type="bit"> + <ipxact:name>gui_cascade_counter13</ipxact:name> + <ipxact:displayName>Make this a cascade counter</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_cascade_counter14" type="bit"> + <ipxact:name>gui_cascade_counter14</ipxact:name> + <ipxact:displayName>Make this a cascade counter</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_cascade_counter15" type="bit"> + <ipxact:name>gui_cascade_counter15</ipxact:name> + <ipxact:displayName>Make this a cascade counter</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_cascade_counter16" type="bit"> + <ipxact:name>gui_cascade_counter16</ipxact:name> + <ipxact:displayName>Make this a cascade counter</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_cascade_counter17" type="bit"> + <ipxact:name>gui_cascade_counter17</ipxact:name> + <ipxact:displayName>Make this a cascade counter</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency0" type="real"> + <ipxact:name>gui_output_clock_frequency0</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>200.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency1" type="real"> + <ipxact:name>gui_output_clock_frequency1</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>200.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency2" type="real"> + <ipxact:name>gui_output_clock_frequency2</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>400.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency3" type="real"> + <ipxact:name>gui_output_clock_frequency3</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency4" type="real"> + <ipxact:name>gui_output_clock_frequency4</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency5" type="real"> + <ipxact:name>gui_output_clock_frequency5</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency6" type="real"> + <ipxact:name>gui_output_clock_frequency6</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency7" type="real"> + <ipxact:name>gui_output_clock_frequency7</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency8" type="real"> + <ipxact:name>gui_output_clock_frequency8</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency9" type="real"> + <ipxact:name>gui_output_clock_frequency9</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency10" type="real"> + <ipxact:name>gui_output_clock_frequency10</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency11" type="real"> + <ipxact:name>gui_output_clock_frequency11</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency12" type="real"> + <ipxact:name>gui_output_clock_frequency12</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency13" type="real"> + <ipxact:name>gui_output_clock_frequency13</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency14" type="real"> + <ipxact:name>gui_output_clock_frequency14</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency15" type="real"> + <ipxact:name>gui_output_clock_frequency15</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency16" type="real"> + <ipxact:name>gui_output_clock_frequency16</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency17" type="real"> + <ipxact:name>gui_output_clock_frequency17</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency_ps0" type="real"> + <ipxact:name>gui_output_clock_frequency_ps0</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>5000.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency_ps1" type="real"> + <ipxact:name>gui_output_clock_frequency_ps1</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>5000.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency_ps2" type="real"> + <ipxact:name>gui_output_clock_frequency_ps2</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>2500.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency_ps3" type="real"> + <ipxact:name>gui_output_clock_frequency_ps3</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>10000.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency_ps4" type="real"> + <ipxact:name>gui_output_clock_frequency_ps4</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>10000.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency_ps5" type="real"> + <ipxact:name>gui_output_clock_frequency_ps5</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>10000.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency_ps6" type="real"> + <ipxact:name>gui_output_clock_frequency_ps6</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>10000.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency_ps7" type="real"> + <ipxact:name>gui_output_clock_frequency_ps7</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>10000.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency_ps8" type="real"> + <ipxact:name>gui_output_clock_frequency_ps8</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>10000.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency_ps9" type="real"> + <ipxact:name>gui_output_clock_frequency_ps9</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>10000.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency_ps10" type="real"> + <ipxact:name>gui_output_clock_frequency_ps10</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>10000.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency_ps11" type="real"> + <ipxact:name>gui_output_clock_frequency_ps11</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>10000.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency_ps12" type="real"> + <ipxact:name>gui_output_clock_frequency_ps12</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>10000.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency_ps13" type="real"> + <ipxact:name>gui_output_clock_frequency_ps13</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>10000.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency_ps14" type="real"> + <ipxact:name>gui_output_clock_frequency_ps14</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>10000.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency_ps15" type="real"> + <ipxact:name>gui_output_clock_frequency_ps15</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>10000.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency_ps16" type="real"> + <ipxact:name>gui_output_clock_frequency_ps16</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>10000.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency_ps17" type="real"> + <ipxact:name>gui_output_clock_frequency_ps17</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>10000.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency0" type="string"> + <ipxact:name>gui_actual_output_clock_frequency0</ipxact:name> + <ipxact:displayName>Actual Frequency</ipxact:displayName> + <ipxact:value>200.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency1" type="string"> + <ipxact:name>gui_actual_output_clock_frequency1</ipxact:name> + <ipxact:displayName>Actual Frequency</ipxact:displayName> + <ipxact:value>200.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency2" type="string"> + <ipxact:name>gui_actual_output_clock_frequency2</ipxact:name> + <ipxact:displayName>Actual Frequency</ipxact:displayName> + <ipxact:value>400.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency3" type="string"> + <ipxact:name>gui_actual_output_clock_frequency3</ipxact:name> + <ipxact:displayName>Actual Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency4" type="string"> + <ipxact:name>gui_actual_output_clock_frequency4</ipxact:name> + <ipxact:displayName>Actual Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency5" type="string"> + <ipxact:name>gui_actual_output_clock_frequency5</ipxact:name> + <ipxact:displayName>Actual Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency6" type="string"> + <ipxact:name>gui_actual_output_clock_frequency6</ipxact:name> + <ipxact:displayName>Actual Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency7" type="string"> + <ipxact:name>gui_actual_output_clock_frequency7</ipxact:name> + <ipxact:displayName>Actual Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency8" type="string"> + <ipxact:name>gui_actual_output_clock_frequency8</ipxact:name> + <ipxact:displayName>Actual Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency9" type="string"> + <ipxact:name>gui_actual_output_clock_frequency9</ipxact:name> + <ipxact:displayName>Actual Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency10" type="string"> + <ipxact:name>gui_actual_output_clock_frequency10</ipxact:name> + <ipxact:displayName>Actual Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency11" type="string"> + <ipxact:name>gui_actual_output_clock_frequency11</ipxact:name> + <ipxact:displayName>Actual Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency12" type="string"> + <ipxact:name>gui_actual_output_clock_frequency12</ipxact:name> + <ipxact:displayName>Actual Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency13" type="string"> + <ipxact:name>gui_actual_output_clock_frequency13</ipxact:name> + <ipxact:displayName>Actual Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency14" type="string"> + <ipxact:name>gui_actual_output_clock_frequency14</ipxact:name> + <ipxact:displayName>Actual Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency15" type="string"> + <ipxact:name>gui_actual_output_clock_frequency15</ipxact:name> + <ipxact:displayName>Actual Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency16" type="string"> + <ipxact:name>gui_actual_output_clock_frequency16</ipxact:name> + <ipxact:displayName>Actual Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency17" type="string"> + <ipxact:name>gui_actual_output_clock_frequency17</ipxact:name> + <ipxact:displayName>Actual Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency_range0" type="string"> + <ipxact:name>gui_actual_output_clock_frequency_range0</ipxact:name> + <ipxact:displayName>Legal Frequencies</ipxact:displayName> + <ipxact:value>198.412698,198.496241,198.571429,200.0,201.428571,201.503759</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency_range1" type="string"> + <ipxact:name>gui_actual_output_clock_frequency_range1</ipxact:name> + <ipxact:displayName>Legal Frequencies</ipxact:displayName> + <ipxact:value>166.666667,171.428571,175.0,200.0,233.333333,240.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency_range2" type="string"> + <ipxact:name>gui_actual_output_clock_frequency_range2</ipxact:name> + <ipxact:displayName>Legal Frequencies</ipxact:displayName> + <ipxact:value>300.0,333.333333,350.0,400.0,466.666667,500.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency_range3" type="string"> + <ipxact:name>gui_actual_output_clock_frequency_range3</ipxact:name> + <ipxact:displayName>Legal Frequencies</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency_range4" type="string"> + <ipxact:name>gui_actual_output_clock_frequency_range4</ipxact:name> + <ipxact:displayName>Legal Frequencies</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency_range5" type="string"> + <ipxact:name>gui_actual_output_clock_frequency_range5</ipxact:name> + <ipxact:displayName>Legal Frequencies</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency_range6" type="string"> + <ipxact:name>gui_actual_output_clock_frequency_range6</ipxact:name> + <ipxact:displayName>Legal Frequencies</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency_range7" type="string"> + <ipxact:name>gui_actual_output_clock_frequency_range7</ipxact:name> + <ipxact:displayName>Legal Frequencies</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency_range8" type="string"> + <ipxact:name>gui_actual_output_clock_frequency_range8</ipxact:name> + <ipxact:displayName>Legal Frequencies</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency_range9" type="string"> + <ipxact:name>gui_actual_output_clock_frequency_range9</ipxact:name> + <ipxact:displayName>Legal Frequencies</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency_range10" type="string"> + <ipxact:name>gui_actual_output_clock_frequency_range10</ipxact:name> + <ipxact:displayName>Legal Frequencies</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency_range11" type="string"> + <ipxact:name>gui_actual_output_clock_frequency_range11</ipxact:name> + <ipxact:displayName>Legal Frequencies</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency_range12" type="string"> + <ipxact:name>gui_actual_output_clock_frequency_range12</ipxact:name> + <ipxact:displayName>Legal Frequencies</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency_range13" type="string"> + <ipxact:name>gui_actual_output_clock_frequency_range13</ipxact:name> + <ipxact:displayName>Legal Frequencies</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency_range14" type="string"> + <ipxact:name>gui_actual_output_clock_frequency_range14</ipxact:name> + <ipxact:displayName>Legal Frequencies</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency_range15" type="string"> + <ipxact:name>gui_actual_output_clock_frequency_range15</ipxact:name> + <ipxact:displayName>Legal Frequencies</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency_range16" type="string"> + <ipxact:name>gui_actual_output_clock_frequency_range16</ipxact:name> + <ipxact:displayName>Legal Frequencies</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency_range17" type="string"> + <ipxact:name>gui_actual_output_clock_frequency_range17</ipxact:name> + <ipxact:displayName>Legal Frequencies</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_ps_units0" type="string"> + <ipxact:name>gui_ps_units0</ipxact:name> + <ipxact:displayName>Phase Shift Units</ipxact:displayName> + <ipxact:value>ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_ps_units1" type="string"> + <ipxact:name>gui_ps_units1</ipxact:name> + <ipxact:displayName>Phase Shift Units</ipxact:displayName> + <ipxact:value>ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_ps_units2" type="string"> + <ipxact:name>gui_ps_units2</ipxact:name> + <ipxact:displayName>Phase Shift Units</ipxact:displayName> + <ipxact:value>ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_ps_units3" type="string"> + <ipxact:name>gui_ps_units3</ipxact:name> + <ipxact:displayName>Phase Shift Units</ipxact:displayName> + <ipxact:value>ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_ps_units4" type="string"> + <ipxact:name>gui_ps_units4</ipxact:name> + <ipxact:displayName>Phase Shift Units</ipxact:displayName> + <ipxact:value>ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_ps_units5" type="string"> + <ipxact:name>gui_ps_units5</ipxact:name> + <ipxact:displayName>Phase Shift Units</ipxact:displayName> + <ipxact:value>ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_ps_units6" type="string"> + <ipxact:name>gui_ps_units6</ipxact:name> + <ipxact:displayName>Phase Shift Units</ipxact:displayName> + <ipxact:value>ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_ps_units7" type="string"> + <ipxact:name>gui_ps_units7</ipxact:name> + <ipxact:displayName>Phase Shift Units</ipxact:displayName> + <ipxact:value>ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_ps_units8" type="string"> + <ipxact:name>gui_ps_units8</ipxact:name> + <ipxact:displayName>Phase Shift Units</ipxact:displayName> + <ipxact:value>ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_ps_units9" type="string"> + <ipxact:name>gui_ps_units9</ipxact:name> + <ipxact:displayName>Phase Shift Units</ipxact:displayName> + <ipxact:value>ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_ps_units10" type="string"> + <ipxact:name>gui_ps_units10</ipxact:name> + <ipxact:displayName>Phase Shift Units</ipxact:displayName> + <ipxact:value>ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_ps_units11" type="string"> + <ipxact:name>gui_ps_units11</ipxact:name> + <ipxact:displayName>Phase Shift Units</ipxact:displayName> + <ipxact:value>ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_ps_units12" type="string"> + <ipxact:name>gui_ps_units12</ipxact:name> + <ipxact:displayName>Phase Shift Units</ipxact:displayName> + <ipxact:value>ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_ps_units13" type="string"> + <ipxact:name>gui_ps_units13</ipxact:name> + <ipxact:displayName>Phase Shift Units</ipxact:displayName> + <ipxact:value>ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_ps_units14" type="string"> + <ipxact:name>gui_ps_units14</ipxact:name> + <ipxact:displayName>Phase Shift Units</ipxact:displayName> + <ipxact:value>ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_ps_units15" type="string"> + <ipxact:name>gui_ps_units15</ipxact:name> + <ipxact:displayName>Phase Shift Units</ipxact:displayName> + <ipxact:value>ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_ps_units16" type="string"> + <ipxact:name>gui_ps_units16</ipxact:name> + <ipxact:displayName>Phase Shift Units</ipxact:displayName> + <ipxact:value>ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_ps_units17" type="string"> + <ipxact:name>gui_ps_units17</ipxact:name> + <ipxact:displayName>Phase Shift Units</ipxact:displayName> + <ipxact:value>ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift0" type="real"> + <ipxact:name>gui_phase_shift0</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift1" type="real"> + <ipxact:name>gui_phase_shift1</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift2" type="real"> + <ipxact:name>gui_phase_shift2</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift3" type="real"> + <ipxact:name>gui_phase_shift3</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift4" type="real"> + <ipxact:name>gui_phase_shift4</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift5" type="real"> + <ipxact:name>gui_phase_shift5</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift6" type="real"> + <ipxact:name>gui_phase_shift6</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift7" type="real"> + <ipxact:name>gui_phase_shift7</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift8" type="real"> + <ipxact:name>gui_phase_shift8</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift9" type="real"> + <ipxact:name>gui_phase_shift9</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift10" type="real"> + <ipxact:name>gui_phase_shift10</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift11" type="real"> + <ipxact:name>gui_phase_shift11</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift12" type="real"> + <ipxact:name>gui_phase_shift12</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift13" type="real"> + <ipxact:name>gui_phase_shift13</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift14" type="real"> + <ipxact:name>gui_phase_shift14</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift15" type="real"> + <ipxact:name>gui_phase_shift15</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift16" type="real"> + <ipxact:name>gui_phase_shift16</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift17" type="real"> + <ipxact:name>gui_phase_shift17</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift_deg0" type="real"> + <ipxact:name>gui_phase_shift_deg0</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift_deg1" type="real"> + <ipxact:name>gui_phase_shift_deg1</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift_deg2" type="real"> + <ipxact:name>gui_phase_shift_deg2</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift_deg3" type="real"> + <ipxact:name>gui_phase_shift_deg3</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift_deg4" type="real"> + <ipxact:name>gui_phase_shift_deg4</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift_deg5" type="real"> + <ipxact:name>gui_phase_shift_deg5</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift_deg6" type="real"> + <ipxact:name>gui_phase_shift_deg6</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift_deg7" type="real"> + <ipxact:name>gui_phase_shift_deg7</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift_deg8" type="real"> + <ipxact:name>gui_phase_shift_deg8</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift_deg9" type="real"> + <ipxact:name>gui_phase_shift_deg9</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift_deg10" type="real"> + <ipxact:name>gui_phase_shift_deg10</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift_deg11" type="real"> + <ipxact:name>gui_phase_shift_deg11</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift_deg12" type="real"> + <ipxact:name>gui_phase_shift_deg12</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift_deg13" type="real"> + <ipxact:name>gui_phase_shift_deg13</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift_deg14" type="real"> + <ipxact:name>gui_phase_shift_deg14</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift_deg15" type="real"> + <ipxact:name>gui_phase_shift_deg15</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift_deg16" type="real"> + <ipxact:name>gui_phase_shift_deg16</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift_deg17" type="real"> + <ipxact:name>gui_phase_shift_deg17</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift0" type="string"> + <ipxact:name>gui_actual_phase_shift0</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift1" type="string"> + <ipxact:name>gui_actual_phase_shift1</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift2" type="string"> + <ipxact:name>gui_actual_phase_shift2</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift3" type="string"> + <ipxact:name>gui_actual_phase_shift3</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift4" type="string"> + <ipxact:name>gui_actual_phase_shift4</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift5" type="string"> + <ipxact:name>gui_actual_phase_shift5</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift6" type="string"> + <ipxact:name>gui_actual_phase_shift6</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift7" type="string"> + <ipxact:name>gui_actual_phase_shift7</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift8" type="string"> + <ipxact:name>gui_actual_phase_shift8</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift9" type="string"> + <ipxact:name>gui_actual_phase_shift9</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift10" type="string"> + <ipxact:name>gui_actual_phase_shift10</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift11" type="string"> + <ipxact:name>gui_actual_phase_shift11</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift12" type="string"> + <ipxact:name>gui_actual_phase_shift12</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift13" type="string"> + <ipxact:name>gui_actual_phase_shift13</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift14" type="string"> + <ipxact:name>gui_actual_phase_shift14</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift15" type="string"> + <ipxact:name>gui_actual_phase_shift15</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift16" type="string"> + <ipxact:name>gui_actual_phase_shift16</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift17" type="string"> + <ipxact:name>gui_actual_phase_shift17</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_range0" type="string"> + <ipxact:name>gui_actual_phase_shift_range0</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0,104.2,156.2,208.3,312.5,416.7</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_range1" type="string"> + <ipxact:name>gui_actual_phase_shift_range1</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0,104.2,156.2,208.3,312.5,416.7</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_range2" type="string"> + <ipxact:name>gui_actual_phase_shift_range2</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0,104.2,156.2,208.3,312.5,416.7</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_range3" type="string"> + <ipxact:name>gui_actual_phase_shift_range3</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_range4" type="string"> + <ipxact:name>gui_actual_phase_shift_range4</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_range5" type="string"> + <ipxact:name>gui_actual_phase_shift_range5</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_range6" type="string"> + <ipxact:name>gui_actual_phase_shift_range6</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_range7" type="string"> + <ipxact:name>gui_actual_phase_shift_range7</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_range8" type="string"> + <ipxact:name>gui_actual_phase_shift_range8</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_range9" type="string"> + <ipxact:name>gui_actual_phase_shift_range9</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_range10" type="string"> + <ipxact:name>gui_actual_phase_shift_range10</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_range11" type="string"> + <ipxact:name>gui_actual_phase_shift_range11</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_range12" type="string"> + <ipxact:name>gui_actual_phase_shift_range12</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_range13" type="string"> + <ipxact:name>gui_actual_phase_shift_range13</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_range14" type="string"> + <ipxact:name>gui_actual_phase_shift_range14</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_range15" type="string"> + <ipxact:name>gui_actual_phase_shift_range15</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_range16" type="string"> + <ipxact:name>gui_actual_phase_shift_range16</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_range17" type="string"> + <ipxact:name>gui_actual_phase_shift_range17</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg0" type="string"> + <ipxact:name>gui_actual_phase_shift_deg0</ipxact:name> + <ipxact:displayName>Actual Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg1" type="string"> + <ipxact:name>gui_actual_phase_shift_deg1</ipxact:name> + <ipxact:displayName>Actual Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg2" type="string"> + <ipxact:name>gui_actual_phase_shift_deg2</ipxact:name> + <ipxact:displayName>Actual Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg3" type="string"> + <ipxact:name>gui_actual_phase_shift_deg3</ipxact:name> + <ipxact:displayName>Actual Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg4" type="string"> + <ipxact:name>gui_actual_phase_shift_deg4</ipxact:name> + <ipxact:displayName>Actual Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg5" type="string"> + <ipxact:name>gui_actual_phase_shift_deg5</ipxact:name> + <ipxact:displayName>Actual Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg6" type="string"> + <ipxact:name>gui_actual_phase_shift_deg6</ipxact:name> + <ipxact:displayName>Actual Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg7" type="string"> + <ipxact:name>gui_actual_phase_shift_deg7</ipxact:name> + <ipxact:displayName>Actual Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg8" type="string"> + <ipxact:name>gui_actual_phase_shift_deg8</ipxact:name> + <ipxact:displayName>Actual Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg9" type="string"> + <ipxact:name>gui_actual_phase_shift_deg9</ipxact:name> + <ipxact:displayName>Actual Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg10" type="string"> + <ipxact:name>gui_actual_phase_shift_deg10</ipxact:name> + <ipxact:displayName>Actual Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg11" type="string"> + <ipxact:name>gui_actual_phase_shift_deg11</ipxact:name> + <ipxact:displayName>Actual Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg12" type="string"> + <ipxact:name>gui_actual_phase_shift_deg12</ipxact:name> + <ipxact:displayName>Actual Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg13" type="string"> + <ipxact:name>gui_actual_phase_shift_deg13</ipxact:name> + <ipxact:displayName>Actual Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg14" type="string"> + <ipxact:name>gui_actual_phase_shift_deg14</ipxact:name> + <ipxact:displayName>Actual Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg15" type="string"> + <ipxact:name>gui_actual_phase_shift_deg15</ipxact:name> + <ipxact:displayName>Actual Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg16" type="string"> + <ipxact:name>gui_actual_phase_shift_deg16</ipxact:name> + <ipxact:displayName>Actual Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg17" type="string"> + <ipxact:name>gui_actual_phase_shift_deg17</ipxact:name> + <ipxact:displayName>Actual Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg_range0" type="string"> + <ipxact:name>gui_actual_phase_shift_deg_range0</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0,7.5,11.2,15.0,22.5,30.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg_range1" type="string"> + <ipxact:name>gui_actual_phase_shift_deg_range1</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0,7.5,11.2,15.0,22.5,30.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg_range2" type="string"> + <ipxact:name>gui_actual_phase_shift_deg_range2</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0,15.0,22.5,30.0,45.0,60.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg_range3" type="string"> + <ipxact:name>gui_actual_phase_shift_deg_range3</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg_range4" type="string"> + <ipxact:name>gui_actual_phase_shift_deg_range4</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg_range5" type="string"> + <ipxact:name>gui_actual_phase_shift_deg_range5</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg_range6" type="string"> + <ipxact:name>gui_actual_phase_shift_deg_range6</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg_range7" type="string"> + <ipxact:name>gui_actual_phase_shift_deg_range7</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg_range8" type="string"> + <ipxact:name>gui_actual_phase_shift_deg_range8</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg_range9" type="string"> + <ipxact:name>gui_actual_phase_shift_deg_range9</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg_range10" type="string"> + <ipxact:name>gui_actual_phase_shift_deg_range10</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg_range11" type="string"> + <ipxact:name>gui_actual_phase_shift_deg_range11</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg_range12" type="string"> + <ipxact:name>gui_actual_phase_shift_deg_range12</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg_range13" type="string"> + <ipxact:name>gui_actual_phase_shift_deg_range13</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg_range14" type="string"> + <ipxact:name>gui_actual_phase_shift_deg_range14</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg_range15" type="string"> + <ipxact:name>gui_actual_phase_shift_deg_range15</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg_range16" type="string"> + <ipxact:name>gui_actual_phase_shift_deg_range16</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg_range17" type="string"> + <ipxact:name>gui_actual_phase_shift_deg_range17</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_duty_cycle0" type="real"> + <ipxact:name>gui_duty_cycle0</ipxact:name> + <ipxact:displayName>Desired Duty Cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_duty_cycle1" type="real"> + <ipxact:name>gui_duty_cycle1</ipxact:name> + <ipxact:displayName>Desired Duty Cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_duty_cycle2" type="real"> + <ipxact:name>gui_duty_cycle2</ipxact:name> + <ipxact:displayName>Desired Duty Cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_duty_cycle3" type="real"> + <ipxact:name>gui_duty_cycle3</ipxact:name> + <ipxact:displayName>Desired Duty Cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_duty_cycle4" type="real"> + <ipxact:name>gui_duty_cycle4</ipxact:name> + <ipxact:displayName>Desired Duty Cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_duty_cycle5" type="real"> + <ipxact:name>gui_duty_cycle5</ipxact:name> + <ipxact:displayName>Desired Duty Cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_duty_cycle6" type="real"> + <ipxact:name>gui_duty_cycle6</ipxact:name> + <ipxact:displayName>Desired Duty Cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_duty_cycle7" type="real"> + <ipxact:name>gui_duty_cycle7</ipxact:name> + <ipxact:displayName>Desired Duty Cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_duty_cycle8" type="real"> + <ipxact:name>gui_duty_cycle8</ipxact:name> + <ipxact:displayName>Desired Duty Cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_duty_cycle9" type="real"> + <ipxact:name>gui_duty_cycle9</ipxact:name> + <ipxact:displayName>Desired Duty Cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_duty_cycle10" type="real"> + <ipxact:name>gui_duty_cycle10</ipxact:name> + <ipxact:displayName>Desired Duty Cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_duty_cycle11" type="real"> + <ipxact:name>gui_duty_cycle11</ipxact:name> + <ipxact:displayName>Desired Duty Cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_duty_cycle12" type="real"> + <ipxact:name>gui_duty_cycle12</ipxact:name> + <ipxact:displayName>Desired Duty Cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_duty_cycle13" type="real"> + <ipxact:name>gui_duty_cycle13</ipxact:name> + <ipxact:displayName>Desired Duty Cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_duty_cycle14" type="real"> + <ipxact:name>gui_duty_cycle14</ipxact:name> + <ipxact:displayName>Desired Duty Cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_duty_cycle15" type="real"> + <ipxact:name>gui_duty_cycle15</ipxact:name> + <ipxact:displayName>Desired Duty Cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_duty_cycle16" type="real"> + <ipxact:name>gui_duty_cycle16</ipxact:name> + <ipxact:displayName>Desired Duty Cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_duty_cycle17" type="real"> + <ipxact:name>gui_duty_cycle17</ipxact:name> + <ipxact:displayName>Desired Duty Cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle0" type="string"> + <ipxact:name>gui_actual_duty_cycle0</ipxact:name> + <ipxact:displayName>Actual duty cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle1" type="string"> + <ipxact:name>gui_actual_duty_cycle1</ipxact:name> + <ipxact:displayName>Actual duty cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle2" type="string"> + <ipxact:name>gui_actual_duty_cycle2</ipxact:name> + <ipxact:displayName>Actual duty cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle3" type="string"> + <ipxact:name>gui_actual_duty_cycle3</ipxact:name> + <ipxact:displayName>Actual duty cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle4" type="string"> + <ipxact:name>gui_actual_duty_cycle4</ipxact:name> + <ipxact:displayName>Actual duty cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle5" type="string"> + <ipxact:name>gui_actual_duty_cycle5</ipxact:name> + <ipxact:displayName>Actual duty cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle6" type="string"> + <ipxact:name>gui_actual_duty_cycle6</ipxact:name> + <ipxact:displayName>Actual duty cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle7" type="string"> + <ipxact:name>gui_actual_duty_cycle7</ipxact:name> + <ipxact:displayName>Actual duty cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle8" type="string"> + <ipxact:name>gui_actual_duty_cycle8</ipxact:name> + <ipxact:displayName>Actual duty cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle9" type="string"> + <ipxact:name>gui_actual_duty_cycle9</ipxact:name> + <ipxact:displayName>Actual duty cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle10" type="string"> + <ipxact:name>gui_actual_duty_cycle10</ipxact:name> + <ipxact:displayName>Actual duty cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle11" type="string"> + <ipxact:name>gui_actual_duty_cycle11</ipxact:name> + <ipxact:displayName>Actual duty cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle12" type="string"> + <ipxact:name>gui_actual_duty_cycle12</ipxact:name> + <ipxact:displayName>Actual duty cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle13" type="string"> + <ipxact:name>gui_actual_duty_cycle13</ipxact:name> + <ipxact:displayName>Actual duty cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle14" type="string"> + <ipxact:name>gui_actual_duty_cycle14</ipxact:name> + <ipxact:displayName>Actual duty cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle15" type="string"> + <ipxact:name>gui_actual_duty_cycle15</ipxact:name> + <ipxact:displayName>Actual duty cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle16" type="string"> + <ipxact:name>gui_actual_duty_cycle16</ipxact:name> + <ipxact:displayName>Actual duty cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle17" type="string"> + <ipxact:name>gui_actual_duty_cycle17</ipxact:name> + <ipxact:displayName>Actual duty cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle_range0" type="string"> + <ipxact:name>gui_actual_duty_cycle_range0</ipxact:name> + <ipxact:displayName>Legal Duty Cycles</ipxact:displayName> + <ipxact:value>33.33,37.5,41.67,50.0,58.33,62.5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle_range1" type="string"> + <ipxact:name>gui_actual_duty_cycle_range1</ipxact:name> + <ipxact:displayName>Legal Duty Cycles</ipxact:displayName> + <ipxact:value>33.33,37.5,41.67,50.0,58.33,62.5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle_range2" type="string"> + <ipxact:name>gui_actual_duty_cycle_range2</ipxact:name> + <ipxact:displayName>Legal Duty Cycles</ipxact:displayName> + <ipxact:value>16.67,25.0,33.33,50.0,66.67</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle_range3" type="string"> + <ipxact:name>gui_actual_duty_cycle_range3</ipxact:name> + <ipxact:displayName>Legal Duty Cycles</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle_range4" type="string"> + <ipxact:name>gui_actual_duty_cycle_range4</ipxact:name> + <ipxact:displayName>Legal Duty Cycles</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle_range5" type="string"> + <ipxact:name>gui_actual_duty_cycle_range5</ipxact:name> + <ipxact:displayName>Legal Duty Cycles</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle_range6" type="string"> + <ipxact:name>gui_actual_duty_cycle_range6</ipxact:name> + <ipxact:displayName>Legal Duty Cycles</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle_range7" type="string"> + <ipxact:name>gui_actual_duty_cycle_range7</ipxact:name> + <ipxact:displayName>Legal Duty Cycles</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle_range8" type="string"> + <ipxact:name>gui_actual_duty_cycle_range8</ipxact:name> + <ipxact:displayName>Legal Duty Cycles</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle_range9" type="string"> + <ipxact:name>gui_actual_duty_cycle_range9</ipxact:name> + <ipxact:displayName>Legal Duty Cycles</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle_range10" type="string"> + <ipxact:name>gui_actual_duty_cycle_range10</ipxact:name> + <ipxact:displayName>Legal Duty Cycles</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle_range11" type="string"> + <ipxact:name>gui_actual_duty_cycle_range11</ipxact:name> + <ipxact:displayName>Legal Duty Cycles</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle_range12" type="string"> + <ipxact:name>gui_actual_duty_cycle_range12</ipxact:name> + <ipxact:displayName>Legal Duty Cycles</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle_range13" type="string"> + <ipxact:name>gui_actual_duty_cycle_range13</ipxact:name> + <ipxact:displayName>Legal Duty Cycles</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle_range14" type="string"> + <ipxact:name>gui_actual_duty_cycle_range14</ipxact:name> + <ipxact:displayName>Legal Duty Cycles</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle_range15" type="string"> + <ipxact:name>gui_actual_duty_cycle_range15</ipxact:name> + <ipxact:displayName>Legal Duty Cycles</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle_range16" type="string"> + <ipxact:name>gui_actual_duty_cycle_range16</ipxact:name> + <ipxact:displayName>Legal Duty Cycles</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle_range17" type="string"> + <ipxact:name>gui_actual_duty_cycle_range17</ipxact:name> + <ipxact:displayName>Legal Duty Cycles</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="parameterTable_names" type="string"> + <ipxact:name>parameterTable_names</ipxact:name> + <ipxact:displayName>Parameter Names</ipxact:displayName> + <ipxact:value>M-Counter Divide Setting,N-Counter Divide Setting,VCO Frequency,C-Counter-0 Divide Setting,C-Counter-1 Divide Setting,C-Counter-2 Divide Setting,C-Counter-3 Divide Setting,C-Counter-4 Divide Setting,C-Counter-5 Divide Setting,C-Counter-6 Divide Setting,C-Counter-7 Divide Setting,C-Counter-8 Divide Setting,PLL Auto Reset,M-Counter Hi Divide,M-Counter Lo Divide,M-Counter Even Duty Enable,M-Counter Bypass Enable,N-Counter Hi Divide,N-Counter Lo Divide,N-Counter Even Duty Enable,N-Counter Bypass Enable,C-Counter-0 Hi Divide,C-Counter-1 Hi Divide,C-Counter-2 Hi Divide,C-Counter-3 Hi Divide,C-Counter-4 Hi Divide,C-Counter-5 Hi Divide,C-Counter-6 Hi Divide,C-Counter-7 Hi Divide,C-Counter-8 Hi Divide,C-Counter-0 Lo Divide,C-Counter-1 Lo Divide,C-Counter-2 Lo Divide,C-Counter-3 Lo Divide,C-Counter-4 Lo Divide,C-Counter-5 Lo Divide,C-Counter-6 Lo Divide,C-Counter-7 Lo Divide,C-Counter-8 Lo Divide,C-Counter-0 Even Duty Enable,C-Counter-1 Even Duty Enable,C-Counter-2 Even Duty Enable,C-Counter-3 Even Duty Enable,C-Counter-4 Even Duty Enable,C-Counter-5 Even Duty Enable,C-Counter-6 Even Duty Enable,C-Counter-7 Even Duty Enable,C-Counter-8 Even Duty Enable,C-Counter-0 Bypass Enable,C-Counter-1 Bypass Enable,C-Counter-2 Bypass Enable,C-Counter-3 Bypass Enable,C-Counter-4 Bypass Enable,C-Counter-5 Bypass Enable,C-Counter-6 Bypass Enable,C-Counter-7 Bypass Enable,C-Counter-8 Bypass Enable,C-Counter-0 Preset,C-Counter-1 Preset,C-Counter-2 Preset,C-Counter-3 Preset,C-Counter-4 Preset,C-Counter-5 Preset,C-Counter-6 Preset,C-Counter-7 Preset,C-Counter-8 Preset,C-Counter-0 Phase Mux Preset,C-Counter-1 Phase Mux Preset,C-Counter-2 Phase Mux Preset,C-Counter-3 Phase Mux Preset,C-Counter-4 Phase Mux Preset,C-Counter-5 Phase Mux Preset,C-Counter-6 Phase Mux Preset,C-Counter-7 Phase Mux Preset,C-Counter-8 Phase Mux Preset,Charge Pump Current,Bandwidth Control</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="parameterTable_values" type="string"> + <ipxact:name>parameterTable_values</ipxact:name> + <ipxact:displayName>Parameter Values</ipxact:displayName> + <ipxact:value>4,1,800.0 MHz,4,4,2,1,1,1,1,1,1,false,2,2,false,false,256,256,false,true,2,2,1,256,256,256,256,256,256,2,2,1,256,256,256,256,256,256,false,false,false,false,false,false,false,false,false,false,false,false,true,true,true,true,true,true,1,1,1,1,1,1,1,1,1,0,0,0,0,0,0,0,0,0,pll_cp_setting10,pll_bw_res_setting2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="mifTable_names" type="string"> + <ipxact:name>mifTable_names</ipxact:name> + <ipxact:displayName>MIF File Property</ipxact:displayName> + <ipxact:value>The MIF file specified does not yet exist</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="mifTable_values" type="string"> + <ipxact:name>mifTable_values</ipxact:name> + <ipxact:displayName>Values</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_m_cnt_basic" type="int"> + <ipxact:name>pll_m_cnt_basic</ipxact:name> + <ipxact:displayName>pll_m_cnt_basic</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_m_cnt" type="int"> + <ipxact:name>pll_m_cnt</ipxact:name> + <ipxact:displayName>pll_m_cnt</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prot_mode" type="string"> + <ipxact:name>prot_mode</ipxact:name> + <ipxact:displayName>prot_mode</ipxact:displayName> + <ipxact:value>BASIC</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="m_cnt_hi_div" type="int"> + <ipxact:name>m_cnt_hi_div</ipxact:name> + <ipxact:displayName>m_cnt_hi_div</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="eff_m_cnt" type="int"> + <ipxact:name>eff_m_cnt</ipxact:name> + <ipxact:displayName>eff_m_cnt</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="multiply_factor" type="int"> + <ipxact:name>multiply_factor</ipxact:name> + <ipxact:displayName>multiply_factor</ipxact:displayName> + <ipxact:value>4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="use_core_refclk" type="bit"> + <ipxact:name>use_core_refclk</ipxact:name> + <ipxact:displayName>use_core_refclk</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="m_cnt_lo_div" type="int"> + <ipxact:name>m_cnt_lo_div</ipxact:name> + <ipxact:displayName>m_cnt_lo_div</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="n_cnt_hi_div" type="int"> + <ipxact:name>n_cnt_hi_div</ipxact:name> + <ipxact:displayName>n_cnt_hi_div</ipxact:displayName> + <ipxact:value>256</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="n_cnt_lo_div" type="int"> + <ipxact:name>n_cnt_lo_div</ipxact:name> + <ipxact:displayName>n_cnt_lo_div</ipxact:displayName> + <ipxact:value>256</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="m_cnt_bypass_en" type="bit"> + <ipxact:name>m_cnt_bypass_en</ipxact:name> + <ipxact:displayName>m_cnt_bypass_en</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="n_cnt_bypass_en" type="bit"> + <ipxact:name>n_cnt_bypass_en</ipxact:name> + <ipxact:displayName>n_cnt_bypass_en</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="m_cnt_odd_div_duty_en" type="bit"> + <ipxact:name>m_cnt_odd_div_duty_en</ipxact:name> + <ipxact:displayName>m_cnt_odd_div_duty_en</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="n_cnt_odd_div_duty_en" type="bit"> + <ipxact:name>n_cnt_odd_div_duty_en</ipxact:name> + <ipxact:displayName>n_cnt_odd_div_duty_en</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_vco_div" type="int"> + <ipxact:name>pll_vco_div</ipxact:name> + <ipxact:displayName>pll_vco_div</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_cp_current" type="string"> + <ipxact:name>pll_cp_current</ipxact:name> + <ipxact:displayName>pll_cp_current</ipxact:displayName> + <ipxact:value>pll_cp_setting10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_bwctrl" type="string"> + <ipxact:name>pll_bwctrl</ipxact:name> + <ipxact:displayName>pll_bwctrl</ipxact:displayName> + <ipxact:value>pll_bw_res_setting2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_ripplecap_ctrl" type="string"> + <ipxact:name>pll_ripplecap_ctrl</ipxact:name> + <ipxact:displayName>pll_ripplecap_ctrl</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_fractional_division" type="int"> + <ipxact:name>pll_fractional_division</ipxact:name> + <ipxact:displayName>pll_fractional_division</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="fractional_vco_multiplier" type="bit"> + <ipxact:name>fractional_vco_multiplier</ipxact:name> + <ipxact:displayName>fractional_vco_multiplier</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="reference_clock_frequency" type="string"> + <ipxact:name>reference_clock_frequency</ipxact:name> + <ipxact:displayName>reference_clock_frequency</ipxact:displayName> + <ipxact:value>200.0 MHz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_fractional_cout" type="int"> + <ipxact:name>pll_fractional_cout</ipxact:name> + <ipxact:displayName>pll_fractional_cout</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_dsm_out_sel" type="string"> + <ipxact:name>pll_dsm_out_sel</ipxact:name> + <ipxact:displayName>pll_dsm_out_sel</ipxact:displayName> + <ipxact:value>1st_order</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="operation_mode" type="string"> + <ipxact:name>operation_mode</ipxact:name> + <ipxact:displayName>operation_mode</ipxact:displayName> + <ipxact:value>direct</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="number_of_clocks" type="int"> + <ipxact:name>number_of_clocks</ipxact:name> + <ipxact:displayName>number_of_clocks</ipxact:displayName> + <ipxact:value>3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="number_of_outclks" type="int"> + <ipxact:name>number_of_outclks</ipxact:name> + <ipxact:displayName>number_of_outclks</ipxact:displayName> + <ipxact:value>3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_vcoph_div" type="int"> + <ipxact:name>pll_vcoph_div</ipxact:name> + <ipxact:displayName>pll_vcoph_div</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_type" type="string"> + <ipxact:name>pll_type</ipxact:name> + <ipxact:displayName>pll_type</ipxact:displayName> + <ipxact:value>Arria 10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_subtype" type="string"> + <ipxact:name>pll_subtype</ipxact:name> + <ipxact:displayName>pll_subtype</ipxact:displayName> + <ipxact:value>General</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_output_clk_frequency" type="string"> + <ipxact:name>pll_output_clk_frequency</ipxact:name> + <ipxact:displayName>pll_output_clk_frequency</ipxact:displayName> + <ipxact:value>800.0 MHz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_pfd_frequency" type="string"> + <ipxact:name>pll_pfd_frequency</ipxact:name> + <ipxact:displayName>pll_pfd_frequency</ipxact:displayName> + <ipxact:value>200.0 MHz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="mimic_fbclk_type" type="string"> + <ipxact:name>mimic_fbclk_type</ipxact:name> + <ipxact:displayName>mimic_fbclk_type</ipxact:displayName> + <ipxact:value>gclk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_bw_sel" type="string"> + <ipxact:name>pll_bw_sel</ipxact:name> + <ipxact:displayName>pll_bw_sel</ipxact:displayName> + <ipxact:value>Low</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_slf_rst" type="bit"> + <ipxact:name>pll_slf_rst</ipxact:name> + <ipxact:displayName>pll_slf_rst</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_fbclk_mux_1" type="string"> + <ipxact:name>pll_fbclk_mux_1</ipxact:name> + <ipxact:displayName>pll_fbclk_mux_1</ipxact:displayName> + <ipxact:value>pll_fbclk_mux_1_glb</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_fbclk_mux_2" type="string"> + <ipxact:name>pll_fbclk_mux_2</ipxact:name> + <ipxact:displayName>pll_fbclk_mux_2</ipxact:displayName> + <ipxact:value>pll_fbclk_mux_2_m_cnt</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_m_cnt_in_src" type="string"> + <ipxact:name>pll_m_cnt_in_src</ipxact:name> + <ipxact:displayName>pll_m_cnt_in_src</ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_clkin_0_src" type="string"> + <ipxact:name>pll_clkin_0_src</ipxact:name> + <ipxact:displayName>pll_clkin_0_src</ipxact:displayName> + <ipxact:value>clk_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="refclk1_frequency" type="string"> + <ipxact:name>refclk1_frequency</ipxact:name> + <ipxact:displayName>refclk1_frequency</ipxact:displayName> + <ipxact:value>100.0 MHz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_clk_loss_sw_en" type="bit"> + <ipxact:name>pll_clk_loss_sw_en</ipxact:name> + <ipxact:displayName>pll_clk_loss_sw_en</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_manu_clk_sw_en" type="bit"> + <ipxact:name>pll_manu_clk_sw_en</ipxact:name> + <ipxact:displayName>pll_manu_clk_sw_en</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_auto_clk_sw_en" type="bit"> + <ipxact:name>pll_auto_clk_sw_en</ipxact:name> + <ipxact:displayName>pll_auto_clk_sw_en</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_clkin_1_src" type="string"> + <ipxact:name>pll_clkin_1_src</ipxact:name> + <ipxact:displayName>pll_clkin_1_src</ipxact:displayName> + <ipxact:value>clk_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_clk_sw_dly" type="int"> + <ipxact:name>pll_clk_sw_dly</ipxact:name> + <ipxact:displayName>pll_clk_sw_dly</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_extclk_0_cnt_src" type="string"> + <ipxact:name>pll_extclk_0_cnt_src</ipxact:name> + <ipxact:displayName>pll_extclk_0_cnt_src</ipxact:displayName> + <ipxact:value>pll_extclk_cnt_src_vss</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_extclk_1_cnt_src" type="string"> + <ipxact:name>pll_extclk_1_cnt_src</ipxact:name> + <ipxact:displayName>pll_extclk_1_cnt_src</ipxact:displayName> + <ipxact:value>pll_extclk_cnt_src_vss</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_lock_fltr_cfg" type="int"> + <ipxact:name>pll_lock_fltr_cfg</ipxact:name> + <ipxact:displayName>pll_lock_fltr_cfg</ipxact:displayName> + <ipxact:value>100</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_unlock_fltr_cfg" type="int"> + <ipxact:name>pll_unlock_fltr_cfg</ipxact:name> + <ipxact:displayName>pll_unlock_fltr_cfg</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="lock_mode" type="string"> + <ipxact:name>lock_mode</ipxact:name> + <ipxact:displayName>lock_mode</ipxact:displayName> + <ipxact:value>low_lock_time</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clock_to_compensate" type="int"> + <ipxact:name>clock_to_compensate</ipxact:name> + <ipxact:displayName>clock_to_compensate</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clock_name_global" type="bit"> + <ipxact:name>clock_name_global</ipxact:name> + <ipxact:displayName>clock_name_global</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_freqcal_en" type="bit"> + <ipxact:name>pll_freqcal_en</ipxact:name> + <ipxact:displayName>pll_freqcal_en</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_defer_cal_user_mode" type="bit"> + <ipxact:name>pll_defer_cal_user_mode</ipxact:name> + <ipxact:displayName>pll_defer_cal_user_mode</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="dprio_interface_sel" type="int"> + <ipxact:name>dprio_interface_sel</ipxact:name> + <ipxact:displayName>dprio_interface_sel</ipxact:displayName> + <ipxact:value>3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="merging_permitted" type="bit"> + <ipxact:name>merging_permitted</ipxact:name> + <ipxact:displayName>merging_permitted</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_hi_div0" type="int"> + <ipxact:name>c_cnt_hi_div0</ipxact:name> + <ipxact:displayName>c_cnt_hi_div0</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_hi_div1" type="int"> + <ipxact:name>c_cnt_hi_div1</ipxact:name> + <ipxact:displayName>c_cnt_hi_div1</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_hi_div2" type="int"> + <ipxact:name>c_cnt_hi_div2</ipxact:name> + <ipxact:displayName>c_cnt_hi_div2</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_hi_div3" type="int"> + <ipxact:name>c_cnt_hi_div3</ipxact:name> + <ipxact:displayName>c_cnt_hi_div3</ipxact:displayName> + <ipxact:value>256</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_hi_div4" type="int"> + <ipxact:name>c_cnt_hi_div4</ipxact:name> + <ipxact:displayName>c_cnt_hi_div4</ipxact:displayName> + <ipxact:value>256</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_hi_div5" type="int"> + <ipxact:name>c_cnt_hi_div5</ipxact:name> + <ipxact:displayName>c_cnt_hi_div5</ipxact:displayName> + <ipxact:value>256</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_hi_div6" type="int"> + <ipxact:name>c_cnt_hi_div6</ipxact:name> + <ipxact:displayName>c_cnt_hi_div6</ipxact:displayName> + <ipxact:value>256</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_hi_div7" type="int"> + <ipxact:name>c_cnt_hi_div7</ipxact:name> + <ipxact:displayName>c_cnt_hi_div7</ipxact:displayName> + <ipxact:value>256</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_hi_div8" type="int"> + <ipxact:name>c_cnt_hi_div8</ipxact:name> + <ipxact:displayName>c_cnt_hi_div8</ipxact:displayName> + <ipxact:value>256</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_hi_div9" type="int"> + <ipxact:name>c_cnt_hi_div9</ipxact:name> + <ipxact:displayName>c_cnt_hi_div9</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_hi_div10" type="int"> + <ipxact:name>c_cnt_hi_div10</ipxact:name> + <ipxact:displayName>c_cnt_hi_div10</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_hi_div11" type="int"> + <ipxact:name>c_cnt_hi_div11</ipxact:name> + <ipxact:displayName>c_cnt_hi_div11</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_hi_div12" type="int"> + <ipxact:name>c_cnt_hi_div12</ipxact:name> + <ipxact:displayName>c_cnt_hi_div12</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_hi_div13" type="int"> + <ipxact:name>c_cnt_hi_div13</ipxact:name> + <ipxact:displayName>c_cnt_hi_div13</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_hi_div14" type="int"> + <ipxact:name>c_cnt_hi_div14</ipxact:name> + <ipxact:displayName>c_cnt_hi_div14</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_hi_div15" type="int"> + <ipxact:name>c_cnt_hi_div15</ipxact:name> + <ipxact:displayName>c_cnt_hi_div15</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_hi_div16" type="int"> + <ipxact:name>c_cnt_hi_div16</ipxact:name> + <ipxact:displayName>c_cnt_hi_div16</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_hi_div17" type="int"> + <ipxact:name>c_cnt_hi_div17</ipxact:name> + <ipxact:displayName>c_cnt_hi_div17</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_lo_div0" type="int"> + <ipxact:name>c_cnt_lo_div0</ipxact:name> + <ipxact:displayName>c_cnt_lo_div0</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_lo_div1" type="int"> + <ipxact:name>c_cnt_lo_div1</ipxact:name> + <ipxact:displayName>c_cnt_lo_div1</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_lo_div2" type="int"> + <ipxact:name>c_cnt_lo_div2</ipxact:name> + <ipxact:displayName>c_cnt_lo_div2</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_lo_div3" type="int"> + <ipxact:name>c_cnt_lo_div3</ipxact:name> + <ipxact:displayName>c_cnt_lo_div3</ipxact:displayName> + <ipxact:value>256</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_lo_div4" type="int"> + <ipxact:name>c_cnt_lo_div4</ipxact:name> + <ipxact:displayName>c_cnt_lo_div4</ipxact:displayName> + <ipxact:value>256</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_lo_div5" type="int"> + <ipxact:name>c_cnt_lo_div5</ipxact:name> + <ipxact:displayName>c_cnt_lo_div5</ipxact:displayName> + <ipxact:value>256</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_lo_div6" type="int"> + <ipxact:name>c_cnt_lo_div6</ipxact:name> + <ipxact:displayName>c_cnt_lo_div6</ipxact:displayName> + <ipxact:value>256</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_lo_div7" type="int"> + <ipxact:name>c_cnt_lo_div7</ipxact:name> + <ipxact:displayName>c_cnt_lo_div7</ipxact:displayName> + <ipxact:value>256</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_lo_div8" type="int"> + <ipxact:name>c_cnt_lo_div8</ipxact:name> + <ipxact:displayName>c_cnt_lo_div8</ipxact:displayName> + <ipxact:value>256</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_lo_div9" type="int"> + <ipxact:name>c_cnt_lo_div9</ipxact:name> + <ipxact:displayName>c_cnt_lo_div9</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_lo_div10" type="int"> + <ipxact:name>c_cnt_lo_div10</ipxact:name> + <ipxact:displayName>c_cnt_lo_div10</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_lo_div11" type="int"> + <ipxact:name>c_cnt_lo_div11</ipxact:name> + <ipxact:displayName>c_cnt_lo_div11</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_lo_div12" type="int"> + <ipxact:name>c_cnt_lo_div12</ipxact:name> + <ipxact:displayName>c_cnt_lo_div12</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_lo_div13" type="int"> + <ipxact:name>c_cnt_lo_div13</ipxact:name> + <ipxact:displayName>c_cnt_lo_div13</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_lo_div14" type="int"> + <ipxact:name>c_cnt_lo_div14</ipxact:name> + <ipxact:displayName>c_cnt_lo_div14</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_lo_div15" type="int"> + <ipxact:name>c_cnt_lo_div15</ipxact:name> + <ipxact:displayName>c_cnt_lo_div15</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_lo_div16" type="int"> + <ipxact:name>c_cnt_lo_div16</ipxact:name> + <ipxact:displayName>c_cnt_lo_div16</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_lo_div17" type="int"> + <ipxact:name>c_cnt_lo_div17</ipxact:name> + <ipxact:displayName>c_cnt_lo_div17</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_prst0" type="int"> + <ipxact:name>c_cnt_prst0</ipxact:name> + <ipxact:displayName>c_cnt_prst0</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_prst1" type="int"> + <ipxact:name>c_cnt_prst1</ipxact:name> + <ipxact:displayName>c_cnt_prst1</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_prst2" type="int"> + <ipxact:name>c_cnt_prst2</ipxact:name> + <ipxact:displayName>c_cnt_prst2</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_prst3" type="int"> + <ipxact:name>c_cnt_prst3</ipxact:name> + <ipxact:displayName>c_cnt_prst3</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_prst4" type="int"> + <ipxact:name>c_cnt_prst4</ipxact:name> + <ipxact:displayName>c_cnt_prst4</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_prst5" type="int"> + <ipxact:name>c_cnt_prst5</ipxact:name> + <ipxact:displayName>c_cnt_prst5</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_prst6" type="int"> + <ipxact:name>c_cnt_prst6</ipxact:name> + <ipxact:displayName>c_cnt_prst6</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_prst7" type="int"> + <ipxact:name>c_cnt_prst7</ipxact:name> + <ipxact:displayName>c_cnt_prst7</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_prst8" type="int"> + <ipxact:name>c_cnt_prst8</ipxact:name> + <ipxact:displayName>c_cnt_prst8</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_prst9" type="int"> + <ipxact:name>c_cnt_prst9</ipxact:name> + <ipxact:displayName>c_cnt_prst9</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_prst10" type="int"> + <ipxact:name>c_cnt_prst10</ipxact:name> + <ipxact:displayName>c_cnt_prst10</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_prst11" type="int"> + <ipxact:name>c_cnt_prst11</ipxact:name> + <ipxact:displayName>c_cnt_prst11</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_prst12" type="int"> + <ipxact:name>c_cnt_prst12</ipxact:name> + <ipxact:displayName>c_cnt_prst12</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_prst13" type="int"> + <ipxact:name>c_cnt_prst13</ipxact:name> + <ipxact:displayName>c_cnt_prst13</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_prst14" type="int"> + <ipxact:name>c_cnt_prst14</ipxact:name> + <ipxact:displayName>c_cnt_prst14</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_prst15" type="int"> + <ipxact:name>c_cnt_prst15</ipxact:name> + <ipxact:displayName>c_cnt_prst15</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_prst16" type="int"> + <ipxact:name>c_cnt_prst16</ipxact:name> + <ipxact:displayName>c_cnt_prst16</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_prst17" type="int"> + <ipxact:name>c_cnt_prst17</ipxact:name> + <ipxact:displayName>c_cnt_prst17</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_ph_mux_prst0" type="int"> + <ipxact:name>c_cnt_ph_mux_prst0</ipxact:name> + <ipxact:displayName>c_cnt_ph_mux_prst0</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_ph_mux_prst1" type="int"> + <ipxact:name>c_cnt_ph_mux_prst1</ipxact:name> + <ipxact:displayName>c_cnt_ph_mux_prst1</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_ph_mux_prst2" type="int"> + <ipxact:name>c_cnt_ph_mux_prst2</ipxact:name> + <ipxact:displayName>c_cnt_ph_mux_prst2</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_ph_mux_prst3" type="int"> + <ipxact:name>c_cnt_ph_mux_prst3</ipxact:name> + <ipxact:displayName>c_cnt_ph_mux_prst3</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_ph_mux_prst4" type="int"> + <ipxact:name>c_cnt_ph_mux_prst4</ipxact:name> + <ipxact:displayName>c_cnt_ph_mux_prst4</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_ph_mux_prst5" type="int"> + <ipxact:name>c_cnt_ph_mux_prst5</ipxact:name> + <ipxact:displayName>c_cnt_ph_mux_prst5</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_ph_mux_prst6" type="int"> + <ipxact:name>c_cnt_ph_mux_prst6</ipxact:name> + <ipxact:displayName>c_cnt_ph_mux_prst6</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_ph_mux_prst7" type="int"> + <ipxact:name>c_cnt_ph_mux_prst7</ipxact:name> + <ipxact:displayName>c_cnt_ph_mux_prst7</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_ph_mux_prst8" type="int"> + <ipxact:name>c_cnt_ph_mux_prst8</ipxact:name> + <ipxact:displayName>c_cnt_ph_mux_prst8</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_ph_mux_prst9" type="int"> + <ipxact:name>c_cnt_ph_mux_prst9</ipxact:name> + <ipxact:displayName>c_cnt_ph_mux_prst9</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_ph_mux_prst10" type="int"> + <ipxact:name>c_cnt_ph_mux_prst10</ipxact:name> + <ipxact:displayName>c_cnt_ph_mux_prst10</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_ph_mux_prst11" type="int"> + <ipxact:name>c_cnt_ph_mux_prst11</ipxact:name> + <ipxact:displayName>c_cnt_ph_mux_prst11</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_ph_mux_prst12" type="int"> + <ipxact:name>c_cnt_ph_mux_prst12</ipxact:name> + <ipxact:displayName>c_cnt_ph_mux_prst12</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_ph_mux_prst13" type="int"> + <ipxact:name>c_cnt_ph_mux_prst13</ipxact:name> + <ipxact:displayName>c_cnt_ph_mux_prst13</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_ph_mux_prst14" type="int"> + <ipxact:name>c_cnt_ph_mux_prst14</ipxact:name> + <ipxact:displayName>c_cnt_ph_mux_prst14</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_ph_mux_prst15" type="int"> + <ipxact:name>c_cnt_ph_mux_prst15</ipxact:name> + <ipxact:displayName>c_cnt_ph_mux_prst15</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_ph_mux_prst16" type="int"> + <ipxact:name>c_cnt_ph_mux_prst16</ipxact:name> + <ipxact:displayName>c_cnt_ph_mux_prst16</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_ph_mux_prst17" type="int"> + <ipxact:name>c_cnt_ph_mux_prst17</ipxact:name> + <ipxact:displayName>c_cnt_ph_mux_prst17</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_in_src0" type="string"> + <ipxact:name>c_cnt_in_src0</ipxact:name> + <ipxact:displayName>c_cnt_in_src0</ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_in_src1" type="string"> + <ipxact:name>c_cnt_in_src1</ipxact:name> + <ipxact:displayName>c_cnt_in_src1</ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_in_src2" type="string"> + <ipxact:name>c_cnt_in_src2</ipxact:name> + <ipxact:displayName>c_cnt_in_src2</ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_in_src3" type="string"> + <ipxact:name>c_cnt_in_src3</ipxact:name> + <ipxact:displayName>c_cnt_in_src3</ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_in_src4" type="string"> + <ipxact:name>c_cnt_in_src4</ipxact:name> + <ipxact:displayName>c_cnt_in_src4</ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_in_src5" type="string"> + <ipxact:name>c_cnt_in_src5</ipxact:name> + <ipxact:displayName>c_cnt_in_src5</ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_in_src6" type="string"> + <ipxact:name>c_cnt_in_src6</ipxact:name> + <ipxact:displayName>c_cnt_in_src6</ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_in_src7" type="string"> + <ipxact:name>c_cnt_in_src7</ipxact:name> + <ipxact:displayName>c_cnt_in_src7</ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_in_src8" type="string"> + <ipxact:name>c_cnt_in_src8</ipxact:name> + <ipxact:displayName>c_cnt_in_src8</ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_in_src9" type="string"> + <ipxact:name>c_cnt_in_src9</ipxact:name> + <ipxact:displayName>c_cnt_in_src9</ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_in_src10" type="string"> + <ipxact:name>c_cnt_in_src10</ipxact:name> + <ipxact:displayName>c_cnt_in_src10</ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_in_src11" type="string"> + <ipxact:name>c_cnt_in_src11</ipxact:name> + <ipxact:displayName>c_cnt_in_src11</ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_in_src12" type="string"> + <ipxact:name>c_cnt_in_src12</ipxact:name> + <ipxact:displayName>c_cnt_in_src12</ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_in_src13" type="string"> + <ipxact:name>c_cnt_in_src13</ipxact:name> + <ipxact:displayName>c_cnt_in_src13</ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_in_src14" type="string"> + <ipxact:name>c_cnt_in_src14</ipxact:name> + <ipxact:displayName>c_cnt_in_src14</ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_in_src15" type="string"> + <ipxact:name>c_cnt_in_src15</ipxact:name> + <ipxact:displayName>c_cnt_in_src15</ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_in_src16" type="string"> + <ipxact:name>c_cnt_in_src16</ipxact:name> + <ipxact:displayName>c_cnt_in_src16</ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_in_src17" type="string"> + <ipxact:name>c_cnt_in_src17</ipxact:name> + <ipxact:displayName>c_cnt_in_src17</ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_bypass_en0" type="bit"> + <ipxact:name>c_cnt_bypass_en0</ipxact:name> + <ipxact:displayName>c_cnt_bypass_en0</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_bypass_en1" type="bit"> + <ipxact:name>c_cnt_bypass_en1</ipxact:name> + <ipxact:displayName>c_cnt_bypass_en1</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_bypass_en2" type="bit"> + <ipxact:name>c_cnt_bypass_en2</ipxact:name> + <ipxact:displayName>c_cnt_bypass_en2</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_bypass_en3" type="bit"> + <ipxact:name>c_cnt_bypass_en3</ipxact:name> + <ipxact:displayName>c_cnt_bypass_en3</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_bypass_en4" type="bit"> + <ipxact:name>c_cnt_bypass_en4</ipxact:name> + <ipxact:displayName>c_cnt_bypass_en4</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_bypass_en5" type="bit"> + <ipxact:name>c_cnt_bypass_en5</ipxact:name> + <ipxact:displayName>c_cnt_bypass_en5</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_bypass_en6" type="bit"> + <ipxact:name>c_cnt_bypass_en6</ipxact:name> + <ipxact:displayName>c_cnt_bypass_en6</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_bypass_en7" type="bit"> + <ipxact:name>c_cnt_bypass_en7</ipxact:name> + <ipxact:displayName>c_cnt_bypass_en7</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_bypass_en8" type="bit"> + <ipxact:name>c_cnt_bypass_en8</ipxact:name> + <ipxact:displayName>c_cnt_bypass_en8</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_bypass_en9" type="bit"> + <ipxact:name>c_cnt_bypass_en9</ipxact:name> + <ipxact:displayName>c_cnt_bypass_en9</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_bypass_en10" type="bit"> + <ipxact:name>c_cnt_bypass_en10</ipxact:name> + <ipxact:displayName>c_cnt_bypass_en10</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_bypass_en11" type="bit"> + <ipxact:name>c_cnt_bypass_en11</ipxact:name> + <ipxact:displayName>c_cnt_bypass_en11</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_bypass_en12" type="bit"> + <ipxact:name>c_cnt_bypass_en12</ipxact:name> + <ipxact:displayName>c_cnt_bypass_en12</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_bypass_en13" type="bit"> + <ipxact:name>c_cnt_bypass_en13</ipxact:name> + <ipxact:displayName>c_cnt_bypass_en13</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_bypass_en14" type="bit"> + <ipxact:name>c_cnt_bypass_en14</ipxact:name> + <ipxact:displayName>c_cnt_bypass_en14</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_bypass_en15" type="bit"> + <ipxact:name>c_cnt_bypass_en15</ipxact:name> + <ipxact:displayName>c_cnt_bypass_en15</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_bypass_en16" type="bit"> + <ipxact:name>c_cnt_bypass_en16</ipxact:name> + <ipxact:displayName>c_cnt_bypass_en16</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_bypass_en17" type="bit"> + <ipxact:name>c_cnt_bypass_en17</ipxact:name> + <ipxact:displayName>c_cnt_bypass_en17</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_odd_div_duty_en0" type="bit"> + <ipxact:name>c_cnt_odd_div_duty_en0</ipxact:name> + <ipxact:displayName>c_cnt_odd_div_duty_en0</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_odd_div_duty_en1" type="bit"> + <ipxact:name>c_cnt_odd_div_duty_en1</ipxact:name> + <ipxact:displayName>c_cnt_odd_div_duty_en1</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_odd_div_duty_en2" type="bit"> + <ipxact:name>c_cnt_odd_div_duty_en2</ipxact:name> + <ipxact:displayName>c_cnt_odd_div_duty_en2</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_odd_div_duty_en3" type="bit"> + <ipxact:name>c_cnt_odd_div_duty_en3</ipxact:name> + <ipxact:displayName>c_cnt_odd_div_duty_en3</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_odd_div_duty_en4" type="bit"> + <ipxact:name>c_cnt_odd_div_duty_en4</ipxact:name> + <ipxact:displayName>c_cnt_odd_div_duty_en4</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_odd_div_duty_en5" type="bit"> + <ipxact:name>c_cnt_odd_div_duty_en5</ipxact:name> + <ipxact:displayName>c_cnt_odd_div_duty_en5</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_odd_div_duty_en6" type="bit"> + <ipxact:name>c_cnt_odd_div_duty_en6</ipxact:name> + <ipxact:displayName>c_cnt_odd_div_duty_en6</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_odd_div_duty_en7" type="bit"> + <ipxact:name>c_cnt_odd_div_duty_en7</ipxact:name> + <ipxact:displayName>c_cnt_odd_div_duty_en7</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_odd_div_duty_en8" type="bit"> + <ipxact:name>c_cnt_odd_div_duty_en8</ipxact:name> + <ipxact:displayName>c_cnt_odd_div_duty_en8</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_odd_div_duty_en9" type="bit"> + <ipxact:name>c_cnt_odd_div_duty_en9</ipxact:name> + <ipxact:displayName>c_cnt_odd_div_duty_en9</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_odd_div_duty_en10" type="bit"> + <ipxact:name>c_cnt_odd_div_duty_en10</ipxact:name> + <ipxact:displayName>c_cnt_odd_div_duty_en10</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_odd_div_duty_en11" type="bit"> + <ipxact:name>c_cnt_odd_div_duty_en11</ipxact:name> + <ipxact:displayName>c_cnt_odd_div_duty_en11</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_odd_div_duty_en12" type="bit"> + <ipxact:name>c_cnt_odd_div_duty_en12</ipxact:name> + <ipxact:displayName>c_cnt_odd_div_duty_en12</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_odd_div_duty_en13" type="bit"> + <ipxact:name>c_cnt_odd_div_duty_en13</ipxact:name> + <ipxact:displayName>c_cnt_odd_div_duty_en13</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_odd_div_duty_en14" type="bit"> + <ipxact:name>c_cnt_odd_div_duty_en14</ipxact:name> + <ipxact:displayName>c_cnt_odd_div_duty_en14</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_odd_div_duty_en15" type="bit"> + <ipxact:name>c_cnt_odd_div_duty_en15</ipxact:name> + <ipxact:displayName>c_cnt_odd_div_duty_en15</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_odd_div_duty_en16" type="bit"> + <ipxact:name>c_cnt_odd_div_duty_en16</ipxact:name> + <ipxact:displayName>c_cnt_odd_div_duty_en16</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_odd_div_duty_en17" type="bit"> + <ipxact:name>c_cnt_odd_div_duty_en17</ipxact:name> + <ipxact:displayName>c_cnt_odd_div_duty_en17</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="output_clock_frequency0" type="string"> + <ipxact:name>output_clock_frequency0</ipxact:name> + <ipxact:displayName>output_clock_frequency0</ipxact:displayName> + <ipxact:value>200.0 MHz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="output_clock_frequency1" type="string"> + <ipxact:name>output_clock_frequency1</ipxact:name> + <ipxact:displayName>output_clock_frequency1</ipxact:displayName> + <ipxact:value>200.0 MHz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="output_clock_frequency2" type="string"> + <ipxact:name>output_clock_frequency2</ipxact:name> + <ipxact:displayName>output_clock_frequency2</ipxact:displayName> + <ipxact:value>400.0 MHz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="output_clock_frequency3" type="string"> + <ipxact:name>output_clock_frequency3</ipxact:name> + <ipxact:displayName>output_clock_frequency3</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="output_clock_frequency4" type="string"> + <ipxact:name>output_clock_frequency4</ipxact:name> + <ipxact:displayName>output_clock_frequency4</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="output_clock_frequency5" type="string"> + <ipxact:name>output_clock_frequency5</ipxact:name> + <ipxact:displayName>output_clock_frequency5</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="output_clock_frequency6" type="string"> + <ipxact:name>output_clock_frequency6</ipxact:name> + <ipxact:displayName>output_clock_frequency6</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="output_clock_frequency7" type="string"> + <ipxact:name>output_clock_frequency7</ipxact:name> + <ipxact:displayName>output_clock_frequency7</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="output_clock_frequency8" type="string"> + <ipxact:name>output_clock_frequency8</ipxact:name> + <ipxact:displayName>output_clock_frequency8</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="output_clock_frequency9" type="string"> + <ipxact:name>output_clock_frequency9</ipxact:name> + <ipxact:displayName>output_clock_frequency9</ipxact:displayName> + <ipxact:value>0 MHz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="output_clock_frequency10" type="string"> + <ipxact:name>output_clock_frequency10</ipxact:name> + <ipxact:displayName>output_clock_frequency10</ipxact:displayName> + <ipxact:value>0 MHz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="output_clock_frequency11" type="string"> + <ipxact:name>output_clock_frequency11</ipxact:name> + <ipxact:displayName>output_clock_frequency11</ipxact:displayName> + <ipxact:value>0 MHz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="output_clock_frequency12" type="string"> + <ipxact:name>output_clock_frequency12</ipxact:name> + <ipxact:displayName>output_clock_frequency12</ipxact:displayName> + <ipxact:value>0 MHz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="output_clock_frequency13" type="string"> + <ipxact:name>output_clock_frequency13</ipxact:name> + <ipxact:displayName>output_clock_frequency13</ipxact:displayName> + <ipxact:value>0 MHz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="output_clock_frequency14" type="string"> + <ipxact:name>output_clock_frequency14</ipxact:name> + <ipxact:displayName>output_clock_frequency14</ipxact:displayName> + <ipxact:value>0 MHz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="output_clock_frequency15" type="string"> + <ipxact:name>output_clock_frequency15</ipxact:name> + <ipxact:displayName>output_clock_frequency15</ipxact:displayName> + <ipxact:value>0 MHz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="output_clock_frequency16" type="string"> + <ipxact:name>output_clock_frequency16</ipxact:name> + <ipxact:displayName>output_clock_frequency16</ipxact:displayName> + <ipxact:value>0 MHz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="output_clock_frequency17" type="string"> + <ipxact:name>output_clock_frequency17</ipxact:name> + <ipxact:displayName>output_clock_frequency17</ipxact:displayName> + <ipxact:value>0 MHz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="phase_shift0" type="string"> + <ipxact:name>phase_shift0</ipxact:name> + <ipxact:displayName>phase_shift0</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="phase_shift1" type="string"> + <ipxact:name>phase_shift1</ipxact:name> + <ipxact:displayName>phase_shift1</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="phase_shift2" type="string"> + <ipxact:name>phase_shift2</ipxact:name> + <ipxact:displayName>phase_shift2</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="phase_shift3" type="string"> + <ipxact:name>phase_shift3</ipxact:name> + <ipxact:displayName>phase_shift3</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="phase_shift4" type="string"> + <ipxact:name>phase_shift4</ipxact:name> + <ipxact:displayName>phase_shift4</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="phase_shift5" type="string"> + <ipxact:name>phase_shift5</ipxact:name> + <ipxact:displayName>phase_shift5</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="phase_shift6" type="string"> + <ipxact:name>phase_shift6</ipxact:name> + <ipxact:displayName>phase_shift6</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="phase_shift7" type="string"> + <ipxact:name>phase_shift7</ipxact:name> + <ipxact:displayName>phase_shift7</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="phase_shift8" type="string"> + <ipxact:name>phase_shift8</ipxact:name> + <ipxact:displayName>phase_shift8</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="phase_shift9" type="string"> + <ipxact:name>phase_shift9</ipxact:name> + <ipxact:displayName>phase_shift9</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="phase_shift10" type="string"> + <ipxact:name>phase_shift10</ipxact:name> + <ipxact:displayName>phase_shift10</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="phase_shift11" type="string"> + <ipxact:name>phase_shift11</ipxact:name> + <ipxact:displayName>phase_shift11</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="phase_shift12" type="string"> + <ipxact:name>phase_shift12</ipxact:name> + <ipxact:displayName>phase_shift12</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="phase_shift13" type="string"> + <ipxact:name>phase_shift13</ipxact:name> + <ipxact:displayName>phase_shift13</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="phase_shift14" type="string"> + <ipxact:name>phase_shift14</ipxact:name> + <ipxact:displayName>phase_shift14</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="phase_shift15" type="string"> + <ipxact:name>phase_shift15</ipxact:name> + <ipxact:displayName>phase_shift15</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="phase_shift16" type="string"> + <ipxact:name>phase_shift16</ipxact:name> + <ipxact:displayName>phase_shift16</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="phase_shift17" type="string"> + <ipxact:name>phase_shift17</ipxact:name> + <ipxact:displayName>phase_shift17</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="duty_cycle0" type="int"> + <ipxact:name>duty_cycle0</ipxact:name> + <ipxact:displayName>duty_cycle0</ipxact:displayName> + <ipxact:value>50</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="duty_cycle1" type="int"> + <ipxact:name>duty_cycle1</ipxact:name> + <ipxact:displayName>duty_cycle1</ipxact:displayName> + <ipxact:value>50</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="duty_cycle2" type="int"> + <ipxact:name>duty_cycle2</ipxact:name> + <ipxact:displayName>duty_cycle2</ipxact:displayName> + <ipxact:value>50</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="duty_cycle3" type="int"> + <ipxact:name>duty_cycle3</ipxact:name> + <ipxact:displayName>duty_cycle3</ipxact:displayName> + <ipxact:value>50</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="duty_cycle4" type="int"> + <ipxact:name>duty_cycle4</ipxact:name> + <ipxact:displayName>duty_cycle4</ipxact:displayName> + <ipxact:value>50</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="duty_cycle5" type="int"> + <ipxact:name>duty_cycle5</ipxact:name> + <ipxact:displayName>duty_cycle5</ipxact:displayName> + <ipxact:value>50</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="duty_cycle6" type="int"> + <ipxact:name>duty_cycle6</ipxact:name> + <ipxact:displayName>duty_cycle6</ipxact:displayName> + <ipxact:value>50</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="duty_cycle7" type="int"> + <ipxact:name>duty_cycle7</ipxact:name> + <ipxact:displayName>duty_cycle7</ipxact:displayName> + <ipxact:value>50</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="duty_cycle8" type="int"> + <ipxact:name>duty_cycle8</ipxact:name> + <ipxact:displayName>duty_cycle8</ipxact:displayName> + <ipxact:value>50</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="duty_cycle9" type="int"> + <ipxact:name>duty_cycle9</ipxact:name> + <ipxact:displayName>duty_cycle9</ipxact:displayName> + <ipxact:value>50</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="duty_cycle10" type="int"> + <ipxact:name>duty_cycle10</ipxact:name> + <ipxact:displayName>duty_cycle10</ipxact:displayName> + <ipxact:value>50</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="duty_cycle11" type="int"> + <ipxact:name>duty_cycle11</ipxact:name> + <ipxact:displayName>duty_cycle11</ipxact:displayName> + <ipxact:value>50</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="duty_cycle12" type="int"> + <ipxact:name>duty_cycle12</ipxact:name> + <ipxact:displayName>duty_cycle12</ipxact:displayName> + <ipxact:value>50</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="duty_cycle13" type="int"> + <ipxact:name>duty_cycle13</ipxact:name> + <ipxact:displayName>duty_cycle13</ipxact:displayName> + <ipxact:value>50</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="duty_cycle14" type="int"> + <ipxact:name>duty_cycle14</ipxact:name> + <ipxact:displayName>duty_cycle14</ipxact:displayName> + <ipxact:value>50</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="duty_cycle15" type="int"> + <ipxact:name>duty_cycle15</ipxact:name> + <ipxact:displayName>duty_cycle15</ipxact:displayName> + <ipxact:value>50</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="duty_cycle16" type="int"> + <ipxact:name>duty_cycle16</ipxact:name> + <ipxact:displayName>duty_cycle16</ipxact:displayName> + <ipxact:value>50</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="duty_cycle17" type="int"> + <ipxact:name>duty_cycle17</ipxact:name> + <ipxact:displayName>duty_cycle17</ipxact:displayName> + <ipxact:value>50</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clock_name_0" type="string"> + <ipxact:name>clock_name_0</ipxact:name> + <ipxact:displayName>clock_name_0</ipxact:displayName> + <ipxact:value>pll_clk200</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clock_name_1" type="string"> + <ipxact:name>clock_name_1</ipxact:name> + <ipxact:displayName>clock_name_1</ipxact:displayName> + <ipxact:value>pll_clk200p</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clock_name_2" type="string"> + <ipxact:name>clock_name_2</ipxact:name> + <ipxact:displayName>clock_name_2</ipxact:displayName> + <ipxact:value>pll_clk400</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clock_name_3" type="string"> + <ipxact:name>clock_name_3</ipxact:name> + <ipxact:displayName>clock_name_3</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clock_name_4" type="string"> + <ipxact:name>clock_name_4</ipxact:name> + <ipxact:displayName>clock_name_4</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clock_name_5" type="string"> + <ipxact:name>clock_name_5</ipxact:name> + <ipxact:displayName>clock_name_5</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clock_name_6" type="string"> + <ipxact:name>clock_name_6</ipxact:name> + <ipxact:displayName>clock_name_6</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clock_name_7" type="string"> + <ipxact:name>clock_name_7</ipxact:name> + <ipxact:displayName>clock_name_7</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clock_name_8" type="string"> + <ipxact:name>clock_name_8</ipxact:name> + <ipxact:displayName>clock_name_8</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clock_name_global_0" type="bit"> + <ipxact:name>clock_name_global_0</ipxact:name> + <ipxact:displayName>clock_name_global_0</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clock_name_global_1" type="bit"> + <ipxact:name>clock_name_global_1</ipxact:name> + <ipxact:displayName>clock_name_global_1</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clock_name_global_2" type="bit"> + <ipxact:name>clock_name_global_2</ipxact:name> + <ipxact:displayName>clock_name_global_2</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clock_name_global_3" type="bit"> + <ipxact:name>clock_name_global_3</ipxact:name> + <ipxact:displayName>clock_name_global_3</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clock_name_global_4" type="bit"> + <ipxact:name>clock_name_global_4</ipxact:name> + <ipxact:displayName>clock_name_global_4</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clock_name_global_5" type="bit"> + <ipxact:name>clock_name_global_5</ipxact:name> + <ipxact:displayName>clock_name_global_5</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clock_name_global_6" type="bit"> + <ipxact:name>clock_name_global_6</ipxact:name> + <ipxact:displayName>clock_name_global_6</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clock_name_global_7" type="bit"> + <ipxact:name>clock_name_global_7</ipxact:name> + <ipxact:displayName>clock_name_global_7</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clock_name_global_8" type="bit"> + <ipxact:name>clock_name_global_8</ipxact:name> + <ipxact:displayName>clock_name_global_8</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="divide_factor0" type="int"> + <ipxact:name>divide_factor0</ipxact:name> + <ipxact:displayName>divide_factor0</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="divide_factor1" type="int"> + <ipxact:name>divide_factor1</ipxact:name> + <ipxact:displayName>divide_factor1</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="divide_factor2" type="int"> + <ipxact:name>divide_factor2</ipxact:name> + <ipxact:displayName>divide_factor2</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="divide_factor3" type="int"> + <ipxact:name>divide_factor3</ipxact:name> + <ipxact:displayName>divide_factor3</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="divide_factor4" type="int"> + <ipxact:name>divide_factor4</ipxact:name> + <ipxact:displayName>divide_factor4</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="divide_factor5" type="int"> + <ipxact:name>divide_factor5</ipxact:name> + <ipxact:displayName>divide_factor5</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="divide_factor6" type="int"> + <ipxact:name>divide_factor6</ipxact:name> + <ipxact:displayName>divide_factor6</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="divide_factor7" type="int"> + <ipxact:name>divide_factor7</ipxact:name> + <ipxact:displayName>divide_factor7</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="divide_factor8" type="int"> + <ipxact:name>divide_factor8</ipxact:name> + <ipxact:displayName>divide_factor8</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_tclk_mux_en" type="bit"> + <ipxact:name>pll_tclk_mux_en</ipxact:name> + <ipxact:displayName>pll_tclk_mux_en</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_tclk_sel" type="string"> + <ipxact:name>pll_tclk_sel</ipxact:name> + <ipxact:displayName>pll_tclk_sel</ipxact:displayName> + <ipxact:value>pll_tclk_m_src</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_vco_freq_band_0" type="string"> + <ipxact:name>pll_vco_freq_band_0</ipxact:name> + <ipxact:displayName>pll_vco_freq_band_0</ipxact:displayName> + <ipxact:value>pll_freq_clk0_disabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_vco_freq_band_1" type="string"> + <ipxact:name>pll_vco_freq_band_1</ipxact:name> + <ipxact:displayName>pll_vco_freq_band_1</ipxact:displayName> + <ipxact:value>pll_freq_clk1_disabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_freqcal_req_flag" type="bit"> + <ipxact:name>pll_freqcal_req_flag</ipxact:name> + <ipxact:displayName>pll_freqcal_req_flag</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cal_converge" type="bit"> + <ipxact:name>cal_converge</ipxact:name> + <ipxact:displayName>cal_converge</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cal_error" type="string"> + <ipxact:name>cal_error</ipxact:name> + <ipxact:displayName>cal_error</ipxact:displayName> + <ipxact:value>cal_clean</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_cal_done" type="bit"> + <ipxact:name>pll_cal_done</ipxact:name> + <ipxact:displayName>pll_cal_done</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="include_iossm" type="bit"> + <ipxact:name>include_iossm</ipxact:name> + <ipxact:displayName>include_iossm</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cal_code_hex_file" type="string"> + <ipxact:name>cal_code_hex_file</ipxact:name> + <ipxact:displayName>cal_code_hex_file</ipxact:displayName> + <ipxact:value>iossm.hex</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="parameter_table_hex_file" type="string"> + <ipxact:name>parameter_table_hex_file</ipxact:name> + <ipxact:displayName>parameter_table_hex_file</ipxact:displayName> + <ipxact:value>seq_params_sim.hex</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="iossm_nios_sim_clk_period_ps" type="int"> + <ipxact:name>iossm_nios_sim_clk_period_ps</ipxact:name> + <ipxact:displayName>iossm_nios_sim_clk_period_ps</ipxact:displayName> + <ipxact:value>1333</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_number_of_family_allowable_clocks" type="int"> + <ipxact:name>hp_number_of_family_allowable_clocks</ipxact:name> + <ipxact:displayName>hp_number_of_family_allowable_clocks</ipxact:displayName> + <ipxact:value>9</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_previous_num_clocks" type="int"> + <ipxact:name>hp_previous_num_clocks</ipxact:name> + <ipxact:displayName>hp_previous_num_clocks</ipxact:displayName> + <ipxact:value>3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_vco_frequency_fp" type="real"> + <ipxact:name>hp_actual_vco_frequency_fp</ipxact:name> + <ipxact:displayName>hp_actual_vco_frequency_fp</ipxact:displayName> + <ipxact:value>600.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_parameter_update_message" type="string"> + <ipxact:name>hp_parameter_update_message</ipxact:name> + <ipxact:displayName>hp_parameter_update_message</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_qsys_scripting_mode" type="bit"> + <ipxact:name>hp_qsys_scripting_mode</ipxact:name> + <ipxact:displayName>hp_qsys_scripting_mode</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_output_clock_frequency_fp0" type="real"> + <ipxact:name>hp_actual_output_clock_frequency_fp0</ipxact:name> + <ipxact:displayName>hp_actual_output_clock_frequency_fp0</ipxact:displayName> + <ipxact:value>200.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_output_clock_frequency_fp1" type="real"> + <ipxact:name>hp_actual_output_clock_frequency_fp1</ipxact:name> + <ipxact:displayName>hp_actual_output_clock_frequency_fp1</ipxact:displayName> + <ipxact:value>200.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_output_clock_frequency_fp2" type="real"> + <ipxact:name>hp_actual_output_clock_frequency_fp2</ipxact:name> + <ipxact:displayName>hp_actual_output_clock_frequency_fp2</ipxact:displayName> + <ipxact:value>400.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_output_clock_frequency_fp3" type="real"> + <ipxact:name>hp_actual_output_clock_frequency_fp3</ipxact:name> + <ipxact:displayName>hp_actual_output_clock_frequency_fp3</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_output_clock_frequency_fp4" type="real"> + <ipxact:name>hp_actual_output_clock_frequency_fp4</ipxact:name> + <ipxact:displayName>hp_actual_output_clock_frequency_fp4</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_output_clock_frequency_fp5" type="real"> + <ipxact:name>hp_actual_output_clock_frequency_fp5</ipxact:name> + <ipxact:displayName>hp_actual_output_clock_frequency_fp5</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_output_clock_frequency_fp6" type="real"> + <ipxact:name>hp_actual_output_clock_frequency_fp6</ipxact:name> + <ipxact:displayName>hp_actual_output_clock_frequency_fp6</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_output_clock_frequency_fp7" type="real"> + <ipxact:name>hp_actual_output_clock_frequency_fp7</ipxact:name> + <ipxact:displayName>hp_actual_output_clock_frequency_fp7</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_output_clock_frequency_fp8" type="real"> + <ipxact:name>hp_actual_output_clock_frequency_fp8</ipxact:name> + <ipxact:displayName>hp_actual_output_clock_frequency_fp8</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_output_clock_frequency_fp9" type="real"> + <ipxact:name>hp_actual_output_clock_frequency_fp9</ipxact:name> + <ipxact:displayName>hp_actual_output_clock_frequency_fp9</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_output_clock_frequency_fp10" type="real"> + <ipxact:name>hp_actual_output_clock_frequency_fp10</ipxact:name> + <ipxact:displayName>hp_actual_output_clock_frequency_fp10</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_output_clock_frequency_fp11" type="real"> + <ipxact:name>hp_actual_output_clock_frequency_fp11</ipxact:name> + <ipxact:displayName>hp_actual_output_clock_frequency_fp11</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_output_clock_frequency_fp12" type="real"> + <ipxact:name>hp_actual_output_clock_frequency_fp12</ipxact:name> + <ipxact:displayName>hp_actual_output_clock_frequency_fp12</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_output_clock_frequency_fp13" type="real"> + <ipxact:name>hp_actual_output_clock_frequency_fp13</ipxact:name> + <ipxact:displayName>hp_actual_output_clock_frequency_fp13</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_output_clock_frequency_fp14" type="real"> + <ipxact:name>hp_actual_output_clock_frequency_fp14</ipxact:name> + <ipxact:displayName>hp_actual_output_clock_frequency_fp14</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_output_clock_frequency_fp15" type="real"> + <ipxact:name>hp_actual_output_clock_frequency_fp15</ipxact:name> + <ipxact:displayName>hp_actual_output_clock_frequency_fp15</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_output_clock_frequency_fp16" type="real"> + <ipxact:name>hp_actual_output_clock_frequency_fp16</ipxact:name> + <ipxact:displayName>hp_actual_output_clock_frequency_fp16</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_output_clock_frequency_fp17" type="real"> + <ipxact:name>hp_actual_output_clock_frequency_fp17</ipxact:name> + <ipxact:displayName>hp_actual_output_clock_frequency_fp17</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_phase_shift_fp0" type="real"> + <ipxact:name>hp_actual_phase_shift_fp0</ipxact:name> + <ipxact:displayName>hp_actual_phase_shift_fp0</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_phase_shift_fp1" type="real"> + <ipxact:name>hp_actual_phase_shift_fp1</ipxact:name> + <ipxact:displayName>hp_actual_phase_shift_fp1</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_phase_shift_fp2" type="real"> + <ipxact:name>hp_actual_phase_shift_fp2</ipxact:name> + <ipxact:displayName>hp_actual_phase_shift_fp2</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_phase_shift_fp3" type="real"> + <ipxact:name>hp_actual_phase_shift_fp3</ipxact:name> + <ipxact:displayName>hp_actual_phase_shift_fp3</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_phase_shift_fp4" type="real"> + <ipxact:name>hp_actual_phase_shift_fp4</ipxact:name> + <ipxact:displayName>hp_actual_phase_shift_fp4</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_phase_shift_fp5" type="real"> + <ipxact:name>hp_actual_phase_shift_fp5</ipxact:name> + <ipxact:displayName>hp_actual_phase_shift_fp5</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_phase_shift_fp6" type="real"> + <ipxact:name>hp_actual_phase_shift_fp6</ipxact:name> + <ipxact:displayName>hp_actual_phase_shift_fp6</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_phase_shift_fp7" type="real"> + <ipxact:name>hp_actual_phase_shift_fp7</ipxact:name> + <ipxact:displayName>hp_actual_phase_shift_fp7</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_phase_shift_fp8" type="real"> + <ipxact:name>hp_actual_phase_shift_fp8</ipxact:name> + <ipxact:displayName>hp_actual_phase_shift_fp8</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_phase_shift_fp9" type="real"> + <ipxact:name>hp_actual_phase_shift_fp9</ipxact:name> + <ipxact:displayName>hp_actual_phase_shift_fp9</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_phase_shift_fp10" type="real"> + <ipxact:name>hp_actual_phase_shift_fp10</ipxact:name> + <ipxact:displayName>hp_actual_phase_shift_fp10</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_phase_shift_fp11" type="real"> + <ipxact:name>hp_actual_phase_shift_fp11</ipxact:name> + <ipxact:displayName>hp_actual_phase_shift_fp11</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_phase_shift_fp12" type="real"> + <ipxact:name>hp_actual_phase_shift_fp12</ipxact:name> + <ipxact:displayName>hp_actual_phase_shift_fp12</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_phase_shift_fp13" type="real"> + <ipxact:name>hp_actual_phase_shift_fp13</ipxact:name> + <ipxact:displayName>hp_actual_phase_shift_fp13</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_phase_shift_fp14" type="real"> + <ipxact:name>hp_actual_phase_shift_fp14</ipxact:name> + <ipxact:displayName>hp_actual_phase_shift_fp14</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_phase_shift_fp15" type="real"> + <ipxact:name>hp_actual_phase_shift_fp15</ipxact:name> + <ipxact:displayName>hp_actual_phase_shift_fp15</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_phase_shift_fp16" type="real"> + <ipxact:name>hp_actual_phase_shift_fp16</ipxact:name> + <ipxact:displayName>hp_actual_phase_shift_fp16</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_phase_shift_fp17" type="real"> + <ipxact:name>hp_actual_phase_shift_fp17</ipxact:name> + <ipxact:displayName>hp_actual_phase_shift_fp17</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_duty_cycle_fp0" type="real"> + <ipxact:name>hp_actual_duty_cycle_fp0</ipxact:name> + <ipxact:displayName>hp_actual_duty_cycle_fp0</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_duty_cycle_fp1" type="real"> + <ipxact:name>hp_actual_duty_cycle_fp1</ipxact:name> + <ipxact:displayName>hp_actual_duty_cycle_fp1</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_duty_cycle_fp2" type="real"> + <ipxact:name>hp_actual_duty_cycle_fp2</ipxact:name> + <ipxact:displayName>hp_actual_duty_cycle_fp2</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_duty_cycle_fp3" type="real"> + <ipxact:name>hp_actual_duty_cycle_fp3</ipxact:name> + <ipxact:displayName>hp_actual_duty_cycle_fp3</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_duty_cycle_fp4" type="real"> + <ipxact:name>hp_actual_duty_cycle_fp4</ipxact:name> + <ipxact:displayName>hp_actual_duty_cycle_fp4</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_duty_cycle_fp5" type="real"> + <ipxact:name>hp_actual_duty_cycle_fp5</ipxact:name> + <ipxact:displayName>hp_actual_duty_cycle_fp5</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_duty_cycle_fp6" type="real"> + <ipxact:name>hp_actual_duty_cycle_fp6</ipxact:name> + <ipxact:displayName>hp_actual_duty_cycle_fp6</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_duty_cycle_fp7" type="real"> + <ipxact:name>hp_actual_duty_cycle_fp7</ipxact:name> + <ipxact:displayName>hp_actual_duty_cycle_fp7</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_duty_cycle_fp8" type="real"> + <ipxact:name>hp_actual_duty_cycle_fp8</ipxact:name> + <ipxact:displayName>hp_actual_duty_cycle_fp8</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_duty_cycle_fp9" type="real"> + <ipxact:name>hp_actual_duty_cycle_fp9</ipxact:name> + <ipxact:displayName>hp_actual_duty_cycle_fp9</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_duty_cycle_fp10" type="real"> + <ipxact:name>hp_actual_duty_cycle_fp10</ipxact:name> + <ipxact:displayName>hp_actual_duty_cycle_fp10</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_duty_cycle_fp11" type="real"> + <ipxact:name>hp_actual_duty_cycle_fp11</ipxact:name> + <ipxact:displayName>hp_actual_duty_cycle_fp11</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_duty_cycle_fp12" type="real"> + <ipxact:name>hp_actual_duty_cycle_fp12</ipxact:name> + <ipxact:displayName>hp_actual_duty_cycle_fp12</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_duty_cycle_fp13" type="real"> + <ipxact:name>hp_actual_duty_cycle_fp13</ipxact:name> + <ipxact:displayName>hp_actual_duty_cycle_fp13</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_duty_cycle_fp14" type="real"> + <ipxact:name>hp_actual_duty_cycle_fp14</ipxact:name> + <ipxact:displayName>hp_actual_duty_cycle_fp14</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_duty_cycle_fp15" type="real"> + <ipxact:name>hp_actual_duty_cycle_fp15</ipxact:name> + <ipxact:displayName>hp_actual_duty_cycle_fp15</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_duty_cycle_fp16" type="real"> + <ipxact:name>hp_actual_duty_cycle_fp16</ipxact:name> + <ipxact:displayName>hp_actual_duty_cycle_fp16</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_duty_cycle_fp17" type="real"> + <ipxact:name>hp_actual_duty_cycle_fp17</ipxact:name> + <ipxact:displayName>hp_actual_duty_cycle_fp17</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_module_parameters> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="embeddedsw.dts.compatible" type="string"> + <ipxact:name>embeddedsw.dts.compatible</ipxact:name> + <ipxact:value>altr,pll</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="embeddedsw.dts.group" type="string"> + <ipxact:name>embeddedsw.dts.group</ipxact:name> + <ipxact:value>clock</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="embeddedsw.dts.vendor" type="string"> + <ipxact:name>embeddedsw.dts.vendor</ipxact:name> + <ipxact:value>altr</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + <altera:altera_system_parameters> + <ipxact:parameters> + <ipxact:parameter parameterId="device" type="string"> + <ipxact:name>device</ipxact:name> + <ipxact:displayName>Device</ipxact:displayName> + <ipxact:value>10AX115U3F45E2SG</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="deviceFamily" type="string"> + <ipxact:name>deviceFamily</ipxact:name> + <ipxact:displayName>Device family</ipxact:displayName> + <ipxact:value>Arria 10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="deviceSpeedGrade" type="string"> + <ipxact:name>deviceSpeedGrade</ipxact:name> + <ipxact:displayName>Device Speed Grade</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="generationId" type="int"> + <ipxact:name>generationId</ipxact:name> + <ipxact:displayName>Generation Id</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="bonusData" type="string"> + <ipxact:name>bonusData</ipxact:name> + <ipxact:displayName>bonusData</ipxact:displayName> + <ipxact:value>bonusData +{ + element iopll_0 + { + datum _sortIndex + { + value = "0"; + type = "int"; + } + } +} +</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hideFromIPCatalog" type="bit"> + <ipxact:name>hideFromIPCatalog</ipxact:name> + <ipxact:displayName>Hide from IP Catalog</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="lockedInterfaceDefinition" type="string"> + <ipxact:name>lockedInterfaceDefinition</ipxact:name> + <ipxact:displayName>lockedInterfaceDefinition</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="systemInfos" type="string"> + <ipxact:name>systemInfos</ipxact:name> + <ipxact:displayName>systemInfos</ipxact:displayName> + <ipxact:value><systemInfosDefinition> + <connPtSystemInfos> + <entry> + <key>outclk0</key> + <value> + <connectionPointName>outclk0</connectionPointName> + <suppliedSystemInfos/> + <consumedSystemInfos> + <entry> + <key>CLOCK_RATE</key> + <value>200000000</value> + </entry> + </consumedSystemInfos> + </value> + </entry> + <entry> + <key>outclk1</key> + <value> + <connectionPointName>outclk1</connectionPointName> + <suppliedSystemInfos/> + <consumedSystemInfos> + <entry> + <key>CLOCK_RATE</key> + <value>200000000</value> + </entry> + </consumedSystemInfos> + </value> + </entry> + <entry> + <key>outclk2</key> + <value> + <connectionPointName>outclk2</connectionPointName> + <suppliedSystemInfos/> + <consumedSystemInfos> + <entry> + <key>CLOCK_RATE</key> + <value>400000000</value> + </entry> + </consumedSystemInfos> + </value> + </entry> + </connPtSystemInfos> +</systemInfosDefinition></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_system_parameters> + <altera:altera_interface_boundary> + <altera:interface_mapping altera:name="locked" altera:internal="iopll_0.locked" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="locked" altera:internal="locked"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="outclk0" altera:internal="iopll_0.outclk0" altera:type="clock" altera:dir="start"> + <altera:port_mapping altera:name="outclk_0" altera:internal="outclk_0"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="outclk1" altera:internal="iopll_0.outclk1" altera:type="clock" altera:dir="start"> + <altera:port_mapping altera:name="outclk_1" altera:internal="outclk_1"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="outclk2" altera:internal="iopll_0.outclk2" altera:type="clock" altera:dir="start"> + <altera:port_mapping altera:name="outclk_2" altera:internal="outclk_2"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="refclk" altera:internal="iopll_0.refclk" altera:type="clock" altera:dir="end"> + <altera:port_mapping altera:name="refclk" altera:internal="refclk"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="reset" altera:internal="iopll_0.reset" altera:type="reset" altera:dir="end"> + <altera:port_mapping altera:name="rst" altera:internal="rst"></altera:port_mapping> + </altera:interface_mapping> + </altera:altera_interface_boundary> + <altera:altera_has_warnings>false</altera:altera_has_warnings> + <altera:altera_has_errors>false</altera:altera_has_errors> + </ipxact:vendorExtensions> +</ipxact:component> \ No newline at end of file diff --git a/libraries/technology/ip_arria10_e2sg/pll_clk200/ip_arria10_e2sg_pll_clk200.qsys b/libraries/technology/ip_arria10_e2sg/pll_clk200/ip_arria10_e2sg_pll_clk200.qsys deleted file mode 100644 index 02b2df87bc..0000000000 --- a/libraries/technology/ip_arria10_e2sg/pll_clk200/ip_arria10_e2sg_pll_clk200.qsys +++ /dev/null @@ -1,360 +0,0 @@ -<?xml version="1.0" encoding="UTF-8"?> -<system name="ip_arria10_e2sg_pll_clk200"> - <component - name="$${FILENAME}" - displayName="$${FILENAME}" - version="1.0" - description="" - tags="AUTHORSHIP=Intel Corporation /// INTERNAL_COMPONENT=true" - categories="System" - tool="QsysStandard" /> - <parameter name="bonusData"><![CDATA[bonusData -{ - element $system - { - } - element iopll_0 - { - datum _sortIndex - { - value = "0"; - type = "int"; - } - } -} -]]></parameter> - <parameter name="device" value="10AX115U3F45E2SG" /> - <parameter name="deviceFamily" value="Arria 10" /> - <parameter name="deviceSpeedGrade" value="2" /> - <parameter name="fabricMode" value="QSYS" /> - <parameter name="generateLegacySim" value="false" /> - <parameter name="generationId" value="0" /> - <parameter name="globalResetBus" value="false" /> - <parameter name="hdlLanguage" value="VERILOG" /> - <parameter name="hideFromIPCatalog" value="true" /> - <parameter name="lockedInterfaceDefinition" value="" /> - <parameter name="sopcBorderPoints" value="false" /> - <parameter name="systemHash" value="0" /> - <parameter name="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos> - <entry> - <key>outclk0</key> - <value> - <connectionPointName>outclk0</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>200000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>outclk1</key> - <value> - <connectionPointName>outclk1</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>200000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>outclk2</key> - <value> - <connectionPointName>outclk2</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>400000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> -</systemInfosDefinition>]]></parameter> - <parameter name="systemScripts" value="" /> - <parameter name="testBenchDutName" value="" /> - <parameter name="timeStamp" value="0" /> - <parameter name="useTestBenchNamingPattern" value="false" /> - <instanceScript></instanceScript> - <interface name="locked" internal="iopll_0.locked" type="conduit" dir="end"> - <port name="locked" internal="locked" /> - </interface> - <interface name="outclk0" internal="iopll_0.outclk0" type="clock" dir="start"> - <port name="outclk_0" internal="outclk_0" /> - </interface> - <interface name="outclk1" internal="iopll_0.outclk1" type="clock" dir="start"> - <port name="outclk_1" internal="outclk_1" /> - </interface> - <interface name="outclk2" internal="iopll_0.outclk2" type="clock" dir="start"> - <port name="outclk_2" internal="outclk_2" /> - </interface> - <interface name="refclk" internal="iopll_0.refclk" type="clock" dir="end"> - <port name="refclk" internal="refclk" /> - </interface> - <interface name="reset" internal="iopll_0.reset" type="reset" dir="end"> - <port name="rst" internal="rst" /> - </interface> - <module - name="iopll_0" - kind="altera_iopll" - version="19.3.0" - enabled="1" - autoexport="1"> - <parameter name="gui_active_clk" value="false" /> - <parameter name="gui_c_cnt_in_src0">c_m_cnt_in_src_ph_mux_clk</parameter> - <parameter name="gui_c_cnt_in_src1">c_m_cnt_in_src_ph_mux_clk</parameter> - <parameter name="gui_c_cnt_in_src2">c_m_cnt_in_src_ph_mux_clk</parameter> - <parameter name="gui_c_cnt_in_src3">c_m_cnt_in_src_ph_mux_clk</parameter> - <parameter name="gui_c_cnt_in_src4">c_m_cnt_in_src_ph_mux_clk</parameter> - <parameter name="gui_c_cnt_in_src5">c_m_cnt_in_src_ph_mux_clk</parameter> - <parameter name="gui_c_cnt_in_src6">c_m_cnt_in_src_ph_mux_clk</parameter> - <parameter name="gui_c_cnt_in_src7">c_m_cnt_in_src_ph_mux_clk</parameter> - <parameter name="gui_c_cnt_in_src8">c_m_cnt_in_src_ph_mux_clk</parameter> - <parameter name="gui_cal_code_hex_file" value="iossm.hex" /> - <parameter name="gui_cal_converge" value="false" /> - <parameter name="gui_cal_error" value="cal_clean" /> - <parameter name="gui_cascade_counter0" value="false" /> - <parameter name="gui_cascade_counter1" value="false" /> - <parameter name="gui_cascade_counter10" value="false" /> - <parameter name="gui_cascade_counter11" value="false" /> - <parameter name="gui_cascade_counter12" value="false" /> - <parameter name="gui_cascade_counter13" value="false" /> - <parameter name="gui_cascade_counter14" value="false" /> - <parameter name="gui_cascade_counter15" value="false" /> - <parameter name="gui_cascade_counter16" value="false" /> - <parameter name="gui_cascade_counter17" value="false" /> - <parameter name="gui_cascade_counter2" value="false" /> - <parameter name="gui_cascade_counter3" value="false" /> - <parameter name="gui_cascade_counter4" value="false" /> - <parameter name="gui_cascade_counter5" value="false" /> - <parameter name="gui_cascade_counter6" value="false" /> - <parameter name="gui_cascade_counter7" value="false" /> - <parameter name="gui_cascade_counter8" value="false" /> - <parameter name="gui_cascade_counter9" value="false" /> - <parameter name="gui_cascade_outclk_index" value="0" /> - <parameter name="gui_clk_bad" value="false" /> - <parameter name="gui_clock_name_global" value="false" /> - <parameter name="gui_clock_name_string0" value="pll_clk200" /> - <parameter name="gui_clock_name_string1" value="pll_clk200p" /> - <parameter name="gui_clock_name_string10" value="outclk10" /> - <parameter name="gui_clock_name_string11" value="outclk11" /> - <parameter name="gui_clock_name_string12" value="outclk12" /> - <parameter name="gui_clock_name_string13" value="outclk13" /> - <parameter name="gui_clock_name_string14" value="outclk14" /> - <parameter name="gui_clock_name_string15" value="outclk15" /> - <parameter name="gui_clock_name_string16" value="outclk16" /> - <parameter name="gui_clock_name_string17" value="outclk17" /> - <parameter name="gui_clock_name_string2" value="pll_clk400" /> - <parameter name="gui_clock_name_string3" value="outclk3" /> - <parameter name="gui_clock_name_string4" value="outclk4" /> - <parameter name="gui_clock_name_string5" value="outclk5" /> - <parameter name="gui_clock_name_string6" value="outclk6" /> - <parameter name="gui_clock_name_string7" value="outclk7" /> - <parameter name="gui_clock_name_string8" value="outclk8" /> - <parameter name="gui_clock_name_string9" value="outclk9" /> - <parameter name="gui_clock_to_compensate" value="0" /> - <parameter name="gui_debug_mode" value="false" /> - <parameter name="gui_divide_factor_c0" value="6" /> - <parameter name="gui_divide_factor_c1" value="6" /> - <parameter name="gui_divide_factor_c10" value="6" /> - <parameter name="gui_divide_factor_c11" value="6" /> - <parameter name="gui_divide_factor_c12" value="6" /> - <parameter name="gui_divide_factor_c13" value="6" /> - <parameter name="gui_divide_factor_c14" value="6" /> - <parameter name="gui_divide_factor_c15" value="6" /> - <parameter name="gui_divide_factor_c16" value="6" /> - <parameter name="gui_divide_factor_c17" value="6" /> - <parameter name="gui_divide_factor_c2" value="6" /> - <parameter name="gui_divide_factor_c3" value="6" /> - <parameter name="gui_divide_factor_c4" value="6" /> - <parameter name="gui_divide_factor_c5" value="6" /> - <parameter name="gui_divide_factor_c6" value="6" /> - <parameter name="gui_divide_factor_c7" value="6" /> - <parameter name="gui_divide_factor_c8" value="6" /> - <parameter name="gui_divide_factor_c9" value="6" /> - <parameter name="gui_divide_factor_n" value="1" /> - <parameter name="gui_dps_cntr" value="C0" /> - <parameter name="gui_dps_dir" value="Positive" /> - <parameter name="gui_dps_num" value="1" /> - <parameter name="gui_dsm_out_sel" value="1st_order" /> - <parameter name="gui_duty_cycle0" value="50.0" /> - <parameter name="gui_duty_cycle1" value="50.0" /> - <parameter name="gui_duty_cycle10" value="50.0" /> - <parameter name="gui_duty_cycle11" value="50.0" /> - <parameter name="gui_duty_cycle12" value="50.0" /> - <parameter name="gui_duty_cycle13" value="50.0" /> - <parameter name="gui_duty_cycle14" value="50.0" /> - <parameter name="gui_duty_cycle15" value="50.0" /> - <parameter name="gui_duty_cycle16" value="50.0" /> - <parameter name="gui_duty_cycle17" value="50.0" /> - <parameter name="gui_duty_cycle2" value="50.0" /> - <parameter name="gui_duty_cycle3" value="50.0" /> - <parameter name="gui_duty_cycle4" value="50.0" /> - <parameter name="gui_duty_cycle5" value="50.0" /> - <parameter name="gui_duty_cycle6" value="50.0" /> - <parameter name="gui_duty_cycle7" value="50.0" /> - <parameter name="gui_duty_cycle8" value="50.0" /> - <parameter name="gui_duty_cycle9" value="50.0" /> - <parameter name="gui_en_adv_params" value="false" /> - <parameter name="gui_en_dps_ports" value="false" /> - <parameter name="gui_en_extclkout_ports" value="false" /> - <parameter name="gui_en_lvds_ports" value="Disabled" /> - <parameter name="gui_en_phout_ports" value="false" /> - <parameter name="gui_en_reconf" value="false" /> - <parameter name="gui_enable_cascade_in" value="false" /> - <parameter name="gui_enable_cascade_out" value="false" /> - <parameter name="gui_enable_mif_dps" value="false" /> - <parameter name="gui_enable_output_counter_cascading" value="false" /> - <parameter name="gui_enable_permit_cal" value="false" /> - <parameter name="gui_existing_mif_file_path" value="~/pll.mif" /> - <parameter name="gui_extclkout_0_source" value="C0" /> - <parameter name="gui_extclkout_1_source" value="C0" /> - <parameter name="gui_feedback_clock" value="Global Clock" /> - <parameter name="gui_fix_vco_frequency" value="false" /> - <parameter name="gui_fixed_vco_frequency" value="600.0" /> - <parameter name="gui_fixed_vco_frequency_ps" value="1667.0" /> - <parameter name="gui_frac_multiply_factor" value="1" /> - <parameter name="gui_fractional_cout" value="32" /> - <parameter name="gui_include_iossm" value="false" /> - <parameter name="gui_location_type" value="I/O Bank" /> - <parameter name="gui_lock_setting" value="Low Lock Time" /> - <parameter name="gui_mif_config_name" value="unnamed" /> - <parameter name="gui_mif_gen_options">Generate New MIF File</parameter> - <parameter name="gui_multiply_factor" value="6" /> - <parameter name="gui_new_mif_file_path" value="~/pll.mif" /> - <parameter name="gui_number_of_clocks" value="3" /> - <parameter name="gui_operation_mode" value="direct" /> - <parameter name="gui_output_clock_frequency0" value="200.0" /> - <parameter name="gui_output_clock_frequency1" value="200.0" /> - <parameter name="gui_output_clock_frequency10" value="100.0" /> - <parameter name="gui_output_clock_frequency11" value="100.0" /> - <parameter name="gui_output_clock_frequency12" value="100.0" /> - <parameter name="gui_output_clock_frequency13" value="100.0" /> - <parameter name="gui_output_clock_frequency14" value="100.0" /> - <parameter name="gui_output_clock_frequency15" value="100.0" /> - <parameter name="gui_output_clock_frequency16" value="100.0" /> - <parameter name="gui_output_clock_frequency17" value="100.0" /> - <parameter name="gui_output_clock_frequency2" value="400.0" /> - <parameter name="gui_output_clock_frequency3" value="100.0" /> - <parameter name="gui_output_clock_frequency4" value="100.0" /> - <parameter name="gui_output_clock_frequency5" value="100.0" /> - <parameter name="gui_output_clock_frequency6" value="100.0" /> - <parameter name="gui_output_clock_frequency7" value="100.0" /> - <parameter name="gui_output_clock_frequency8" value="100.0" /> - <parameter name="gui_output_clock_frequency9" value="100.0" /> - <parameter name="gui_output_clock_frequency_ps0" value="10000.0" /> - <parameter name="gui_output_clock_frequency_ps1" value="10000.0" /> - <parameter name="gui_output_clock_frequency_ps10" value="10000.0" /> - <parameter name="gui_output_clock_frequency_ps11" value="10000.0" /> - <parameter name="gui_output_clock_frequency_ps12" value="10000.0" /> - <parameter name="gui_output_clock_frequency_ps13" value="10000.0" /> - <parameter name="gui_output_clock_frequency_ps14" value="10000.0" /> - <parameter name="gui_output_clock_frequency_ps15" value="10000.0" /> - <parameter name="gui_output_clock_frequency_ps16" value="10000.0" /> - <parameter name="gui_output_clock_frequency_ps17" value="10000.0" /> - <parameter name="gui_output_clock_frequency_ps2" value="10000.0" /> - <parameter name="gui_output_clock_frequency_ps3" value="10000.0" /> - <parameter name="gui_output_clock_frequency_ps4" value="10000.0" /> - <parameter name="gui_output_clock_frequency_ps5" value="10000.0" /> - <parameter name="gui_output_clock_frequency_ps6" value="10000.0" /> - <parameter name="gui_output_clock_frequency_ps7" value="10000.0" /> - <parameter name="gui_output_clock_frequency_ps8" value="10000.0" /> - <parameter name="gui_output_clock_frequency_ps9" value="10000.0" /> - <parameter name="gui_parameter_table_hex_file" value="seq_params_sim.hex" /> - <parameter name="gui_phase_shift0" value="0.0" /> - <parameter name="gui_phase_shift1" value="0.0" /> - <parameter name="gui_phase_shift10" value="0.0" /> - <parameter name="gui_phase_shift11" value="0.0" /> - <parameter name="gui_phase_shift12" value="0.0" /> - <parameter name="gui_phase_shift13" value="0.0" /> - <parameter name="gui_phase_shift14" value="0.0" /> - <parameter name="gui_phase_shift15" value="0.0" /> - <parameter name="gui_phase_shift16" value="0.0" /> - <parameter name="gui_phase_shift17" value="0.0" /> - <parameter name="gui_phase_shift2" value="0.0" /> - <parameter name="gui_phase_shift3" value="0.0" /> - <parameter name="gui_phase_shift4" value="0.0" /> - <parameter name="gui_phase_shift5" value="0.0" /> - <parameter name="gui_phase_shift6" value="0.0" /> - <parameter name="gui_phase_shift7" value="0.0" /> - <parameter name="gui_phase_shift8" value="0.0" /> - <parameter name="gui_phase_shift9" value="0.0" /> - <parameter name="gui_phase_shift_deg0" value="0.0" /> - <parameter name="gui_phase_shift_deg1" value="0.0" /> - <parameter name="gui_phase_shift_deg10" value="0.0" /> - <parameter name="gui_phase_shift_deg11" value="0.0" /> - <parameter name="gui_phase_shift_deg12" value="0.0" /> - <parameter name="gui_phase_shift_deg13" value="0.0" /> - <parameter name="gui_phase_shift_deg14" value="0.0" /> - <parameter name="gui_phase_shift_deg15" value="0.0" /> - <parameter name="gui_phase_shift_deg16" value="0.0" /> - <parameter name="gui_phase_shift_deg17" value="0.0" /> - <parameter name="gui_phase_shift_deg2" value="0.0" /> - <parameter name="gui_phase_shift_deg3" value="0.0" /> - <parameter name="gui_phase_shift_deg4" value="0.0" /> - <parameter name="gui_phase_shift_deg5" value="0.0" /> - <parameter name="gui_phase_shift_deg6" value="0.0" /> - <parameter name="gui_phase_shift_deg7" value="0.0" /> - <parameter name="gui_phase_shift_deg8" value="0.0" /> - <parameter name="gui_phase_shift_deg9" value="0.0" /> - <parameter name="gui_phout_division" value="1" /> - <parameter name="gui_pll_auto_reset" value="false" /> - <parameter name="gui_pll_bandwidth_preset" value="Low" /> - <parameter name="gui_pll_cal_done" value="false" /> - <parameter name="gui_pll_cascading_mode" value="adjpllin" /> - <parameter name="gui_pll_freqcal_en" value="true" /> - <parameter name="gui_pll_freqcal_req_flag" value="true" /> - <parameter name="gui_pll_m_cnt_in_src">c_m_cnt_in_src_ph_mux_clk</parameter> - <parameter name="gui_pll_mode" value="Integer-N PLL" /> - <parameter name="gui_pll_tclk_mux_en" value="false" /> - <parameter name="gui_pll_tclk_sel" value="pll_tclk_m_src" /> - <parameter name="gui_pll_type" value="S10_Simple" /> - <parameter name="gui_pll_vco_freq_band_0">pll_freq_clk0_disabled</parameter> - <parameter name="gui_pll_vco_freq_band_1">pll_freq_clk1_disabled</parameter> - <parameter name="gui_prot_mode" value="UNUSED" /> - <parameter name="gui_ps_units0" value="ps" /> - <parameter name="gui_ps_units1" value="ps" /> - <parameter name="gui_ps_units10" value="ps" /> - <parameter name="gui_ps_units11" value="ps" /> - <parameter name="gui_ps_units12" value="ps" /> - <parameter name="gui_ps_units13" value="ps" /> - <parameter name="gui_ps_units14" value="ps" /> - <parameter name="gui_ps_units15" value="ps" /> - <parameter name="gui_ps_units16" value="ps" /> - <parameter name="gui_ps_units17" value="ps" /> - <parameter name="gui_ps_units2" value="ps" /> - <parameter name="gui_ps_units3" value="ps" /> - <parameter name="gui_ps_units4" value="ps" /> - <parameter name="gui_ps_units5" value="ps" /> - <parameter name="gui_ps_units6" value="ps" /> - <parameter name="gui_ps_units7" value="ps" /> - <parameter name="gui_ps_units8" value="ps" /> - <parameter name="gui_ps_units9" value="ps" /> - <parameter name="gui_refclk1_frequency" value="100.0" /> - <parameter name="gui_refclk_might_change" value="false" /> - <parameter name="gui_refclk_switch" value="false" /> - <parameter name="gui_reference_clock_frequency" value="200.0" /> - <parameter name="gui_reference_clock_frequency_ps" value="10000.0" /> - <parameter name="gui_skip_sdc_generation" value="false" /> - <parameter name="gui_switchover_delay" value="0" /> - <parameter name="gui_switchover_mode">Automatic Switchover</parameter> - <parameter name="gui_use_NDFB_modes" value="false" /> - <parameter name="gui_use_coreclk" value="false" /> - <parameter name="gui_use_locked" value="true" /> - <parameter name="gui_use_logical" value="false" /> - <parameter name="gui_usr_device_speed_grade" value="1" /> - <parameter name="gui_vco_frequency" value="600.0" /> - <parameter name="hp_qsys_scripting_mode" value="false" /> - <parameter name="system_info_device_component" value="10AX115U3F45E2SG" /> - <parameter name="system_info_device_family" value="Arria 10" /> - <parameter name="system_info_device_speed_grade" value="2" /> - <parameter name="system_part_trait_speed_grade" value="2" /> - </module> -</system> diff --git a/libraries/technology/ip_arria10_e2sg/pll_clk25/hdllib.cfg b/libraries/technology/ip_arria10_e2sg/pll_clk25/hdllib.cfg index 4ebb54d09a..07490d49f0 100644 --- a/libraries/technology/ip_arria10_e2sg/pll_clk25/hdllib.cfg +++ b/libraries/technology/ip_arria10_e2sg/pll_clk25/hdllib.cfg @@ -20,5 +20,5 @@ quartus_qip_files = [generate_ip_libs] qsys-generate_ip_files = - ip_arria10_e2sg_pll_clk25.qsys + ip_arria10_e2sg_pll_clk25.ip diff --git a/libraries/technology/ip_arria10_e2sg/pll_clk25/ip_arria10_e2sg_pll_clk25.ip b/libraries/technology/ip_arria10_e2sg/pll_clk25/ip_arria10_e2sg_pll_clk25.ip new file mode 100644 index 0000000000..667b22c746 --- /dev/null +++ b/libraries/technology/ip_arria10_e2sg/pll_clk25/ip_arria10_e2sg_pll_clk25.ip @@ -0,0 +1,4300 @@ +<?xml version="1.0" ?> +<ipxact:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact2014/extensions" xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"> + <ipxact:vendor>Intel Corporation</ipxact:vendor> + <ipxact:library>ip_arria10_e2sg_pll_clk25</ipxact:library> + <ipxact:name>iopll_0</ipxact:name> + <ipxact:version>19.3.0</ipxact:version> + <ipxact:busInterfaces> + <ipxact:busInterface> + <ipxact:name>reset</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="reset" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="reset" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>reset</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rst</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>Associated clock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="synchronousEdges" type="string"> + <ipxact:name>synchronousEdges</ipxact:name> + <ipxact:displayName>Synchronous edges</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>refclk</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="clock" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>refclk</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="clockRate" type="longint"> + <ipxact:name>clockRate</ipxact:name> + <ipxact:displayName>Clock rate</ipxact:displayName> + <ipxact:value>25000000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="externallyDriven" type="bit"> + <ipxact:name>externallyDriven</ipxact:name> + <ipxact:displayName>Externally driven</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ptfSchematicName" type="string"> + <ipxact:name>ptfSchematicName</ipxact:name> + <ipxact:displayName>PTF schematic name</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>locked</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>export</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>locked</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>outclk0</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="clock" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>outclk_0</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:master></ipxact:master> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedDirectClock" type="string"> + <ipxact:name>associatedDirectClock</ipxact:name> + <ipxact:displayName>Associated direct clock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clockRate" type="longint"> + <ipxact:name>clockRate</ipxact:name> + <ipxact:displayName>Clock rate</ipxact:displayName> + <ipxact:value>20000000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clockRateKnown" type="bit"> + <ipxact:name>clockRateKnown</ipxact:name> + <ipxact:displayName>Clock rate known</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="externallyDriven" type="bit"> + <ipxact:name>externallyDriven</ipxact:name> + <ipxact:displayName>Externally driven</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ptfSchematicName" type="string"> + <ipxact:name>ptfSchematicName</ipxact:name> + <ipxact:displayName>PTF schematic name</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>outclk1</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="clock" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>outclk_1</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:master></ipxact:master> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedDirectClock" type="string"> + <ipxact:name>associatedDirectClock</ipxact:name> + <ipxact:displayName>Associated direct clock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clockRate" type="longint"> + <ipxact:name>clockRate</ipxact:name> + <ipxact:displayName>Clock rate</ipxact:displayName> + <ipxact:value>50000000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clockRateKnown" type="bit"> + <ipxact:name>clockRateKnown</ipxact:name> + <ipxact:displayName>Clock rate known</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="externallyDriven" type="bit"> + <ipxact:name>externallyDriven</ipxact:name> + <ipxact:displayName>Externally driven</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ptfSchematicName" type="string"> + <ipxact:name>ptfSchematicName</ipxact:name> + <ipxact:displayName>PTF schematic name</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>outclk2</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="clock" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>outclk_2</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:master></ipxact:master> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedDirectClock" type="string"> + <ipxact:name>associatedDirectClock</ipxact:name> + <ipxact:displayName>Associated direct clock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clockRate" type="longint"> + <ipxact:name>clockRate</ipxact:name> + <ipxact:displayName>Clock rate</ipxact:displayName> + <ipxact:value>100000000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clockRateKnown" type="bit"> + <ipxact:name>clockRateKnown</ipxact:name> + <ipxact:displayName>Clock rate known</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="externallyDriven" type="bit"> + <ipxact:name>externallyDriven</ipxact:name> + <ipxact:displayName>Externally driven</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ptfSchematicName" type="string"> + <ipxact:name>ptfSchematicName</ipxact:name> + <ipxact:displayName>PTF schematic name</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>outclk3</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="clock" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>outclk_3</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:master></ipxact:master> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedDirectClock" type="string"> + <ipxact:name>associatedDirectClock</ipxact:name> + <ipxact:displayName>Associated direct clock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clockRate" type="longint"> + <ipxact:name>clockRate</ipxact:name> + <ipxact:displayName>Clock rate</ipxact:displayName> + <ipxact:value>125000000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clockRateKnown" type="bit"> + <ipxact:name>clockRateKnown</ipxact:name> + <ipxact:displayName>Clock rate known</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="externallyDriven" type="bit"> + <ipxact:name>externallyDriven</ipxact:name> + <ipxact:displayName>Externally driven</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ptfSchematicName" type="string"> + <ipxact:name>ptfSchematicName</ipxact:name> + <ipxact:displayName>PTF schematic name</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + </ipxact:busInterfaces> + <ipxact:model> + <ipxact:views> + <ipxact:view> + <ipxact:name>QUARTUS_SYNTH</ipxact:name> + <ipxact:envIdentifier>:quartus.altera.com:</ipxact:envIdentifier> + <ipxact:componentInstantiationRef>QUARTUS_SYNTH</ipxact:componentInstantiationRef> + </ipxact:view> + </ipxact:views> + <ipxact:instantiations> + <ipxact:componentInstantiation> + <ipxact:name>QUARTUS_SYNTH</ipxact:name> + <ipxact:moduleName>altera_iopll</ipxact:moduleName> + <ipxact:fileSetRef> + <ipxact:localName>QUARTUS_SYNTH</ipxact:localName> + </ipxact:fileSetRef> + </ipxact:componentInstantiation> + </ipxact:instantiations> + <ipxact:ports> + <ipxact:port> + <ipxact:name>rst</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>refclk</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>locked</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>outclk_0</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>outclk_1</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>outclk_2</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>outclk_3</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + </ipxact:ports> + </ipxact:model> + <ipxact:vendorExtensions> + <altera:entity_info> + <ipxact:vendor>Intel Corporation</ipxact:vendor> + <ipxact:library>ip_arria10_e2sg_pll_clk25</ipxact:library> + <ipxact:name>altera_iopll</ipxact:name> + <ipxact:version>19.3.0</ipxact:version> + </altera:entity_info> + <altera:altera_module_parameters> + <ipxact:parameters> + <ipxact:parameter parameterId="gui_device_family" type="string"> + <ipxact:name>gui_device_family</ipxact:name> + <ipxact:displayName>Device Family</ipxact:displayName> + <ipxact:value>Arria 10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_device_component" type="string"> + <ipxact:name>gui_device_component</ipxact:name> + <ipxact:displayName>Component</ipxact:displayName> + <ipxact:value>10AX115U3F45E2SG</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_device_speed_grade" type="int"> + <ipxact:name>gui_device_speed_grade</ipxact:name> + <ipxact:displayName>Speed Grade</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_debug_mode" type="bit"> + <ipxact:name>gui_debug_mode</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_skip_sdc_generation" type="bit"> + <ipxact:name>gui_skip_sdc_generation</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_include_iossm" type="bit"> + <ipxact:name>gui_include_iossm</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_cal_code_hex_file" type="string"> + <ipxact:name>gui_cal_code_hex_file</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>iossm.hex</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_parameter_table_hex_file" type="string"> + <ipxact:name>gui_parameter_table_hex_file</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>seq_params_sim.hex</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_pll_tclk_mux_en" type="bit"> + <ipxact:name>gui_pll_tclk_mux_en</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_pll_tclk_sel" type="string"> + <ipxact:name>gui_pll_tclk_sel</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>pll_tclk_m_src</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_pll_vco_freq_band_0" type="string"> + <ipxact:name>gui_pll_vco_freq_band_0</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>pll_freq_clk0_disabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_pll_vco_freq_band_1" type="string"> + <ipxact:name>gui_pll_vco_freq_band_1</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>pll_freq_clk1_disabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_pll_freqcal_en" type="bit"> + <ipxact:name>gui_pll_freqcal_en</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_pll_freqcal_req_flag" type="bit"> + <ipxact:name>gui_pll_freqcal_req_flag</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_cal_converge" type="bit"> + <ipxact:name>gui_cal_converge</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_cal_error" type="string"> + <ipxact:name>gui_cal_error</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>cal_clean</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_pll_cal_done" type="bit"> + <ipxact:name>gui_pll_cal_done</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_pll_type" type="string"> + <ipxact:name>gui_pll_type</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>S10_Simple</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_pll_m_cnt_in_src" type="string"> + <ipxact:name>gui_pll_m_cnt_in_src</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_c_cnt_in_src0" type="string"> + <ipxact:name>gui_c_cnt_in_src0</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_c_cnt_in_src1" type="string"> + <ipxact:name>gui_c_cnt_in_src1</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_c_cnt_in_src2" type="string"> + <ipxact:name>gui_c_cnt_in_src2</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_c_cnt_in_src3" type="string"> + <ipxact:name>gui_c_cnt_in_src3</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_c_cnt_in_src4" type="string"> + <ipxact:name>gui_c_cnt_in_src4</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_c_cnt_in_src5" type="string"> + <ipxact:name>gui_c_cnt_in_src5</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_c_cnt_in_src6" type="string"> + <ipxact:name>gui_c_cnt_in_src6</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_c_cnt_in_src7" type="string"> + <ipxact:name>gui_c_cnt_in_src7</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_c_cnt_in_src8" type="string"> + <ipxact:name>gui_c_cnt_in_src8</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="system_info_device_family" type="string"> + <ipxact:name>system_info_device_family</ipxact:name> + <ipxact:displayName>Device Family</ipxact:displayName> + <ipxact:value>Arria 10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="system_info_device_component" type="string"> + <ipxact:name>system_info_device_component</ipxact:name> + <ipxact:displayName>Component</ipxact:displayName> + <ipxact:value>10AX115U3F45E2SG</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="system_info_device_speed_grade" type="string"> + <ipxact:name>system_info_device_speed_grade</ipxact:name> + <ipxact:displayName>Speed Grade</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="system_part_trait_speed_grade" type="string"> + <ipxact:name>system_part_trait_speed_grade</ipxact:name> + <ipxact:displayName>Speed Grade Trait</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_usr_device_speed_grade" type="string"> + <ipxact:name>gui_usr_device_speed_grade</ipxact:name> + <ipxact:displayName>Speed Grade</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_en_reconf" type="bit"> + <ipxact:name>gui_en_reconf</ipxact:name> + <ipxact:displayName>Enable dynamic reconfiguration of PLL</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_en_dps_ports" type="bit"> + <ipxact:name>gui_en_dps_ports</ipxact:name> + <ipxact:displayName>Enable access to dynamic phase shift ports</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_pll_mode" type="string"> + <ipxact:name>gui_pll_mode</ipxact:name> + <ipxact:displayName>PLL Mode</ipxact:displayName> + <ipxact:value>Integer-N PLL</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_location_type" type="string"> + <ipxact:name>gui_location_type</ipxact:name> + <ipxact:displayName>IOPLL Type</ipxact:displayName> + <ipxact:value>I/O Bank</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_use_logical" type="bit"> + <ipxact:name>gui_use_logical</ipxact:name> + <ipxact:displayName>Use logical PLL</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_reference_clock_frequency" type="real"> + <ipxact:name>gui_reference_clock_frequency</ipxact:name> + <ipxact:displayName>Reference Clock Frequency</ipxact:displayName> + <ipxact:value>25.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_reference_clock_frequency_ps" type="real"> + <ipxact:name>gui_reference_clock_frequency_ps</ipxact:name> + <ipxact:displayName>Reference Clock Frequency</ipxact:displayName> + <ipxact:value>40000.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_use_coreclk" type="bit"> + <ipxact:name>gui_use_coreclk</ipxact:name> + <ipxact:displayName>Refclk source is global clock</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_refclk_might_change" type="bit"> + <ipxact:name>gui_refclk_might_change</ipxact:name> + <ipxact:displayName>My reference clock frequency might change</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_fractional_cout" type="int"> + <ipxact:name>gui_fractional_cout</ipxact:name> + <ipxact:displayName>Fractional carry out</ipxact:displayName> + <ipxact:value>32</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_prot_mode" type="string"> + <ipxact:name>gui_prot_mode</ipxact:name> + <ipxact:displayName>prot_mode</ipxact:displayName> + <ipxact:value>UNUSED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_dsm_out_sel" type="string"> + <ipxact:name>gui_dsm_out_sel</ipxact:name> + <ipxact:displayName>DSM Order</ipxact:displayName> + <ipxact:value>1st_order</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_use_locked" type="bit"> + <ipxact:name>gui_use_locked</ipxact:name> + <ipxact:displayName>Enable locked output port</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_en_adv_params" type="bit"> + <ipxact:name>gui_en_adv_params</ipxact:name> + <ipxact:displayName>Enable physical output clock parameters</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_pll_bandwidth_preset" type="string"> + <ipxact:name>gui_pll_bandwidth_preset</ipxact:name> + <ipxact:displayName>PLL Bandwidth Preset</ipxact:displayName> + <ipxact:value>Low</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_lock_setting" type="string"> + <ipxact:name>gui_lock_setting</ipxact:name> + <ipxact:displayName>Lock Threshold Setting</ipxact:displayName> + <ipxact:value>Low Lock Time</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_pll_auto_reset" type="bit"> + <ipxact:name>gui_pll_auto_reset</ipxact:name> + <ipxact:displayName>PLL Auto Reset</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_en_lvds_ports" type="string"> + <ipxact:name>gui_en_lvds_ports</ipxact:name> + <ipxact:displayName>Access to PLL LVDS_CLK/LOADEN output port</ipxact:displayName> + <ipxact:value>Disabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_operation_mode" type="string"> + <ipxact:name>gui_operation_mode</ipxact:name> + <ipxact:displayName>Compensation Mode</ipxact:displayName> + <ipxact:value>direct</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_feedback_clock" type="string"> + <ipxact:name>gui_feedback_clock</ipxact:name> + <ipxact:displayName>Feedback Clock</ipxact:displayName> + <ipxact:value>Global Clock</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_clock_to_compensate" type="int"> + <ipxact:name>gui_clock_to_compensate</ipxact:name> + <ipxact:displayName>Compensated Outclk</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_use_NDFB_modes" type="bit"> + <ipxact:name>gui_use_NDFB_modes</ipxact:name> + <ipxact:displayName>Use Nondedicated Feedback Path</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_refclk_switch" type="bit"> + <ipxact:name>gui_refclk_switch</ipxact:name> + <ipxact:displayName>Create a second input clock signal 'refclk1'</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_refclk1_frequency" type="real"> + <ipxact:name>gui_refclk1_frequency</ipxact:name> + <ipxact:displayName>Second Reference Clock Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_en_phout_ports" type="bit"> + <ipxact:name>gui_en_phout_ports</ipxact:name> + <ipxact:displayName>Enable access to PLL DPA output port</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phout_division" type="int"> + <ipxact:name>gui_phout_division</ipxact:name> + <ipxact:displayName>PLL DPA output division</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_en_extclkout_ports" type="bit"> + <ipxact:name>gui_en_extclkout_ports</ipxact:name> + <ipxact:displayName>Enable access to PLL external clock output port</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_number_of_clocks" type="int"> + <ipxact:name>gui_number_of_clocks</ipxact:name> + <ipxact:displayName>Number Of Clocks</ipxact:displayName> + <ipxact:value>4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_multiply_factor" type="int"> + <ipxact:name>gui_multiply_factor</ipxact:name> + <ipxact:displayName>Multiply Factor (M-Counter)</ipxact:displayName> + <ipxact:value>6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_divide_factor_n" type="int"> + <ipxact:name>gui_divide_factor_n</ipxact:name> + <ipxact:displayName>Divide Factor (N-Counter)</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_frac_multiply_factor" type="longint"> + <ipxact:name>gui_frac_multiply_factor</ipxact:name> + <ipxact:displayName>Fractional Multiply Factor (K)</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_fix_vco_frequency" type="bit"> + <ipxact:name>gui_fix_vco_frequency</ipxact:name> + <ipxact:displayName>Specify VCO frequency</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_fixed_vco_frequency" type="real"> + <ipxact:name>gui_fixed_vco_frequency</ipxact:name> + <ipxact:displayName>Desired VCO Frequency</ipxact:displayName> + <ipxact:value>600.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_fixed_vco_frequency_ps" type="real"> + <ipxact:name>gui_fixed_vco_frequency_ps</ipxact:name> + <ipxact:displayName>Desired VCO Frequency</ipxact:displayName> + <ipxact:value>1667.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_vco_frequency" type="string"> + <ipxact:name>gui_vco_frequency</ipxact:name> + <ipxact:displayName>Actual VCO Frequency</ipxact:displayName> + <ipxact:value>600.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_enable_output_counter_cascading" type="bit"> + <ipxact:name>gui_enable_output_counter_cascading</ipxact:name> + <ipxact:displayName>Enable output counter cascading</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_mif_gen_options" type="string"> + <ipxact:name>gui_mif_gen_options</ipxact:name> + <ipxact:displayName>MIF Generation Options</ipxact:displayName> + <ipxact:value>Generate New MIF File</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_new_mif_file_path" type="string"> + <ipxact:name>gui_new_mif_file_path</ipxact:name> + <ipxact:displayName>Path to New MIF file</ipxact:displayName> + <ipxact:value>~/pll.mif</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_existing_mif_file_path" type="string"> + <ipxact:name>gui_existing_mif_file_path</ipxact:name> + <ipxact:displayName>Path to Existing MIF file</ipxact:displayName> + <ipxact:value>~/pll.mif</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_mif_config_name" type="string"> + <ipxact:name>gui_mif_config_name</ipxact:name> + <ipxact:displayName>Name of Current Configuration</ipxact:displayName> + <ipxact:value>unnamed</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_active_clk" type="bit"> + <ipxact:name>gui_active_clk</ipxact:name> + <ipxact:displayName>Create an 'active_clk' signal to indicate the input clock in use</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_clk_bad" type="bit"> + <ipxact:name>gui_clk_bad</ipxact:name> + <ipxact:displayName>Create a 'clkbad' signal for each of the input clocks</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_switchover_mode" type="string"> + <ipxact:name>gui_switchover_mode</ipxact:name> + <ipxact:displayName>Switchover Mode</ipxact:displayName> + <ipxact:value>Automatic Switchover</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_switchover_delay" type="int"> + <ipxact:name>gui_switchover_delay</ipxact:name> + <ipxact:displayName>Switchover Delay</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_enable_cascade_out" type="bit"> + <ipxact:name>gui_enable_cascade_out</ipxact:name> + <ipxact:displayName>Create a 'cascade_out' signal to connect to a downstream PLL</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_cascade_outclk_index" type="string"> + <ipxact:name>gui_cascade_outclk_index</ipxact:name> + <ipxact:displayName>cascade_out source</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_enable_cascade_in" type="bit"> + <ipxact:name>gui_enable_cascade_in</ipxact:name> + <ipxact:displayName>Create an 'adjpllin' (cascade in) signal to connect to an upstream PLL through IO Column Cascading</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_enable_permit_cal" type="bit"> + <ipxact:name>gui_enable_permit_cal</ipxact:name> + <ipxact:displayName>Connect to an upstream PLL through Core Clock Network Cascading (create a permit_cal input signal)</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_pll_cascading_mode" type="string"> + <ipxact:name>gui_pll_cascading_mode</ipxact:name> + <ipxact:displayName>Connection Signal Type to Upstream PLL</ipxact:displayName> + <ipxact:value>adjpllin</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_enable_mif_dps" type="bit"> + <ipxact:name>gui_enable_mif_dps</ipxact:name> + <ipxact:displayName>Enable Dynamic Phase Shift for MIF streaming</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_dps_cntr" type="string"> + <ipxact:name>gui_dps_cntr</ipxact:name> + <ipxact:displayName>DPS Counter Selection</ipxact:displayName> + <ipxact:value>C0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_dps_num" type="int"> + <ipxact:name>gui_dps_num</ipxact:name> + <ipxact:displayName>Number of Dynamic Phase Shifts</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_dps_dir" type="string"> + <ipxact:name>gui_dps_dir</ipxact:name> + <ipxact:displayName>Dynamic Phase Shift Direction</ipxact:displayName> + <ipxact:value>Positive</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_extclkout_0_source" type="string"> + <ipxact:name>gui_extclkout_0_source</ipxact:name> + <ipxact:displayName>extclk_out[0] source</ipxact:displayName> + <ipxact:value>C0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_extclkout_1_source" type="string"> + <ipxact:name>gui_extclkout_1_source</ipxact:name> + <ipxact:displayName>extclk_out[1] source</ipxact:displayName> + <ipxact:value>C0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_clock_name_global" type="bit"> + <ipxact:name>gui_clock_name_global</ipxact:name> + <ipxact:displayName>Give clocks global names</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_clock_name_string0" type="string"> + <ipxact:name>gui_clock_name_string0</ipxact:name> + <ipxact:displayName>Clock Name</ipxact:displayName> + <ipxact:value>pll_clk20</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_clock_name_string1" type="string"> + <ipxact:name>gui_clock_name_string1</ipxact:name> + <ipxact:displayName>Clock Name</ipxact:displayName> + <ipxact:value>pll_clk50</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_clock_name_string2" type="string"> + <ipxact:name>gui_clock_name_string2</ipxact:name> + <ipxact:displayName>Clock Name</ipxact:displayName> + <ipxact:value>pll_clk100</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_clock_name_string3" type="string"> + <ipxact:name>gui_clock_name_string3</ipxact:name> + <ipxact:displayName>Clock Name</ipxact:displayName> + <ipxact:value>pll_clk125</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_clock_name_string4" type="string"> + <ipxact:name>gui_clock_name_string4</ipxact:name> + <ipxact:displayName>Clock Name</ipxact:displayName> + <ipxact:value>outclk4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_clock_name_string5" type="string"> + <ipxact:name>gui_clock_name_string5</ipxact:name> + <ipxact:displayName>Clock Name</ipxact:displayName> + <ipxact:value>outclk5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_clock_name_string6" type="string"> + <ipxact:name>gui_clock_name_string6</ipxact:name> + <ipxact:displayName>Clock Name</ipxact:displayName> + <ipxact:value>outclk6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_clock_name_string7" type="string"> + <ipxact:name>gui_clock_name_string7</ipxact:name> + <ipxact:displayName>Clock Name</ipxact:displayName> + <ipxact:value>outclk7</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_clock_name_string8" type="string"> + <ipxact:name>gui_clock_name_string8</ipxact:name> + <ipxact:displayName>Clock Name</ipxact:displayName> + <ipxact:value>outclk8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_clock_name_string9" type="string"> + <ipxact:name>gui_clock_name_string9</ipxact:name> + <ipxact:displayName>Clock Name</ipxact:displayName> + <ipxact:value>outclk9</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_clock_name_string10" type="string"> + <ipxact:name>gui_clock_name_string10</ipxact:name> + <ipxact:displayName>Clock Name</ipxact:displayName> + <ipxact:value>outclk10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_clock_name_string11" type="string"> + <ipxact:name>gui_clock_name_string11</ipxact:name> + <ipxact:displayName>Clock Name</ipxact:displayName> + <ipxact:value>outclk11</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_clock_name_string12" type="string"> + <ipxact:name>gui_clock_name_string12</ipxact:name> + <ipxact:displayName>Clock Name</ipxact:displayName> + <ipxact:value>outclk12</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_clock_name_string13" type="string"> + <ipxact:name>gui_clock_name_string13</ipxact:name> + <ipxact:displayName>Clock Name</ipxact:displayName> + <ipxact:value>outclk13</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_clock_name_string14" type="string"> + <ipxact:name>gui_clock_name_string14</ipxact:name> + <ipxact:displayName>Clock Name</ipxact:displayName> + <ipxact:value>outclk14</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_clock_name_string15" type="string"> + <ipxact:name>gui_clock_name_string15</ipxact:name> + <ipxact:displayName>Clock Name</ipxact:displayName> + <ipxact:value>outclk15</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_clock_name_string16" type="string"> + <ipxact:name>gui_clock_name_string16</ipxact:name> + <ipxact:displayName>Clock Name</ipxact:displayName> + <ipxact:value>outclk16</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_clock_name_string17" type="string"> + <ipxact:name>gui_clock_name_string17</ipxact:name> + <ipxact:displayName>Clock Name</ipxact:displayName> + <ipxact:value>outclk17</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_divide_factor_c0" type="int"> + <ipxact:name>gui_divide_factor_c0</ipxact:name> + <ipxact:displayName>Divide Factor (C-Counter)</ipxact:displayName> + <ipxact:value>6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_divide_factor_c1" type="int"> + <ipxact:name>gui_divide_factor_c1</ipxact:name> + <ipxact:displayName>Divide Factor (C-Counter)</ipxact:displayName> + <ipxact:value>6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_divide_factor_c2" type="int"> + <ipxact:name>gui_divide_factor_c2</ipxact:name> + <ipxact:displayName>Divide Factor (C-Counter)</ipxact:displayName> + <ipxact:value>6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_divide_factor_c3" type="int"> + <ipxact:name>gui_divide_factor_c3</ipxact:name> + <ipxact:displayName>Divide Factor (C-Counter)</ipxact:displayName> + <ipxact:value>6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_divide_factor_c4" type="int"> + <ipxact:name>gui_divide_factor_c4</ipxact:name> + <ipxact:displayName>Divide Factor (C-Counter)</ipxact:displayName> + <ipxact:value>6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_divide_factor_c5" type="int"> + <ipxact:name>gui_divide_factor_c5</ipxact:name> + <ipxact:displayName>Divide Factor (C-Counter)</ipxact:displayName> + <ipxact:value>6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_divide_factor_c6" type="int"> + <ipxact:name>gui_divide_factor_c6</ipxact:name> + <ipxact:displayName>Divide Factor (C-Counter)</ipxact:displayName> + <ipxact:value>6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_divide_factor_c7" type="int"> + <ipxact:name>gui_divide_factor_c7</ipxact:name> + <ipxact:displayName>Divide Factor (C-Counter)</ipxact:displayName> + <ipxact:value>6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_divide_factor_c8" type="int"> + <ipxact:name>gui_divide_factor_c8</ipxact:name> + <ipxact:displayName>Divide Factor (C-Counter)</ipxact:displayName> + <ipxact:value>6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_divide_factor_c9" type="int"> + <ipxact:name>gui_divide_factor_c9</ipxact:name> + <ipxact:displayName>Divide Factor (C-Counter)</ipxact:displayName> + <ipxact:value>6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_divide_factor_c10" type="int"> + <ipxact:name>gui_divide_factor_c10</ipxact:name> + <ipxact:displayName>Divide Factor (C-Counter)</ipxact:displayName> + <ipxact:value>6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_divide_factor_c11" type="int"> + <ipxact:name>gui_divide_factor_c11</ipxact:name> + <ipxact:displayName>Divide Factor (C-Counter)</ipxact:displayName> + <ipxact:value>6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_divide_factor_c12" type="int"> + <ipxact:name>gui_divide_factor_c12</ipxact:name> + <ipxact:displayName>Divide Factor (C-Counter)</ipxact:displayName> + <ipxact:value>6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_divide_factor_c13" type="int"> + <ipxact:name>gui_divide_factor_c13</ipxact:name> + <ipxact:displayName>Divide Factor (C-Counter)</ipxact:displayName> + <ipxact:value>6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_divide_factor_c14" type="int"> + <ipxact:name>gui_divide_factor_c14</ipxact:name> + <ipxact:displayName>Divide Factor (C-Counter)</ipxact:displayName> + <ipxact:value>6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_divide_factor_c15" type="int"> + <ipxact:name>gui_divide_factor_c15</ipxact:name> + <ipxact:displayName>Divide Factor (C-Counter)</ipxact:displayName> + <ipxact:value>6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_divide_factor_c16" type="int"> + <ipxact:name>gui_divide_factor_c16</ipxact:name> + <ipxact:displayName>Divide Factor (C-Counter)</ipxact:displayName> + <ipxact:value>6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_divide_factor_c17" type="int"> + <ipxact:name>gui_divide_factor_c17</ipxact:name> + <ipxact:displayName>Divide Factor (C-Counter)</ipxact:displayName> + <ipxact:value>6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_cascade_counter0" type="bit"> + <ipxact:name>gui_cascade_counter0</ipxact:name> + <ipxact:displayName>Make this a cascade counter</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_cascade_counter1" type="bit"> + <ipxact:name>gui_cascade_counter1</ipxact:name> + <ipxact:displayName>Make this a cascade counter</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_cascade_counter2" type="bit"> + <ipxact:name>gui_cascade_counter2</ipxact:name> + <ipxact:displayName>Make this a cascade counter</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_cascade_counter3" type="bit"> + <ipxact:name>gui_cascade_counter3</ipxact:name> + <ipxact:displayName>Make this a cascade counter</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_cascade_counter4" type="bit"> + <ipxact:name>gui_cascade_counter4</ipxact:name> + <ipxact:displayName>Make this a cascade counter</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_cascade_counter5" type="bit"> + <ipxact:name>gui_cascade_counter5</ipxact:name> + <ipxact:displayName>Make this a cascade counter</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_cascade_counter6" type="bit"> + <ipxact:name>gui_cascade_counter6</ipxact:name> + <ipxact:displayName>Make this a cascade counter</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_cascade_counter7" type="bit"> + <ipxact:name>gui_cascade_counter7</ipxact:name> + <ipxact:displayName>Make this a cascade counter</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_cascade_counter8" type="bit"> + <ipxact:name>gui_cascade_counter8</ipxact:name> + <ipxact:displayName>Make this a cascade counter</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_cascade_counter9" type="bit"> + <ipxact:name>gui_cascade_counter9</ipxact:name> + <ipxact:displayName>Make this a cascade counter</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_cascade_counter10" type="bit"> + <ipxact:name>gui_cascade_counter10</ipxact:name> + <ipxact:displayName>Make this a cascade counter</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_cascade_counter11" type="bit"> + <ipxact:name>gui_cascade_counter11</ipxact:name> + <ipxact:displayName>Make this a cascade counter</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_cascade_counter12" type="bit"> + <ipxact:name>gui_cascade_counter12</ipxact:name> + <ipxact:displayName>Make this a cascade counter</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_cascade_counter13" type="bit"> + <ipxact:name>gui_cascade_counter13</ipxact:name> + <ipxact:displayName>Make this a cascade counter</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_cascade_counter14" type="bit"> + <ipxact:name>gui_cascade_counter14</ipxact:name> + <ipxact:displayName>Make this a cascade counter</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_cascade_counter15" type="bit"> + <ipxact:name>gui_cascade_counter15</ipxact:name> + <ipxact:displayName>Make this a cascade counter</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_cascade_counter16" type="bit"> + <ipxact:name>gui_cascade_counter16</ipxact:name> + <ipxact:displayName>Make this a cascade counter</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_cascade_counter17" type="bit"> + <ipxact:name>gui_cascade_counter17</ipxact:name> + <ipxact:displayName>Make this a cascade counter</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency0" type="real"> + <ipxact:name>gui_output_clock_frequency0</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>20.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency1" type="real"> + <ipxact:name>gui_output_clock_frequency1</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency2" type="real"> + <ipxact:name>gui_output_clock_frequency2</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency3" type="real"> + <ipxact:name>gui_output_clock_frequency3</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>125.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency4" type="real"> + <ipxact:name>gui_output_clock_frequency4</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency5" type="real"> + <ipxact:name>gui_output_clock_frequency5</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency6" type="real"> + <ipxact:name>gui_output_clock_frequency6</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency7" type="real"> + <ipxact:name>gui_output_clock_frequency7</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency8" type="real"> + <ipxact:name>gui_output_clock_frequency8</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency9" type="real"> + <ipxact:name>gui_output_clock_frequency9</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency10" type="real"> + <ipxact:name>gui_output_clock_frequency10</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency11" type="real"> + <ipxact:name>gui_output_clock_frequency11</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency12" type="real"> + <ipxact:name>gui_output_clock_frequency12</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency13" type="real"> + <ipxact:name>gui_output_clock_frequency13</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency14" type="real"> + <ipxact:name>gui_output_clock_frequency14</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency15" type="real"> + <ipxact:name>gui_output_clock_frequency15</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency16" type="real"> + <ipxact:name>gui_output_clock_frequency16</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency17" type="real"> + <ipxact:name>gui_output_clock_frequency17</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency_ps0" type="real"> + <ipxact:name>gui_output_clock_frequency_ps0</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>50000.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency_ps1" type="real"> + <ipxact:name>gui_output_clock_frequency_ps1</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>20000.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency_ps2" type="real"> + <ipxact:name>gui_output_clock_frequency_ps2</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>10000.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency_ps3" type="real"> + <ipxact:name>gui_output_clock_frequency_ps3</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>8000.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency_ps4" type="real"> + <ipxact:name>gui_output_clock_frequency_ps4</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>10000.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency_ps5" type="real"> + <ipxact:name>gui_output_clock_frequency_ps5</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>10000.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency_ps6" type="real"> + <ipxact:name>gui_output_clock_frequency_ps6</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>10000.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency_ps7" type="real"> + <ipxact:name>gui_output_clock_frequency_ps7</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>10000.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency_ps8" type="real"> + <ipxact:name>gui_output_clock_frequency_ps8</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>10000.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency_ps9" type="real"> + <ipxact:name>gui_output_clock_frequency_ps9</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>10000.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency_ps10" type="real"> + <ipxact:name>gui_output_clock_frequency_ps10</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>10000.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency_ps11" type="real"> + <ipxact:name>gui_output_clock_frequency_ps11</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>10000.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency_ps12" type="real"> + <ipxact:name>gui_output_clock_frequency_ps12</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>10000.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency_ps13" type="real"> + <ipxact:name>gui_output_clock_frequency_ps13</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>10000.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency_ps14" type="real"> + <ipxact:name>gui_output_clock_frequency_ps14</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>10000.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency_ps15" type="real"> + <ipxact:name>gui_output_clock_frequency_ps15</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>10000.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency_ps16" type="real"> + <ipxact:name>gui_output_clock_frequency_ps16</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>10000.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency_ps17" type="real"> + <ipxact:name>gui_output_clock_frequency_ps17</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>10000.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency0" type="string"> + <ipxact:name>gui_actual_output_clock_frequency0</ipxact:name> + <ipxact:displayName>Actual Frequency</ipxact:displayName> + <ipxact:value>20.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency1" type="string"> + <ipxact:name>gui_actual_output_clock_frequency1</ipxact:name> + <ipxact:displayName>Actual Frequency</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency2" type="string"> + <ipxact:name>gui_actual_output_clock_frequency2</ipxact:name> + <ipxact:displayName>Actual Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency3" type="string"> + <ipxact:name>gui_actual_output_clock_frequency3</ipxact:name> + <ipxact:displayName>Actual Frequency</ipxact:displayName> + <ipxact:value>125.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency4" type="string"> + <ipxact:name>gui_actual_output_clock_frequency4</ipxact:name> + <ipxact:displayName>Actual Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency5" type="string"> + <ipxact:name>gui_actual_output_clock_frequency5</ipxact:name> + <ipxact:displayName>Actual Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency6" type="string"> + <ipxact:name>gui_actual_output_clock_frequency6</ipxact:name> + <ipxact:displayName>Actual Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency7" type="string"> + <ipxact:name>gui_actual_output_clock_frequency7</ipxact:name> + <ipxact:displayName>Actual Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency8" type="string"> + <ipxact:name>gui_actual_output_clock_frequency8</ipxact:name> + <ipxact:displayName>Actual Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency9" type="string"> + <ipxact:name>gui_actual_output_clock_frequency9</ipxact:name> + <ipxact:displayName>Actual Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency10" type="string"> + <ipxact:name>gui_actual_output_clock_frequency10</ipxact:name> + <ipxact:displayName>Actual Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency11" type="string"> + <ipxact:name>gui_actual_output_clock_frequency11</ipxact:name> + <ipxact:displayName>Actual Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency12" type="string"> + <ipxact:name>gui_actual_output_clock_frequency12</ipxact:name> + <ipxact:displayName>Actual Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency13" type="string"> + <ipxact:name>gui_actual_output_clock_frequency13</ipxact:name> + <ipxact:displayName>Actual Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency14" type="string"> + <ipxact:name>gui_actual_output_clock_frequency14</ipxact:name> + <ipxact:displayName>Actual Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency15" type="string"> + <ipxact:name>gui_actual_output_clock_frequency15</ipxact:name> + <ipxact:displayName>Actual Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency16" type="string"> + <ipxact:name>gui_actual_output_clock_frequency16</ipxact:name> + <ipxact:displayName>Actual Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency17" type="string"> + <ipxact:name>gui_actual_output_clock_frequency17</ipxact:name> + <ipxact:displayName>Actual Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency_range0" type="string"> + <ipxact:name>gui_actual_output_clock_frequency_range0</ipxact:name> + <ipxact:displayName>Legal Frequencies</ipxact:displayName> + <ipxact:value>19.95614,19.959677,19.962687,20.0,20.036765,20.039683</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency_range1" type="string"> + <ipxact:name>gui_actual_output_clock_frequency_range1</ipxact:name> + <ipxact:displayName>Legal Frequencies</ipxact:displayName> + <ipxact:value>48.0,48.148148,48.275862,50.0,51.851852,52.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency_range2" type="string"> + <ipxact:name>gui_actual_output_clock_frequency_range2</ipxact:name> + <ipxact:displayName>Legal Frequencies</ipxact:displayName> + <ipxact:value>92.307692,92.857143,93.333333,100.0,107.692308,108.333333</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency_range3" type="string"> + <ipxact:name>gui_actual_output_clock_frequency_range3</ipxact:name> + <ipxact:displayName>Legal Frequencies</ipxact:displayName> + <ipxact:value>118.181818,120.0,122.222222,125.0,127.272727,128.571429</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency_range4" type="string"> + <ipxact:name>gui_actual_output_clock_frequency_range4</ipxact:name> + <ipxact:displayName>Legal Frequencies</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency_range5" type="string"> + <ipxact:name>gui_actual_output_clock_frequency_range5</ipxact:name> + <ipxact:displayName>Legal Frequencies</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency_range6" type="string"> + <ipxact:name>gui_actual_output_clock_frequency_range6</ipxact:name> + <ipxact:displayName>Legal Frequencies</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency_range7" type="string"> + <ipxact:name>gui_actual_output_clock_frequency_range7</ipxact:name> + <ipxact:displayName>Legal Frequencies</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency_range8" type="string"> + <ipxact:name>gui_actual_output_clock_frequency_range8</ipxact:name> + <ipxact:displayName>Legal Frequencies</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency_range9" type="string"> + <ipxact:name>gui_actual_output_clock_frequency_range9</ipxact:name> + <ipxact:displayName>Legal Frequencies</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency_range10" type="string"> + <ipxact:name>gui_actual_output_clock_frequency_range10</ipxact:name> + <ipxact:displayName>Legal Frequencies</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency_range11" type="string"> + <ipxact:name>gui_actual_output_clock_frequency_range11</ipxact:name> + <ipxact:displayName>Legal Frequencies</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency_range12" type="string"> + <ipxact:name>gui_actual_output_clock_frequency_range12</ipxact:name> + <ipxact:displayName>Legal Frequencies</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency_range13" type="string"> + <ipxact:name>gui_actual_output_clock_frequency_range13</ipxact:name> + <ipxact:displayName>Legal Frequencies</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency_range14" type="string"> + <ipxact:name>gui_actual_output_clock_frequency_range14</ipxact:name> + <ipxact:displayName>Legal Frequencies</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency_range15" type="string"> + <ipxact:name>gui_actual_output_clock_frequency_range15</ipxact:name> + <ipxact:displayName>Legal Frequencies</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency_range16" type="string"> + <ipxact:name>gui_actual_output_clock_frequency_range16</ipxact:name> + <ipxact:displayName>Legal Frequencies</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency_range17" type="string"> + <ipxact:name>gui_actual_output_clock_frequency_range17</ipxact:name> + <ipxact:displayName>Legal Frequencies</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_ps_units0" type="string"> + <ipxact:name>gui_ps_units0</ipxact:name> + <ipxact:displayName>Phase Shift Units</ipxact:displayName> + <ipxact:value>ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_ps_units1" type="string"> + <ipxact:name>gui_ps_units1</ipxact:name> + <ipxact:displayName>Phase Shift Units</ipxact:displayName> + <ipxact:value>ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_ps_units2" type="string"> + <ipxact:name>gui_ps_units2</ipxact:name> + <ipxact:displayName>Phase Shift Units</ipxact:displayName> + <ipxact:value>ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_ps_units3" type="string"> + <ipxact:name>gui_ps_units3</ipxact:name> + <ipxact:displayName>Phase Shift Units</ipxact:displayName> + <ipxact:value>ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_ps_units4" type="string"> + <ipxact:name>gui_ps_units4</ipxact:name> + <ipxact:displayName>Phase Shift Units</ipxact:displayName> + <ipxact:value>ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_ps_units5" type="string"> + <ipxact:name>gui_ps_units5</ipxact:name> + <ipxact:displayName>Phase Shift Units</ipxact:displayName> + <ipxact:value>ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_ps_units6" type="string"> + <ipxact:name>gui_ps_units6</ipxact:name> + <ipxact:displayName>Phase Shift Units</ipxact:displayName> + <ipxact:value>ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_ps_units7" type="string"> + <ipxact:name>gui_ps_units7</ipxact:name> + <ipxact:displayName>Phase Shift Units</ipxact:displayName> + <ipxact:value>ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_ps_units8" type="string"> + <ipxact:name>gui_ps_units8</ipxact:name> + <ipxact:displayName>Phase Shift Units</ipxact:displayName> + <ipxact:value>ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_ps_units9" type="string"> + <ipxact:name>gui_ps_units9</ipxact:name> + <ipxact:displayName>Phase Shift Units</ipxact:displayName> + <ipxact:value>ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_ps_units10" type="string"> + <ipxact:name>gui_ps_units10</ipxact:name> + <ipxact:displayName>Phase Shift Units</ipxact:displayName> + <ipxact:value>ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_ps_units11" type="string"> + <ipxact:name>gui_ps_units11</ipxact:name> + <ipxact:displayName>Phase Shift Units</ipxact:displayName> + <ipxact:value>ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_ps_units12" type="string"> + <ipxact:name>gui_ps_units12</ipxact:name> + <ipxact:displayName>Phase Shift Units</ipxact:displayName> + <ipxact:value>ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_ps_units13" type="string"> + <ipxact:name>gui_ps_units13</ipxact:name> + <ipxact:displayName>Phase Shift Units</ipxact:displayName> + <ipxact:value>ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_ps_units14" type="string"> + <ipxact:name>gui_ps_units14</ipxact:name> + <ipxact:displayName>Phase Shift Units</ipxact:displayName> + <ipxact:value>ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_ps_units15" type="string"> + <ipxact:name>gui_ps_units15</ipxact:name> + <ipxact:displayName>Phase Shift Units</ipxact:displayName> + <ipxact:value>ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_ps_units16" type="string"> + <ipxact:name>gui_ps_units16</ipxact:name> + <ipxact:displayName>Phase Shift Units</ipxact:displayName> + <ipxact:value>ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_ps_units17" type="string"> + <ipxact:name>gui_ps_units17</ipxact:name> + <ipxact:displayName>Phase Shift Units</ipxact:displayName> + <ipxact:value>ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift0" type="real"> + <ipxact:name>gui_phase_shift0</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift1" type="real"> + <ipxact:name>gui_phase_shift1</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift2" type="real"> + <ipxact:name>gui_phase_shift2</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift3" type="real"> + <ipxact:name>gui_phase_shift3</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift4" type="real"> + <ipxact:name>gui_phase_shift4</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift5" type="real"> + <ipxact:name>gui_phase_shift5</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift6" type="real"> + <ipxact:name>gui_phase_shift6</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift7" type="real"> + <ipxact:name>gui_phase_shift7</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift8" type="real"> + <ipxact:name>gui_phase_shift8</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift9" type="real"> + <ipxact:name>gui_phase_shift9</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift10" type="real"> + <ipxact:name>gui_phase_shift10</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift11" type="real"> + <ipxact:name>gui_phase_shift11</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift12" type="real"> + <ipxact:name>gui_phase_shift12</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift13" type="real"> + <ipxact:name>gui_phase_shift13</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift14" type="real"> + <ipxact:name>gui_phase_shift14</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift15" type="real"> + <ipxact:name>gui_phase_shift15</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift16" type="real"> + <ipxact:name>gui_phase_shift16</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift17" type="real"> + <ipxact:name>gui_phase_shift17</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift_deg0" type="real"> + <ipxact:name>gui_phase_shift_deg0</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift_deg1" type="real"> + <ipxact:name>gui_phase_shift_deg1</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift_deg2" type="real"> + <ipxact:name>gui_phase_shift_deg2</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift_deg3" type="real"> + <ipxact:name>gui_phase_shift_deg3</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift_deg4" type="real"> + <ipxact:name>gui_phase_shift_deg4</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift_deg5" type="real"> + <ipxact:name>gui_phase_shift_deg5</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift_deg6" type="real"> + <ipxact:name>gui_phase_shift_deg6</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift_deg7" type="real"> + <ipxact:name>gui_phase_shift_deg7</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift_deg8" type="real"> + <ipxact:name>gui_phase_shift_deg8</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift_deg9" type="real"> + <ipxact:name>gui_phase_shift_deg9</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift_deg10" type="real"> + <ipxact:name>gui_phase_shift_deg10</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift_deg11" type="real"> + <ipxact:name>gui_phase_shift_deg11</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift_deg12" type="real"> + <ipxact:name>gui_phase_shift_deg12</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift_deg13" type="real"> + <ipxact:name>gui_phase_shift_deg13</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift_deg14" type="real"> + <ipxact:name>gui_phase_shift_deg14</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift_deg15" type="real"> + <ipxact:name>gui_phase_shift_deg15</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift_deg16" type="real"> + <ipxact:name>gui_phase_shift_deg16</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift_deg17" type="real"> + <ipxact:name>gui_phase_shift_deg17</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift0" type="string"> + <ipxact:name>gui_actual_phase_shift0</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift1" type="string"> + <ipxact:name>gui_actual_phase_shift1</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift2" type="string"> + <ipxact:name>gui_actual_phase_shift2</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift3" type="string"> + <ipxact:name>gui_actual_phase_shift3</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift4" type="string"> + <ipxact:name>gui_actual_phase_shift4</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift5" type="string"> + <ipxact:name>gui_actual_phase_shift5</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift6" type="string"> + <ipxact:name>gui_actual_phase_shift6</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift7" type="string"> + <ipxact:name>gui_actual_phase_shift7</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift8" type="string"> + <ipxact:name>gui_actual_phase_shift8</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift9" type="string"> + <ipxact:name>gui_actual_phase_shift9</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift10" type="string"> + <ipxact:name>gui_actual_phase_shift10</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift11" type="string"> + <ipxact:name>gui_actual_phase_shift11</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift12" type="string"> + <ipxact:name>gui_actual_phase_shift12</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift13" type="string"> + <ipxact:name>gui_actual_phase_shift13</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift14" type="string"> + <ipxact:name>gui_actual_phase_shift14</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift15" type="string"> + <ipxact:name>gui_actual_phase_shift15</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift16" type="string"> + <ipxact:name>gui_actual_phase_shift16</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift17" type="string"> + <ipxact:name>gui_actual_phase_shift17</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_range0" type="string"> + <ipxact:name>gui_actual_phase_shift_range0</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0,125.0,250.0,375.0,500.0,625.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_range1" type="string"> + <ipxact:name>gui_actual_phase_shift_range1</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0,125.0,250.0,375.0,500.0,625.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_range2" type="string"> + <ipxact:name>gui_actual_phase_shift_range2</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0,125.0,250.0,375.0,500.0,625.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_range3" type="string"> + <ipxact:name>gui_actual_phase_shift_range3</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0,125.0,250.0,375.0,500.0,625.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_range4" type="string"> + <ipxact:name>gui_actual_phase_shift_range4</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_range5" type="string"> + <ipxact:name>gui_actual_phase_shift_range5</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_range6" type="string"> + <ipxact:name>gui_actual_phase_shift_range6</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_range7" type="string"> + <ipxact:name>gui_actual_phase_shift_range7</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_range8" type="string"> + <ipxact:name>gui_actual_phase_shift_range8</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_range9" type="string"> + <ipxact:name>gui_actual_phase_shift_range9</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_range10" type="string"> + <ipxact:name>gui_actual_phase_shift_range10</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_range11" type="string"> + <ipxact:name>gui_actual_phase_shift_range11</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_range12" type="string"> + <ipxact:name>gui_actual_phase_shift_range12</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_range13" type="string"> + <ipxact:name>gui_actual_phase_shift_range13</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_range14" type="string"> + <ipxact:name>gui_actual_phase_shift_range14</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_range15" type="string"> + <ipxact:name>gui_actual_phase_shift_range15</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_range16" type="string"> + <ipxact:name>gui_actual_phase_shift_range16</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_range17" type="string"> + <ipxact:name>gui_actual_phase_shift_range17</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg0" type="string"> + <ipxact:name>gui_actual_phase_shift_deg0</ipxact:name> + <ipxact:displayName>Actual Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg1" type="string"> + <ipxact:name>gui_actual_phase_shift_deg1</ipxact:name> + <ipxact:displayName>Actual Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg2" type="string"> + <ipxact:name>gui_actual_phase_shift_deg2</ipxact:name> + <ipxact:displayName>Actual Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg3" type="string"> + <ipxact:name>gui_actual_phase_shift_deg3</ipxact:name> + <ipxact:displayName>Actual Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg4" type="string"> + <ipxact:name>gui_actual_phase_shift_deg4</ipxact:name> + <ipxact:displayName>Actual Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg5" type="string"> + <ipxact:name>gui_actual_phase_shift_deg5</ipxact:name> + <ipxact:displayName>Actual Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg6" type="string"> + <ipxact:name>gui_actual_phase_shift_deg6</ipxact:name> + <ipxact:displayName>Actual Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg7" type="string"> + <ipxact:name>gui_actual_phase_shift_deg7</ipxact:name> + <ipxact:displayName>Actual Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg8" type="string"> + <ipxact:name>gui_actual_phase_shift_deg8</ipxact:name> + <ipxact:displayName>Actual Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg9" type="string"> + <ipxact:name>gui_actual_phase_shift_deg9</ipxact:name> + <ipxact:displayName>Actual Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg10" type="string"> + <ipxact:name>gui_actual_phase_shift_deg10</ipxact:name> + <ipxact:displayName>Actual Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg11" type="string"> + <ipxact:name>gui_actual_phase_shift_deg11</ipxact:name> + <ipxact:displayName>Actual Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg12" type="string"> + <ipxact:name>gui_actual_phase_shift_deg12</ipxact:name> + <ipxact:displayName>Actual Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg13" type="string"> + <ipxact:name>gui_actual_phase_shift_deg13</ipxact:name> + <ipxact:displayName>Actual Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg14" type="string"> + <ipxact:name>gui_actual_phase_shift_deg14</ipxact:name> + <ipxact:displayName>Actual Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg15" type="string"> + <ipxact:name>gui_actual_phase_shift_deg15</ipxact:name> + <ipxact:displayName>Actual Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg16" type="string"> + <ipxact:name>gui_actual_phase_shift_deg16</ipxact:name> + <ipxact:displayName>Actual Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg17" type="string"> + <ipxact:name>gui_actual_phase_shift_deg17</ipxact:name> + <ipxact:displayName>Actual Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg_range0" type="string"> + <ipxact:name>gui_actual_phase_shift_deg_range0</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0,0.9,1.8,2.7,3.6,4.5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg_range1" type="string"> + <ipxact:name>gui_actual_phase_shift_deg_range1</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0,2.2,4.5,6.8,9.0,11.2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg_range2" type="string"> + <ipxact:name>gui_actual_phase_shift_deg_range2</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0,4.5,9.0,13.5,18.0,22.5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg_range3" type="string"> + <ipxact:name>gui_actual_phase_shift_deg_range3</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0,5.6,11.2,16.9,22.5,28.1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg_range4" type="string"> + <ipxact:name>gui_actual_phase_shift_deg_range4</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg_range5" type="string"> + <ipxact:name>gui_actual_phase_shift_deg_range5</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg_range6" type="string"> + <ipxact:name>gui_actual_phase_shift_deg_range6</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg_range7" type="string"> + <ipxact:name>gui_actual_phase_shift_deg_range7</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg_range8" type="string"> + <ipxact:name>gui_actual_phase_shift_deg_range8</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg_range9" type="string"> + <ipxact:name>gui_actual_phase_shift_deg_range9</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg_range10" type="string"> + <ipxact:name>gui_actual_phase_shift_deg_range10</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg_range11" type="string"> + <ipxact:name>gui_actual_phase_shift_deg_range11</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg_range12" type="string"> + <ipxact:name>gui_actual_phase_shift_deg_range12</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg_range13" type="string"> + <ipxact:name>gui_actual_phase_shift_deg_range13</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg_range14" type="string"> + <ipxact:name>gui_actual_phase_shift_deg_range14</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg_range15" type="string"> + <ipxact:name>gui_actual_phase_shift_deg_range15</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg_range16" type="string"> + <ipxact:name>gui_actual_phase_shift_deg_range16</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg_range17" type="string"> + <ipxact:name>gui_actual_phase_shift_deg_range17</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_duty_cycle0" type="real"> + <ipxact:name>gui_duty_cycle0</ipxact:name> + <ipxact:displayName>Desired Duty Cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_duty_cycle1" type="real"> + <ipxact:name>gui_duty_cycle1</ipxact:name> + <ipxact:displayName>Desired Duty Cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_duty_cycle2" type="real"> + <ipxact:name>gui_duty_cycle2</ipxact:name> + <ipxact:displayName>Desired Duty Cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_duty_cycle3" type="real"> + <ipxact:name>gui_duty_cycle3</ipxact:name> + <ipxact:displayName>Desired Duty Cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_duty_cycle4" type="real"> + <ipxact:name>gui_duty_cycle4</ipxact:name> + <ipxact:displayName>Desired Duty Cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_duty_cycle5" type="real"> + <ipxact:name>gui_duty_cycle5</ipxact:name> + <ipxact:displayName>Desired Duty Cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_duty_cycle6" type="real"> + <ipxact:name>gui_duty_cycle6</ipxact:name> + <ipxact:displayName>Desired Duty Cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_duty_cycle7" type="real"> + <ipxact:name>gui_duty_cycle7</ipxact:name> + <ipxact:displayName>Desired Duty Cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_duty_cycle8" type="real"> + <ipxact:name>gui_duty_cycle8</ipxact:name> + <ipxact:displayName>Desired Duty Cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_duty_cycle9" type="real"> + <ipxact:name>gui_duty_cycle9</ipxact:name> + <ipxact:displayName>Desired Duty Cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_duty_cycle10" type="real"> + <ipxact:name>gui_duty_cycle10</ipxact:name> + <ipxact:displayName>Desired Duty Cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_duty_cycle11" type="real"> + <ipxact:name>gui_duty_cycle11</ipxact:name> + <ipxact:displayName>Desired Duty Cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_duty_cycle12" type="real"> + <ipxact:name>gui_duty_cycle12</ipxact:name> + <ipxact:displayName>Desired Duty Cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_duty_cycle13" type="real"> + <ipxact:name>gui_duty_cycle13</ipxact:name> + <ipxact:displayName>Desired Duty Cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_duty_cycle14" type="real"> + <ipxact:name>gui_duty_cycle14</ipxact:name> + <ipxact:displayName>Desired Duty Cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_duty_cycle15" type="real"> + <ipxact:name>gui_duty_cycle15</ipxact:name> + <ipxact:displayName>Desired Duty Cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_duty_cycle16" type="real"> + <ipxact:name>gui_duty_cycle16</ipxact:name> + <ipxact:displayName>Desired Duty Cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_duty_cycle17" type="real"> + <ipxact:name>gui_duty_cycle17</ipxact:name> + <ipxact:displayName>Desired Duty Cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle0" type="string"> + <ipxact:name>gui_actual_duty_cycle0</ipxact:name> + <ipxact:displayName>Actual duty cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle1" type="string"> + <ipxact:name>gui_actual_duty_cycle1</ipxact:name> + <ipxact:displayName>Actual duty cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle2" type="string"> + <ipxact:name>gui_actual_duty_cycle2</ipxact:name> + <ipxact:displayName>Actual duty cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle3" type="string"> + <ipxact:name>gui_actual_duty_cycle3</ipxact:name> + <ipxact:displayName>Actual duty cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle4" type="string"> + <ipxact:name>gui_actual_duty_cycle4</ipxact:name> + <ipxact:displayName>Actual duty cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle5" type="string"> + <ipxact:name>gui_actual_duty_cycle5</ipxact:name> + <ipxact:displayName>Actual duty cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle6" type="string"> + <ipxact:name>gui_actual_duty_cycle6</ipxact:name> + <ipxact:displayName>Actual duty cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle7" type="string"> + <ipxact:name>gui_actual_duty_cycle7</ipxact:name> + <ipxact:displayName>Actual duty cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle8" type="string"> + <ipxact:name>gui_actual_duty_cycle8</ipxact:name> + <ipxact:displayName>Actual duty cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle9" type="string"> + <ipxact:name>gui_actual_duty_cycle9</ipxact:name> + <ipxact:displayName>Actual duty cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle10" type="string"> + <ipxact:name>gui_actual_duty_cycle10</ipxact:name> + <ipxact:displayName>Actual duty cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle11" type="string"> + <ipxact:name>gui_actual_duty_cycle11</ipxact:name> + <ipxact:displayName>Actual duty cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle12" type="string"> + <ipxact:name>gui_actual_duty_cycle12</ipxact:name> + <ipxact:displayName>Actual duty cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle13" type="string"> + <ipxact:name>gui_actual_duty_cycle13</ipxact:name> + <ipxact:displayName>Actual duty cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle14" type="string"> + <ipxact:name>gui_actual_duty_cycle14</ipxact:name> + <ipxact:displayName>Actual duty cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle15" type="string"> + <ipxact:name>gui_actual_duty_cycle15</ipxact:name> + <ipxact:displayName>Actual duty cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle16" type="string"> + <ipxact:name>gui_actual_duty_cycle16</ipxact:name> + <ipxact:displayName>Actual duty cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle17" type="string"> + <ipxact:name>gui_actual_duty_cycle17</ipxact:name> + <ipxact:displayName>Actual duty cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle_range0" type="string"> + <ipxact:name>gui_actual_duty_cycle_range0</ipxact:name> + <ipxact:displayName>Legal Duty Cycles</ipxact:displayName> + <ipxact:value>47.0,48.0,49.0,50.0,51.0,52.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle_range1" type="string"> + <ipxact:name>gui_actual_duty_cycle_range1</ipxact:name> + <ipxact:displayName>Legal Duty Cycles</ipxact:displayName> + <ipxact:value>42.5,45.0,47.5,50.0,52.5,55.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle_range2" type="string"> + <ipxact:name>gui_actual_duty_cycle_range2</ipxact:name> + <ipxact:displayName>Legal Duty Cycles</ipxact:displayName> + <ipxact:value>35.0,40.0,45.0,50.0,55.0,60.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle_range3" type="string"> + <ipxact:name>gui_actual_duty_cycle_range3</ipxact:name> + <ipxact:displayName>Legal Duty Cycles</ipxact:displayName> + <ipxact:value>31.25,37.5,43.75,50.0,56.25,62.5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle_range4" type="string"> + <ipxact:name>gui_actual_duty_cycle_range4</ipxact:name> + <ipxact:displayName>Legal Duty Cycles</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle_range5" type="string"> + <ipxact:name>gui_actual_duty_cycle_range5</ipxact:name> + <ipxact:displayName>Legal Duty Cycles</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle_range6" type="string"> + <ipxact:name>gui_actual_duty_cycle_range6</ipxact:name> + <ipxact:displayName>Legal Duty Cycles</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle_range7" type="string"> + <ipxact:name>gui_actual_duty_cycle_range7</ipxact:name> + <ipxact:displayName>Legal Duty Cycles</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle_range8" type="string"> + <ipxact:name>gui_actual_duty_cycle_range8</ipxact:name> + <ipxact:displayName>Legal Duty Cycles</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle_range9" type="string"> + <ipxact:name>gui_actual_duty_cycle_range9</ipxact:name> + <ipxact:displayName>Legal Duty Cycles</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle_range10" type="string"> + <ipxact:name>gui_actual_duty_cycle_range10</ipxact:name> + <ipxact:displayName>Legal Duty Cycles</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle_range11" type="string"> + <ipxact:name>gui_actual_duty_cycle_range11</ipxact:name> + <ipxact:displayName>Legal Duty Cycles</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle_range12" type="string"> + <ipxact:name>gui_actual_duty_cycle_range12</ipxact:name> + <ipxact:displayName>Legal Duty Cycles</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle_range13" type="string"> + <ipxact:name>gui_actual_duty_cycle_range13</ipxact:name> + <ipxact:displayName>Legal Duty Cycles</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle_range14" type="string"> + <ipxact:name>gui_actual_duty_cycle_range14</ipxact:name> + <ipxact:displayName>Legal Duty Cycles</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle_range15" type="string"> + <ipxact:name>gui_actual_duty_cycle_range15</ipxact:name> + <ipxact:displayName>Legal Duty Cycles</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle_range16" type="string"> + <ipxact:name>gui_actual_duty_cycle_range16</ipxact:name> + <ipxact:displayName>Legal Duty Cycles</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle_range17" type="string"> + <ipxact:name>gui_actual_duty_cycle_range17</ipxact:name> + <ipxact:displayName>Legal Duty Cycles</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="parameterTable_names" type="string"> + <ipxact:name>parameterTable_names</ipxact:name> + <ipxact:displayName>Parameter Names</ipxact:displayName> + <ipxact:value>M-Counter Divide Setting,N-Counter Divide Setting,VCO Frequency,C-Counter-0 Divide Setting,C-Counter-1 Divide Setting,C-Counter-2 Divide Setting,C-Counter-3 Divide Setting,C-Counter-4 Divide Setting,C-Counter-5 Divide Setting,C-Counter-6 Divide Setting,C-Counter-7 Divide Setting,C-Counter-8 Divide Setting,PLL Auto Reset,M-Counter Hi Divide,M-Counter Lo Divide,M-Counter Even Duty Enable,M-Counter Bypass Enable,N-Counter Hi Divide,N-Counter Lo Divide,N-Counter Even Duty Enable,N-Counter Bypass Enable,C-Counter-0 Hi Divide,C-Counter-1 Hi Divide,C-Counter-2 Hi Divide,C-Counter-3 Hi Divide,C-Counter-4 Hi Divide,C-Counter-5 Hi Divide,C-Counter-6 Hi Divide,C-Counter-7 Hi Divide,C-Counter-8 Hi Divide,C-Counter-0 Lo Divide,C-Counter-1 Lo Divide,C-Counter-2 Lo Divide,C-Counter-3 Lo Divide,C-Counter-4 Lo Divide,C-Counter-5 Lo Divide,C-Counter-6 Lo Divide,C-Counter-7 Lo Divide,C-Counter-8 Lo Divide,C-Counter-0 Even Duty Enable,C-Counter-1 Even Duty Enable,C-Counter-2 Even Duty Enable,C-Counter-3 Even Duty Enable,C-Counter-4 Even Duty Enable,C-Counter-5 Even Duty Enable,C-Counter-6 Even Duty Enable,C-Counter-7 Even Duty Enable,C-Counter-8 Even Duty Enable,C-Counter-0 Bypass Enable,C-Counter-1 Bypass Enable,C-Counter-2 Bypass Enable,C-Counter-3 Bypass Enable,C-Counter-4 Bypass Enable,C-Counter-5 Bypass Enable,C-Counter-6 Bypass Enable,C-Counter-7 Bypass Enable,C-Counter-8 Bypass Enable,C-Counter-0 Preset,C-Counter-1 Preset,C-Counter-2 Preset,C-Counter-3 Preset,C-Counter-4 Preset,C-Counter-5 Preset,C-Counter-6 Preset,C-Counter-7 Preset,C-Counter-8 Preset,C-Counter-0 Phase Mux Preset,C-Counter-1 Phase Mux Preset,C-Counter-2 Phase Mux Preset,C-Counter-3 Phase Mux Preset,C-Counter-4 Phase Mux Preset,C-Counter-5 Phase Mux Preset,C-Counter-6 Phase Mux Preset,C-Counter-7 Phase Mux Preset,C-Counter-8 Phase Mux Preset,Charge Pump Current,Bandwidth Control</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="parameterTable_values" type="string"> + <ipxact:name>parameterTable_values</ipxact:name> + <ipxact:displayName>Parameter Values</ipxact:displayName> + <ipxact:value>40,1,1000.0 MHz,50,20,10,8,1,1,1,1,1,false,20,20,false,false,256,256,false,true,25,10,5,4,256,256,256,256,256,25,10,5,4,256,256,256,256,256,false,false,false,false,false,false,false,false,false,false,false,false,false,true,true,true,true,true,1,1,1,1,1,1,1,1,1,0,0,0,0,0,0,0,0,0,pll_cp_setting14,pll_bw_res_setting4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="mifTable_names" type="string"> + <ipxact:name>mifTable_names</ipxact:name> + <ipxact:displayName>MIF File Property</ipxact:displayName> + <ipxact:value>The MIF file specified does not yet exist</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="mifTable_values" type="string"> + <ipxact:name>mifTable_values</ipxact:name> + <ipxact:displayName>Values</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_m_cnt_basic" type="int"> + <ipxact:name>pll_m_cnt_basic</ipxact:name> + <ipxact:displayName>pll_m_cnt_basic</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_m_cnt" type="int"> + <ipxact:name>pll_m_cnt</ipxact:name> + <ipxact:displayName>pll_m_cnt</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prot_mode" type="string"> + <ipxact:name>prot_mode</ipxact:name> + <ipxact:displayName>prot_mode</ipxact:displayName> + <ipxact:value>BASIC</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="m_cnt_hi_div" type="int"> + <ipxact:name>m_cnt_hi_div</ipxact:name> + <ipxact:displayName>m_cnt_hi_div</ipxact:displayName> + <ipxact:value>20</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="eff_m_cnt" type="int"> + <ipxact:name>eff_m_cnt</ipxact:name> + <ipxact:displayName>eff_m_cnt</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="multiply_factor" type="int"> + <ipxact:name>multiply_factor</ipxact:name> + <ipxact:displayName>multiply_factor</ipxact:displayName> + <ipxact:value>40</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="use_core_refclk" type="bit"> + <ipxact:name>use_core_refclk</ipxact:name> + <ipxact:displayName>use_core_refclk</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="m_cnt_lo_div" type="int"> + <ipxact:name>m_cnt_lo_div</ipxact:name> + <ipxact:displayName>m_cnt_lo_div</ipxact:displayName> + <ipxact:value>20</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="n_cnt_hi_div" type="int"> + <ipxact:name>n_cnt_hi_div</ipxact:name> + <ipxact:displayName>n_cnt_hi_div</ipxact:displayName> + <ipxact:value>256</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="n_cnt_lo_div" type="int"> + <ipxact:name>n_cnt_lo_div</ipxact:name> + <ipxact:displayName>n_cnt_lo_div</ipxact:displayName> + <ipxact:value>256</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="m_cnt_bypass_en" type="bit"> + <ipxact:name>m_cnt_bypass_en</ipxact:name> + <ipxact:displayName>m_cnt_bypass_en</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="n_cnt_bypass_en" type="bit"> + <ipxact:name>n_cnt_bypass_en</ipxact:name> + <ipxact:displayName>n_cnt_bypass_en</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="m_cnt_odd_div_duty_en" type="bit"> + <ipxact:name>m_cnt_odd_div_duty_en</ipxact:name> + <ipxact:displayName>m_cnt_odd_div_duty_en</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="n_cnt_odd_div_duty_en" type="bit"> + <ipxact:name>n_cnt_odd_div_duty_en</ipxact:name> + <ipxact:displayName>n_cnt_odd_div_duty_en</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_vco_div" type="int"> + <ipxact:name>pll_vco_div</ipxact:name> + <ipxact:displayName>pll_vco_div</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_cp_current" type="string"> + <ipxact:name>pll_cp_current</ipxact:name> + <ipxact:displayName>pll_cp_current</ipxact:displayName> + <ipxact:value>pll_cp_setting14</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_bwctrl" type="string"> + <ipxact:name>pll_bwctrl</ipxact:name> + <ipxact:displayName>pll_bwctrl</ipxact:displayName> + <ipxact:value>pll_bw_res_setting4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_ripplecap_ctrl" type="string"> + <ipxact:name>pll_ripplecap_ctrl</ipxact:name> + <ipxact:displayName>pll_ripplecap_ctrl</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_fractional_division" type="int"> + <ipxact:name>pll_fractional_division</ipxact:name> + <ipxact:displayName>pll_fractional_division</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="fractional_vco_multiplier" type="bit"> + <ipxact:name>fractional_vco_multiplier</ipxact:name> + <ipxact:displayName>fractional_vco_multiplier</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="reference_clock_frequency" type="string"> + <ipxact:name>reference_clock_frequency</ipxact:name> + <ipxact:displayName>reference_clock_frequency</ipxact:displayName> + <ipxact:value>25.0 MHz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_fractional_cout" type="int"> + <ipxact:name>pll_fractional_cout</ipxact:name> + <ipxact:displayName>pll_fractional_cout</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_dsm_out_sel" type="string"> + <ipxact:name>pll_dsm_out_sel</ipxact:name> + <ipxact:displayName>pll_dsm_out_sel</ipxact:displayName> + <ipxact:value>1st_order</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="operation_mode" type="string"> + <ipxact:name>operation_mode</ipxact:name> + <ipxact:displayName>operation_mode</ipxact:displayName> + <ipxact:value>direct</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="number_of_clocks" type="int"> + <ipxact:name>number_of_clocks</ipxact:name> + <ipxact:displayName>number_of_clocks</ipxact:displayName> + <ipxact:value>4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="number_of_outclks" type="int"> + <ipxact:name>number_of_outclks</ipxact:name> + <ipxact:displayName>number_of_outclks</ipxact:displayName> + <ipxact:value>4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_vcoph_div" type="int"> + <ipxact:name>pll_vcoph_div</ipxact:name> + <ipxact:displayName>pll_vcoph_div</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_type" type="string"> + <ipxact:name>pll_type</ipxact:name> + <ipxact:displayName>pll_type</ipxact:displayName> + <ipxact:value>Arria 10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_subtype" type="string"> + <ipxact:name>pll_subtype</ipxact:name> + <ipxact:displayName>pll_subtype</ipxact:displayName> + <ipxact:value>General</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_output_clk_frequency" type="string"> + <ipxact:name>pll_output_clk_frequency</ipxact:name> + <ipxact:displayName>pll_output_clk_frequency</ipxact:displayName> + <ipxact:value>1000.0 MHz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_pfd_frequency" type="string"> + <ipxact:name>pll_pfd_frequency</ipxact:name> + <ipxact:displayName>pll_pfd_frequency</ipxact:displayName> + <ipxact:value>25.0 MHz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="mimic_fbclk_type" type="string"> + <ipxact:name>mimic_fbclk_type</ipxact:name> + <ipxact:displayName>mimic_fbclk_type</ipxact:displayName> + <ipxact:value>gclk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_bw_sel" type="string"> + <ipxact:name>pll_bw_sel</ipxact:name> + <ipxact:displayName>pll_bw_sel</ipxact:displayName> + <ipxact:value>Low</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_slf_rst" type="bit"> + <ipxact:name>pll_slf_rst</ipxact:name> + <ipxact:displayName>pll_slf_rst</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_fbclk_mux_1" type="string"> + <ipxact:name>pll_fbclk_mux_1</ipxact:name> + <ipxact:displayName>pll_fbclk_mux_1</ipxact:displayName> + <ipxact:value>pll_fbclk_mux_1_glb</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_fbclk_mux_2" type="string"> + <ipxact:name>pll_fbclk_mux_2</ipxact:name> + <ipxact:displayName>pll_fbclk_mux_2</ipxact:displayName> + <ipxact:value>pll_fbclk_mux_2_m_cnt</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_m_cnt_in_src" type="string"> + <ipxact:name>pll_m_cnt_in_src</ipxact:name> + <ipxact:displayName>pll_m_cnt_in_src</ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_clkin_0_src" type="string"> + <ipxact:name>pll_clkin_0_src</ipxact:name> + <ipxact:displayName>pll_clkin_0_src</ipxact:displayName> + <ipxact:value>clk_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="refclk1_frequency" type="string"> + <ipxact:name>refclk1_frequency</ipxact:name> + <ipxact:displayName>refclk1_frequency</ipxact:displayName> + <ipxact:value>100.0 MHz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_clk_loss_sw_en" type="bit"> + <ipxact:name>pll_clk_loss_sw_en</ipxact:name> + <ipxact:displayName>pll_clk_loss_sw_en</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_manu_clk_sw_en" type="bit"> + <ipxact:name>pll_manu_clk_sw_en</ipxact:name> + <ipxact:displayName>pll_manu_clk_sw_en</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_auto_clk_sw_en" type="bit"> + <ipxact:name>pll_auto_clk_sw_en</ipxact:name> + <ipxact:displayName>pll_auto_clk_sw_en</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_clkin_1_src" type="string"> + <ipxact:name>pll_clkin_1_src</ipxact:name> + <ipxact:displayName>pll_clkin_1_src</ipxact:displayName> + <ipxact:value>clk_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_clk_sw_dly" type="int"> + <ipxact:name>pll_clk_sw_dly</ipxact:name> + <ipxact:displayName>pll_clk_sw_dly</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_extclk_0_cnt_src" type="string"> + <ipxact:name>pll_extclk_0_cnt_src</ipxact:name> + <ipxact:displayName>pll_extclk_0_cnt_src</ipxact:displayName> + <ipxact:value>pll_extclk_cnt_src_vss</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_extclk_1_cnt_src" type="string"> + <ipxact:name>pll_extclk_1_cnt_src</ipxact:name> + <ipxact:displayName>pll_extclk_1_cnt_src</ipxact:displayName> + <ipxact:value>pll_extclk_cnt_src_vss</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_lock_fltr_cfg" type="int"> + <ipxact:name>pll_lock_fltr_cfg</ipxact:name> + <ipxact:displayName>pll_lock_fltr_cfg</ipxact:displayName> + <ipxact:value>100</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_unlock_fltr_cfg" type="int"> + <ipxact:name>pll_unlock_fltr_cfg</ipxact:name> + <ipxact:displayName>pll_unlock_fltr_cfg</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="lock_mode" type="string"> + <ipxact:name>lock_mode</ipxact:name> + <ipxact:displayName>lock_mode</ipxact:displayName> + <ipxact:value>low_lock_time</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clock_to_compensate" type="int"> + <ipxact:name>clock_to_compensate</ipxact:name> + <ipxact:displayName>clock_to_compensate</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clock_name_global" type="bit"> + <ipxact:name>clock_name_global</ipxact:name> + <ipxact:displayName>clock_name_global</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_freqcal_en" type="bit"> + <ipxact:name>pll_freqcal_en</ipxact:name> + <ipxact:displayName>pll_freqcal_en</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_defer_cal_user_mode" type="bit"> + <ipxact:name>pll_defer_cal_user_mode</ipxact:name> + <ipxact:displayName>pll_defer_cal_user_mode</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="dprio_interface_sel" type="int"> + <ipxact:name>dprio_interface_sel</ipxact:name> + <ipxact:displayName>dprio_interface_sel</ipxact:displayName> + <ipxact:value>3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="merging_permitted" type="bit"> + <ipxact:name>merging_permitted</ipxact:name> + <ipxact:displayName>merging_permitted</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_hi_div0" type="int"> + <ipxact:name>c_cnt_hi_div0</ipxact:name> + <ipxact:displayName>c_cnt_hi_div0</ipxact:displayName> + <ipxact:value>25</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_hi_div1" type="int"> + <ipxact:name>c_cnt_hi_div1</ipxact:name> + <ipxact:displayName>c_cnt_hi_div1</ipxact:displayName> + <ipxact:value>10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_hi_div2" type="int"> + <ipxact:name>c_cnt_hi_div2</ipxact:name> + <ipxact:displayName>c_cnt_hi_div2</ipxact:displayName> + <ipxact:value>5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_hi_div3" type="int"> + <ipxact:name>c_cnt_hi_div3</ipxact:name> + <ipxact:displayName>c_cnt_hi_div3</ipxact:displayName> + <ipxact:value>4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_hi_div4" type="int"> + <ipxact:name>c_cnt_hi_div4</ipxact:name> + <ipxact:displayName>c_cnt_hi_div4</ipxact:displayName> + <ipxact:value>256</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_hi_div5" type="int"> + <ipxact:name>c_cnt_hi_div5</ipxact:name> + <ipxact:displayName>c_cnt_hi_div5</ipxact:displayName> + <ipxact:value>256</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_hi_div6" type="int"> + <ipxact:name>c_cnt_hi_div6</ipxact:name> + <ipxact:displayName>c_cnt_hi_div6</ipxact:displayName> + <ipxact:value>256</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_hi_div7" type="int"> + <ipxact:name>c_cnt_hi_div7</ipxact:name> + <ipxact:displayName>c_cnt_hi_div7</ipxact:displayName> + <ipxact:value>256</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_hi_div8" type="int"> + <ipxact:name>c_cnt_hi_div8</ipxact:name> + <ipxact:displayName>c_cnt_hi_div8</ipxact:displayName> + <ipxact:value>256</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_hi_div9" type="int"> + <ipxact:name>c_cnt_hi_div9</ipxact:name> + <ipxact:displayName>c_cnt_hi_div9</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_hi_div10" type="int"> + <ipxact:name>c_cnt_hi_div10</ipxact:name> + <ipxact:displayName>c_cnt_hi_div10</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_hi_div11" type="int"> + <ipxact:name>c_cnt_hi_div11</ipxact:name> + <ipxact:displayName>c_cnt_hi_div11</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_hi_div12" type="int"> + <ipxact:name>c_cnt_hi_div12</ipxact:name> + <ipxact:displayName>c_cnt_hi_div12</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_hi_div13" type="int"> + <ipxact:name>c_cnt_hi_div13</ipxact:name> + <ipxact:displayName>c_cnt_hi_div13</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_hi_div14" type="int"> + <ipxact:name>c_cnt_hi_div14</ipxact:name> + <ipxact:displayName>c_cnt_hi_div14</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_hi_div15" type="int"> + <ipxact:name>c_cnt_hi_div15</ipxact:name> + <ipxact:displayName>c_cnt_hi_div15</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_hi_div16" type="int"> + <ipxact:name>c_cnt_hi_div16</ipxact:name> + <ipxact:displayName>c_cnt_hi_div16</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_hi_div17" type="int"> + <ipxact:name>c_cnt_hi_div17</ipxact:name> + <ipxact:displayName>c_cnt_hi_div17</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_lo_div0" type="int"> + <ipxact:name>c_cnt_lo_div0</ipxact:name> + <ipxact:displayName>c_cnt_lo_div0</ipxact:displayName> + <ipxact:value>25</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_lo_div1" type="int"> + <ipxact:name>c_cnt_lo_div1</ipxact:name> + <ipxact:displayName>c_cnt_lo_div1</ipxact:displayName> + <ipxact:value>10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_lo_div2" type="int"> + <ipxact:name>c_cnt_lo_div2</ipxact:name> + <ipxact:displayName>c_cnt_lo_div2</ipxact:displayName> + <ipxact:value>5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_lo_div3" type="int"> + <ipxact:name>c_cnt_lo_div3</ipxact:name> + <ipxact:displayName>c_cnt_lo_div3</ipxact:displayName> + <ipxact:value>4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_lo_div4" type="int"> + <ipxact:name>c_cnt_lo_div4</ipxact:name> + <ipxact:displayName>c_cnt_lo_div4</ipxact:displayName> + <ipxact:value>256</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_lo_div5" type="int"> + <ipxact:name>c_cnt_lo_div5</ipxact:name> + <ipxact:displayName>c_cnt_lo_div5</ipxact:displayName> + <ipxact:value>256</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_lo_div6" type="int"> + <ipxact:name>c_cnt_lo_div6</ipxact:name> + <ipxact:displayName>c_cnt_lo_div6</ipxact:displayName> + <ipxact:value>256</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_lo_div7" type="int"> + <ipxact:name>c_cnt_lo_div7</ipxact:name> + <ipxact:displayName>c_cnt_lo_div7</ipxact:displayName> + <ipxact:value>256</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_lo_div8" type="int"> + <ipxact:name>c_cnt_lo_div8</ipxact:name> + <ipxact:displayName>c_cnt_lo_div8</ipxact:displayName> + <ipxact:value>256</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_lo_div9" type="int"> + <ipxact:name>c_cnt_lo_div9</ipxact:name> + <ipxact:displayName>c_cnt_lo_div9</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_lo_div10" type="int"> + <ipxact:name>c_cnt_lo_div10</ipxact:name> + <ipxact:displayName>c_cnt_lo_div10</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_lo_div11" type="int"> + <ipxact:name>c_cnt_lo_div11</ipxact:name> + <ipxact:displayName>c_cnt_lo_div11</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_lo_div12" type="int"> + <ipxact:name>c_cnt_lo_div12</ipxact:name> + <ipxact:displayName>c_cnt_lo_div12</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_lo_div13" type="int"> + <ipxact:name>c_cnt_lo_div13</ipxact:name> + <ipxact:displayName>c_cnt_lo_div13</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_lo_div14" type="int"> + <ipxact:name>c_cnt_lo_div14</ipxact:name> + <ipxact:displayName>c_cnt_lo_div14</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_lo_div15" type="int"> + <ipxact:name>c_cnt_lo_div15</ipxact:name> + <ipxact:displayName>c_cnt_lo_div15</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_lo_div16" type="int"> + <ipxact:name>c_cnt_lo_div16</ipxact:name> + <ipxact:displayName>c_cnt_lo_div16</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_lo_div17" type="int"> + <ipxact:name>c_cnt_lo_div17</ipxact:name> + <ipxact:displayName>c_cnt_lo_div17</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_prst0" type="int"> + <ipxact:name>c_cnt_prst0</ipxact:name> + <ipxact:displayName>c_cnt_prst0</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_prst1" type="int"> + <ipxact:name>c_cnt_prst1</ipxact:name> + <ipxact:displayName>c_cnt_prst1</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_prst2" type="int"> + <ipxact:name>c_cnt_prst2</ipxact:name> + <ipxact:displayName>c_cnt_prst2</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_prst3" type="int"> + <ipxact:name>c_cnt_prst3</ipxact:name> + <ipxact:displayName>c_cnt_prst3</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_prst4" type="int"> + <ipxact:name>c_cnt_prst4</ipxact:name> + <ipxact:displayName>c_cnt_prst4</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_prst5" type="int"> + <ipxact:name>c_cnt_prst5</ipxact:name> + <ipxact:displayName>c_cnt_prst5</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_prst6" type="int"> + <ipxact:name>c_cnt_prst6</ipxact:name> + <ipxact:displayName>c_cnt_prst6</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_prst7" type="int"> + <ipxact:name>c_cnt_prst7</ipxact:name> + <ipxact:displayName>c_cnt_prst7</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_prst8" type="int"> + <ipxact:name>c_cnt_prst8</ipxact:name> + <ipxact:displayName>c_cnt_prst8</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_prst9" type="int"> + <ipxact:name>c_cnt_prst9</ipxact:name> + <ipxact:displayName>c_cnt_prst9</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_prst10" type="int"> + <ipxact:name>c_cnt_prst10</ipxact:name> + <ipxact:displayName>c_cnt_prst10</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_prst11" type="int"> + <ipxact:name>c_cnt_prst11</ipxact:name> + <ipxact:displayName>c_cnt_prst11</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_prst12" type="int"> + <ipxact:name>c_cnt_prst12</ipxact:name> + <ipxact:displayName>c_cnt_prst12</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_prst13" type="int"> + <ipxact:name>c_cnt_prst13</ipxact:name> + <ipxact:displayName>c_cnt_prst13</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_prst14" type="int"> + <ipxact:name>c_cnt_prst14</ipxact:name> + <ipxact:displayName>c_cnt_prst14</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_prst15" type="int"> + <ipxact:name>c_cnt_prst15</ipxact:name> + <ipxact:displayName>c_cnt_prst15</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_prst16" type="int"> + <ipxact:name>c_cnt_prst16</ipxact:name> + <ipxact:displayName>c_cnt_prst16</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_prst17" type="int"> + <ipxact:name>c_cnt_prst17</ipxact:name> + <ipxact:displayName>c_cnt_prst17</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_ph_mux_prst0" type="int"> + <ipxact:name>c_cnt_ph_mux_prst0</ipxact:name> + <ipxact:displayName>c_cnt_ph_mux_prst0</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_ph_mux_prst1" type="int"> + <ipxact:name>c_cnt_ph_mux_prst1</ipxact:name> + <ipxact:displayName>c_cnt_ph_mux_prst1</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_ph_mux_prst2" type="int"> + <ipxact:name>c_cnt_ph_mux_prst2</ipxact:name> + <ipxact:displayName>c_cnt_ph_mux_prst2</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_ph_mux_prst3" type="int"> + <ipxact:name>c_cnt_ph_mux_prst3</ipxact:name> + <ipxact:displayName>c_cnt_ph_mux_prst3</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_ph_mux_prst4" type="int"> + <ipxact:name>c_cnt_ph_mux_prst4</ipxact:name> + <ipxact:displayName>c_cnt_ph_mux_prst4</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_ph_mux_prst5" type="int"> + <ipxact:name>c_cnt_ph_mux_prst5</ipxact:name> + <ipxact:displayName>c_cnt_ph_mux_prst5</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_ph_mux_prst6" type="int"> + <ipxact:name>c_cnt_ph_mux_prst6</ipxact:name> + <ipxact:displayName>c_cnt_ph_mux_prst6</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_ph_mux_prst7" type="int"> + <ipxact:name>c_cnt_ph_mux_prst7</ipxact:name> + <ipxact:displayName>c_cnt_ph_mux_prst7</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_ph_mux_prst8" type="int"> + <ipxact:name>c_cnt_ph_mux_prst8</ipxact:name> + <ipxact:displayName>c_cnt_ph_mux_prst8</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_ph_mux_prst9" type="int"> + <ipxact:name>c_cnt_ph_mux_prst9</ipxact:name> + <ipxact:displayName>c_cnt_ph_mux_prst9</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_ph_mux_prst10" type="int"> + <ipxact:name>c_cnt_ph_mux_prst10</ipxact:name> + <ipxact:displayName>c_cnt_ph_mux_prst10</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_ph_mux_prst11" type="int"> + <ipxact:name>c_cnt_ph_mux_prst11</ipxact:name> + <ipxact:displayName>c_cnt_ph_mux_prst11</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_ph_mux_prst12" type="int"> + <ipxact:name>c_cnt_ph_mux_prst12</ipxact:name> + <ipxact:displayName>c_cnt_ph_mux_prst12</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_ph_mux_prst13" type="int"> + <ipxact:name>c_cnt_ph_mux_prst13</ipxact:name> + <ipxact:displayName>c_cnt_ph_mux_prst13</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_ph_mux_prst14" type="int"> + <ipxact:name>c_cnt_ph_mux_prst14</ipxact:name> + <ipxact:displayName>c_cnt_ph_mux_prst14</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_ph_mux_prst15" type="int"> + <ipxact:name>c_cnt_ph_mux_prst15</ipxact:name> + <ipxact:displayName>c_cnt_ph_mux_prst15</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_ph_mux_prst16" type="int"> + <ipxact:name>c_cnt_ph_mux_prst16</ipxact:name> + <ipxact:displayName>c_cnt_ph_mux_prst16</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_ph_mux_prst17" type="int"> + <ipxact:name>c_cnt_ph_mux_prst17</ipxact:name> + <ipxact:displayName>c_cnt_ph_mux_prst17</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_in_src0" type="string"> + <ipxact:name>c_cnt_in_src0</ipxact:name> + <ipxact:displayName>c_cnt_in_src0</ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_in_src1" type="string"> + <ipxact:name>c_cnt_in_src1</ipxact:name> + <ipxact:displayName>c_cnt_in_src1</ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_in_src2" type="string"> + <ipxact:name>c_cnt_in_src2</ipxact:name> + <ipxact:displayName>c_cnt_in_src2</ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_in_src3" type="string"> + <ipxact:name>c_cnt_in_src3</ipxact:name> + <ipxact:displayName>c_cnt_in_src3</ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_in_src4" type="string"> + <ipxact:name>c_cnt_in_src4</ipxact:name> + <ipxact:displayName>c_cnt_in_src4</ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_in_src5" type="string"> + <ipxact:name>c_cnt_in_src5</ipxact:name> + <ipxact:displayName>c_cnt_in_src5</ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_in_src6" type="string"> + <ipxact:name>c_cnt_in_src6</ipxact:name> + <ipxact:displayName>c_cnt_in_src6</ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_in_src7" type="string"> + <ipxact:name>c_cnt_in_src7</ipxact:name> + <ipxact:displayName>c_cnt_in_src7</ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_in_src8" type="string"> + <ipxact:name>c_cnt_in_src8</ipxact:name> + <ipxact:displayName>c_cnt_in_src8</ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_in_src9" type="string"> + <ipxact:name>c_cnt_in_src9</ipxact:name> + <ipxact:displayName>c_cnt_in_src9</ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_in_src10" type="string"> + <ipxact:name>c_cnt_in_src10</ipxact:name> + <ipxact:displayName>c_cnt_in_src10</ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_in_src11" type="string"> + <ipxact:name>c_cnt_in_src11</ipxact:name> + <ipxact:displayName>c_cnt_in_src11</ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_in_src12" type="string"> + <ipxact:name>c_cnt_in_src12</ipxact:name> + <ipxact:displayName>c_cnt_in_src12</ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_in_src13" type="string"> + <ipxact:name>c_cnt_in_src13</ipxact:name> + <ipxact:displayName>c_cnt_in_src13</ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_in_src14" type="string"> + <ipxact:name>c_cnt_in_src14</ipxact:name> + <ipxact:displayName>c_cnt_in_src14</ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_in_src15" type="string"> + <ipxact:name>c_cnt_in_src15</ipxact:name> + <ipxact:displayName>c_cnt_in_src15</ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_in_src16" type="string"> + <ipxact:name>c_cnt_in_src16</ipxact:name> + <ipxact:displayName>c_cnt_in_src16</ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_in_src17" type="string"> + <ipxact:name>c_cnt_in_src17</ipxact:name> + <ipxact:displayName>c_cnt_in_src17</ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_bypass_en0" type="bit"> + <ipxact:name>c_cnt_bypass_en0</ipxact:name> + <ipxact:displayName>c_cnt_bypass_en0</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_bypass_en1" type="bit"> + <ipxact:name>c_cnt_bypass_en1</ipxact:name> + <ipxact:displayName>c_cnt_bypass_en1</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_bypass_en2" type="bit"> + <ipxact:name>c_cnt_bypass_en2</ipxact:name> + <ipxact:displayName>c_cnt_bypass_en2</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_bypass_en3" type="bit"> + <ipxact:name>c_cnt_bypass_en3</ipxact:name> + <ipxact:displayName>c_cnt_bypass_en3</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_bypass_en4" type="bit"> + <ipxact:name>c_cnt_bypass_en4</ipxact:name> + <ipxact:displayName>c_cnt_bypass_en4</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_bypass_en5" type="bit"> + <ipxact:name>c_cnt_bypass_en5</ipxact:name> + <ipxact:displayName>c_cnt_bypass_en5</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_bypass_en6" type="bit"> + <ipxact:name>c_cnt_bypass_en6</ipxact:name> + <ipxact:displayName>c_cnt_bypass_en6</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_bypass_en7" type="bit"> + <ipxact:name>c_cnt_bypass_en7</ipxact:name> + <ipxact:displayName>c_cnt_bypass_en7</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_bypass_en8" type="bit"> + <ipxact:name>c_cnt_bypass_en8</ipxact:name> + <ipxact:displayName>c_cnt_bypass_en8</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_bypass_en9" type="bit"> + <ipxact:name>c_cnt_bypass_en9</ipxact:name> + <ipxact:displayName>c_cnt_bypass_en9</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_bypass_en10" type="bit"> + <ipxact:name>c_cnt_bypass_en10</ipxact:name> + <ipxact:displayName>c_cnt_bypass_en10</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_bypass_en11" type="bit"> + <ipxact:name>c_cnt_bypass_en11</ipxact:name> + <ipxact:displayName>c_cnt_bypass_en11</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_bypass_en12" type="bit"> + <ipxact:name>c_cnt_bypass_en12</ipxact:name> + <ipxact:displayName>c_cnt_bypass_en12</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_bypass_en13" type="bit"> + <ipxact:name>c_cnt_bypass_en13</ipxact:name> + <ipxact:displayName>c_cnt_bypass_en13</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_bypass_en14" type="bit"> + <ipxact:name>c_cnt_bypass_en14</ipxact:name> + <ipxact:displayName>c_cnt_bypass_en14</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_bypass_en15" type="bit"> + <ipxact:name>c_cnt_bypass_en15</ipxact:name> + <ipxact:displayName>c_cnt_bypass_en15</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_bypass_en16" type="bit"> + <ipxact:name>c_cnt_bypass_en16</ipxact:name> + <ipxact:displayName>c_cnt_bypass_en16</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_bypass_en17" type="bit"> + <ipxact:name>c_cnt_bypass_en17</ipxact:name> + <ipxact:displayName>c_cnt_bypass_en17</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_odd_div_duty_en0" type="bit"> + <ipxact:name>c_cnt_odd_div_duty_en0</ipxact:name> + <ipxact:displayName>c_cnt_odd_div_duty_en0</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_odd_div_duty_en1" type="bit"> + <ipxact:name>c_cnt_odd_div_duty_en1</ipxact:name> + <ipxact:displayName>c_cnt_odd_div_duty_en1</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_odd_div_duty_en2" type="bit"> + <ipxact:name>c_cnt_odd_div_duty_en2</ipxact:name> + <ipxact:displayName>c_cnt_odd_div_duty_en2</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_odd_div_duty_en3" type="bit"> + <ipxact:name>c_cnt_odd_div_duty_en3</ipxact:name> + <ipxact:displayName>c_cnt_odd_div_duty_en3</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_odd_div_duty_en4" type="bit"> + <ipxact:name>c_cnt_odd_div_duty_en4</ipxact:name> + <ipxact:displayName>c_cnt_odd_div_duty_en4</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_odd_div_duty_en5" type="bit"> + <ipxact:name>c_cnt_odd_div_duty_en5</ipxact:name> + <ipxact:displayName>c_cnt_odd_div_duty_en5</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_odd_div_duty_en6" type="bit"> + <ipxact:name>c_cnt_odd_div_duty_en6</ipxact:name> + <ipxact:displayName>c_cnt_odd_div_duty_en6</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_odd_div_duty_en7" type="bit"> + <ipxact:name>c_cnt_odd_div_duty_en7</ipxact:name> + <ipxact:displayName>c_cnt_odd_div_duty_en7</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_odd_div_duty_en8" type="bit"> + <ipxact:name>c_cnt_odd_div_duty_en8</ipxact:name> + <ipxact:displayName>c_cnt_odd_div_duty_en8</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_odd_div_duty_en9" type="bit"> + <ipxact:name>c_cnt_odd_div_duty_en9</ipxact:name> + <ipxact:displayName>c_cnt_odd_div_duty_en9</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_odd_div_duty_en10" type="bit"> + <ipxact:name>c_cnt_odd_div_duty_en10</ipxact:name> + <ipxact:displayName>c_cnt_odd_div_duty_en10</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_odd_div_duty_en11" type="bit"> + <ipxact:name>c_cnt_odd_div_duty_en11</ipxact:name> + <ipxact:displayName>c_cnt_odd_div_duty_en11</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_odd_div_duty_en12" type="bit"> + <ipxact:name>c_cnt_odd_div_duty_en12</ipxact:name> + <ipxact:displayName>c_cnt_odd_div_duty_en12</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_odd_div_duty_en13" type="bit"> + <ipxact:name>c_cnt_odd_div_duty_en13</ipxact:name> + <ipxact:displayName>c_cnt_odd_div_duty_en13</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_odd_div_duty_en14" type="bit"> + <ipxact:name>c_cnt_odd_div_duty_en14</ipxact:name> + <ipxact:displayName>c_cnt_odd_div_duty_en14</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_odd_div_duty_en15" type="bit"> + <ipxact:name>c_cnt_odd_div_duty_en15</ipxact:name> + <ipxact:displayName>c_cnt_odd_div_duty_en15</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_odd_div_duty_en16" type="bit"> + <ipxact:name>c_cnt_odd_div_duty_en16</ipxact:name> + <ipxact:displayName>c_cnt_odd_div_duty_en16</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_odd_div_duty_en17" type="bit"> + <ipxact:name>c_cnt_odd_div_duty_en17</ipxact:name> + <ipxact:displayName>c_cnt_odd_div_duty_en17</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="output_clock_frequency0" type="string"> + <ipxact:name>output_clock_frequency0</ipxact:name> + <ipxact:displayName>output_clock_frequency0</ipxact:displayName> + <ipxact:value>20.0 MHz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="output_clock_frequency1" type="string"> + <ipxact:name>output_clock_frequency1</ipxact:name> + <ipxact:displayName>output_clock_frequency1</ipxact:displayName> + <ipxact:value>50.0 MHz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="output_clock_frequency2" type="string"> + <ipxact:name>output_clock_frequency2</ipxact:name> + <ipxact:displayName>output_clock_frequency2</ipxact:displayName> + <ipxact:value>100.0 MHz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="output_clock_frequency3" type="string"> + <ipxact:name>output_clock_frequency3</ipxact:name> + <ipxact:displayName>output_clock_frequency3</ipxact:displayName> + <ipxact:value>125.0 MHz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="output_clock_frequency4" type="string"> + <ipxact:name>output_clock_frequency4</ipxact:name> + <ipxact:displayName>output_clock_frequency4</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="output_clock_frequency5" type="string"> + <ipxact:name>output_clock_frequency5</ipxact:name> + <ipxact:displayName>output_clock_frequency5</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="output_clock_frequency6" type="string"> + <ipxact:name>output_clock_frequency6</ipxact:name> + <ipxact:displayName>output_clock_frequency6</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="output_clock_frequency7" type="string"> + <ipxact:name>output_clock_frequency7</ipxact:name> + <ipxact:displayName>output_clock_frequency7</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="output_clock_frequency8" type="string"> + <ipxact:name>output_clock_frequency8</ipxact:name> + <ipxact:displayName>output_clock_frequency8</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="output_clock_frequency9" type="string"> + <ipxact:name>output_clock_frequency9</ipxact:name> + <ipxact:displayName>output_clock_frequency9</ipxact:displayName> + <ipxact:value>0 MHz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="output_clock_frequency10" type="string"> + <ipxact:name>output_clock_frequency10</ipxact:name> + <ipxact:displayName>output_clock_frequency10</ipxact:displayName> + <ipxact:value>0 MHz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="output_clock_frequency11" type="string"> + <ipxact:name>output_clock_frequency11</ipxact:name> + <ipxact:displayName>output_clock_frequency11</ipxact:displayName> + <ipxact:value>0 MHz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="output_clock_frequency12" type="string"> + <ipxact:name>output_clock_frequency12</ipxact:name> + <ipxact:displayName>output_clock_frequency12</ipxact:displayName> + <ipxact:value>0 MHz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="output_clock_frequency13" type="string"> + <ipxact:name>output_clock_frequency13</ipxact:name> + <ipxact:displayName>output_clock_frequency13</ipxact:displayName> + <ipxact:value>0 MHz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="output_clock_frequency14" type="string"> + <ipxact:name>output_clock_frequency14</ipxact:name> + <ipxact:displayName>output_clock_frequency14</ipxact:displayName> + <ipxact:value>0 MHz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="output_clock_frequency15" type="string"> + <ipxact:name>output_clock_frequency15</ipxact:name> + <ipxact:displayName>output_clock_frequency15</ipxact:displayName> + <ipxact:value>0 MHz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="output_clock_frequency16" type="string"> + <ipxact:name>output_clock_frequency16</ipxact:name> + <ipxact:displayName>output_clock_frequency16</ipxact:displayName> + <ipxact:value>0 MHz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="output_clock_frequency17" type="string"> + <ipxact:name>output_clock_frequency17</ipxact:name> + <ipxact:displayName>output_clock_frequency17</ipxact:displayName> + <ipxact:value>0 MHz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="phase_shift0" type="string"> + <ipxact:name>phase_shift0</ipxact:name> + <ipxact:displayName>phase_shift0</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="phase_shift1" type="string"> + <ipxact:name>phase_shift1</ipxact:name> + <ipxact:displayName>phase_shift1</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="phase_shift2" type="string"> + <ipxact:name>phase_shift2</ipxact:name> + <ipxact:displayName>phase_shift2</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="phase_shift3" type="string"> + <ipxact:name>phase_shift3</ipxact:name> + <ipxact:displayName>phase_shift3</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="phase_shift4" type="string"> + <ipxact:name>phase_shift4</ipxact:name> + <ipxact:displayName>phase_shift4</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="phase_shift5" type="string"> + <ipxact:name>phase_shift5</ipxact:name> + <ipxact:displayName>phase_shift5</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="phase_shift6" type="string"> + <ipxact:name>phase_shift6</ipxact:name> + <ipxact:displayName>phase_shift6</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="phase_shift7" type="string"> + <ipxact:name>phase_shift7</ipxact:name> + <ipxact:displayName>phase_shift7</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="phase_shift8" type="string"> + <ipxact:name>phase_shift8</ipxact:name> + <ipxact:displayName>phase_shift8</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="phase_shift9" type="string"> + <ipxact:name>phase_shift9</ipxact:name> + <ipxact:displayName>phase_shift9</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="phase_shift10" type="string"> + <ipxact:name>phase_shift10</ipxact:name> + <ipxact:displayName>phase_shift10</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="phase_shift11" type="string"> + <ipxact:name>phase_shift11</ipxact:name> + <ipxact:displayName>phase_shift11</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="phase_shift12" type="string"> + <ipxact:name>phase_shift12</ipxact:name> + <ipxact:displayName>phase_shift12</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="phase_shift13" type="string"> + <ipxact:name>phase_shift13</ipxact:name> + <ipxact:displayName>phase_shift13</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="phase_shift14" type="string"> + <ipxact:name>phase_shift14</ipxact:name> + <ipxact:displayName>phase_shift14</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="phase_shift15" type="string"> + <ipxact:name>phase_shift15</ipxact:name> + <ipxact:displayName>phase_shift15</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="phase_shift16" type="string"> + <ipxact:name>phase_shift16</ipxact:name> + <ipxact:displayName>phase_shift16</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="phase_shift17" type="string"> + <ipxact:name>phase_shift17</ipxact:name> + <ipxact:displayName>phase_shift17</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="duty_cycle0" type="int"> + <ipxact:name>duty_cycle0</ipxact:name> + <ipxact:displayName>duty_cycle0</ipxact:displayName> + <ipxact:value>50</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="duty_cycle1" type="int"> + <ipxact:name>duty_cycle1</ipxact:name> + <ipxact:displayName>duty_cycle1</ipxact:displayName> + <ipxact:value>50</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="duty_cycle2" type="int"> + <ipxact:name>duty_cycle2</ipxact:name> + <ipxact:displayName>duty_cycle2</ipxact:displayName> + <ipxact:value>50</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="duty_cycle3" type="int"> + <ipxact:name>duty_cycle3</ipxact:name> + <ipxact:displayName>duty_cycle3</ipxact:displayName> + <ipxact:value>50</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="duty_cycle4" type="int"> + <ipxact:name>duty_cycle4</ipxact:name> + <ipxact:displayName>duty_cycle4</ipxact:displayName> + <ipxact:value>50</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="duty_cycle5" type="int"> + <ipxact:name>duty_cycle5</ipxact:name> + <ipxact:displayName>duty_cycle5</ipxact:displayName> + <ipxact:value>50</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="duty_cycle6" type="int"> + <ipxact:name>duty_cycle6</ipxact:name> + <ipxact:displayName>duty_cycle6</ipxact:displayName> + <ipxact:value>50</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="duty_cycle7" type="int"> + <ipxact:name>duty_cycle7</ipxact:name> + <ipxact:displayName>duty_cycle7</ipxact:displayName> + <ipxact:value>50</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="duty_cycle8" type="int"> + <ipxact:name>duty_cycle8</ipxact:name> + <ipxact:displayName>duty_cycle8</ipxact:displayName> + <ipxact:value>50</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="duty_cycle9" type="int"> + <ipxact:name>duty_cycle9</ipxact:name> + <ipxact:displayName>duty_cycle9</ipxact:displayName> + <ipxact:value>50</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="duty_cycle10" type="int"> + <ipxact:name>duty_cycle10</ipxact:name> + <ipxact:displayName>duty_cycle10</ipxact:displayName> + <ipxact:value>50</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="duty_cycle11" type="int"> + <ipxact:name>duty_cycle11</ipxact:name> + <ipxact:displayName>duty_cycle11</ipxact:displayName> + <ipxact:value>50</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="duty_cycle12" type="int"> + <ipxact:name>duty_cycle12</ipxact:name> + <ipxact:displayName>duty_cycle12</ipxact:displayName> + <ipxact:value>50</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="duty_cycle13" type="int"> + <ipxact:name>duty_cycle13</ipxact:name> + <ipxact:displayName>duty_cycle13</ipxact:displayName> + <ipxact:value>50</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="duty_cycle14" type="int"> + <ipxact:name>duty_cycle14</ipxact:name> + <ipxact:displayName>duty_cycle14</ipxact:displayName> + <ipxact:value>50</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="duty_cycle15" type="int"> + <ipxact:name>duty_cycle15</ipxact:name> + <ipxact:displayName>duty_cycle15</ipxact:displayName> + <ipxact:value>50</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="duty_cycle16" type="int"> + <ipxact:name>duty_cycle16</ipxact:name> + <ipxact:displayName>duty_cycle16</ipxact:displayName> + <ipxact:value>50</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="duty_cycle17" type="int"> + <ipxact:name>duty_cycle17</ipxact:name> + <ipxact:displayName>duty_cycle17</ipxact:displayName> + <ipxact:value>50</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clock_name_0" type="string"> + <ipxact:name>clock_name_0</ipxact:name> + <ipxact:displayName>clock_name_0</ipxact:displayName> + <ipxact:value>pll_clk20</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clock_name_1" type="string"> + <ipxact:name>clock_name_1</ipxact:name> + <ipxact:displayName>clock_name_1</ipxact:displayName> + <ipxact:value>pll_clk50</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clock_name_2" type="string"> + <ipxact:name>clock_name_2</ipxact:name> + <ipxact:displayName>clock_name_2</ipxact:displayName> + <ipxact:value>pll_clk100</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clock_name_3" type="string"> + <ipxact:name>clock_name_3</ipxact:name> + <ipxact:displayName>clock_name_3</ipxact:displayName> + <ipxact:value>pll_clk125</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clock_name_4" type="string"> + <ipxact:name>clock_name_4</ipxact:name> + <ipxact:displayName>clock_name_4</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clock_name_5" type="string"> + <ipxact:name>clock_name_5</ipxact:name> + <ipxact:displayName>clock_name_5</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clock_name_6" type="string"> + <ipxact:name>clock_name_6</ipxact:name> + <ipxact:displayName>clock_name_6</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clock_name_7" type="string"> + <ipxact:name>clock_name_7</ipxact:name> + <ipxact:displayName>clock_name_7</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clock_name_8" type="string"> + <ipxact:name>clock_name_8</ipxact:name> + <ipxact:displayName>clock_name_8</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clock_name_global_0" type="bit"> + <ipxact:name>clock_name_global_0</ipxact:name> + <ipxact:displayName>clock_name_global_0</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clock_name_global_1" type="bit"> + <ipxact:name>clock_name_global_1</ipxact:name> + <ipxact:displayName>clock_name_global_1</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clock_name_global_2" type="bit"> + <ipxact:name>clock_name_global_2</ipxact:name> + <ipxact:displayName>clock_name_global_2</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clock_name_global_3" type="bit"> + <ipxact:name>clock_name_global_3</ipxact:name> + <ipxact:displayName>clock_name_global_3</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clock_name_global_4" type="bit"> + <ipxact:name>clock_name_global_4</ipxact:name> + <ipxact:displayName>clock_name_global_4</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clock_name_global_5" type="bit"> + <ipxact:name>clock_name_global_5</ipxact:name> + <ipxact:displayName>clock_name_global_5</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clock_name_global_6" type="bit"> + <ipxact:name>clock_name_global_6</ipxact:name> + <ipxact:displayName>clock_name_global_6</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clock_name_global_7" type="bit"> + <ipxact:name>clock_name_global_7</ipxact:name> + <ipxact:displayName>clock_name_global_7</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clock_name_global_8" type="bit"> + <ipxact:name>clock_name_global_8</ipxact:name> + <ipxact:displayName>clock_name_global_8</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="divide_factor0" type="int"> + <ipxact:name>divide_factor0</ipxact:name> + <ipxact:displayName>divide_factor0</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="divide_factor1" type="int"> + <ipxact:name>divide_factor1</ipxact:name> + <ipxact:displayName>divide_factor1</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="divide_factor2" type="int"> + <ipxact:name>divide_factor2</ipxact:name> + <ipxact:displayName>divide_factor2</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="divide_factor3" type="int"> + <ipxact:name>divide_factor3</ipxact:name> + <ipxact:displayName>divide_factor3</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="divide_factor4" type="int"> + <ipxact:name>divide_factor4</ipxact:name> + <ipxact:displayName>divide_factor4</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="divide_factor5" type="int"> + <ipxact:name>divide_factor5</ipxact:name> + <ipxact:displayName>divide_factor5</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="divide_factor6" type="int"> + <ipxact:name>divide_factor6</ipxact:name> + <ipxact:displayName>divide_factor6</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="divide_factor7" type="int"> + <ipxact:name>divide_factor7</ipxact:name> + <ipxact:displayName>divide_factor7</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="divide_factor8" type="int"> + <ipxact:name>divide_factor8</ipxact:name> + <ipxact:displayName>divide_factor8</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_tclk_mux_en" type="bit"> + <ipxact:name>pll_tclk_mux_en</ipxact:name> + <ipxact:displayName>pll_tclk_mux_en</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_tclk_sel" type="string"> + <ipxact:name>pll_tclk_sel</ipxact:name> + <ipxact:displayName>pll_tclk_sel</ipxact:displayName> + <ipxact:value>pll_tclk_m_src</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_vco_freq_band_0" type="string"> + <ipxact:name>pll_vco_freq_band_0</ipxact:name> + <ipxact:displayName>pll_vco_freq_band_0</ipxact:displayName> + <ipxact:value>pll_freq_clk0_disabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_vco_freq_band_1" type="string"> + <ipxact:name>pll_vco_freq_band_1</ipxact:name> + <ipxact:displayName>pll_vco_freq_band_1</ipxact:displayName> + <ipxact:value>pll_freq_clk1_disabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_freqcal_req_flag" type="bit"> + <ipxact:name>pll_freqcal_req_flag</ipxact:name> + <ipxact:displayName>pll_freqcal_req_flag</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cal_converge" type="bit"> + <ipxact:name>cal_converge</ipxact:name> + <ipxact:displayName>cal_converge</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cal_error" type="string"> + <ipxact:name>cal_error</ipxact:name> + <ipxact:displayName>cal_error</ipxact:displayName> + <ipxact:value>cal_clean</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_cal_done" type="bit"> + <ipxact:name>pll_cal_done</ipxact:name> + <ipxact:displayName>pll_cal_done</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="include_iossm" type="bit"> + <ipxact:name>include_iossm</ipxact:name> + <ipxact:displayName>include_iossm</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cal_code_hex_file" type="string"> + <ipxact:name>cal_code_hex_file</ipxact:name> + <ipxact:displayName>cal_code_hex_file</ipxact:displayName> + <ipxact:value>iossm.hex</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="parameter_table_hex_file" type="string"> + <ipxact:name>parameter_table_hex_file</ipxact:name> + <ipxact:displayName>parameter_table_hex_file</ipxact:displayName> + <ipxact:value>seq_params_sim.hex</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="iossm_nios_sim_clk_period_ps" type="int"> + <ipxact:name>iossm_nios_sim_clk_period_ps</ipxact:name> + <ipxact:displayName>iossm_nios_sim_clk_period_ps</ipxact:displayName> + <ipxact:value>1333</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_number_of_family_allowable_clocks" type="int"> + <ipxact:name>hp_number_of_family_allowable_clocks</ipxact:name> + <ipxact:displayName>hp_number_of_family_allowable_clocks</ipxact:displayName> + <ipxact:value>9</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_previous_num_clocks" type="int"> + <ipxact:name>hp_previous_num_clocks</ipxact:name> + <ipxact:displayName>hp_previous_num_clocks</ipxact:displayName> + <ipxact:value>4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_vco_frequency_fp" type="real"> + <ipxact:name>hp_actual_vco_frequency_fp</ipxact:name> + <ipxact:displayName>hp_actual_vco_frequency_fp</ipxact:displayName> + <ipxact:value>600.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_parameter_update_message" type="string"> + <ipxact:name>hp_parameter_update_message</ipxact:name> + <ipxact:displayName>hp_parameter_update_message</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_qsys_scripting_mode" type="bit"> + <ipxact:name>hp_qsys_scripting_mode</ipxact:name> + <ipxact:displayName>hp_qsys_scripting_mode</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_output_clock_frequency_fp0" type="real"> + <ipxact:name>hp_actual_output_clock_frequency_fp0</ipxact:name> + <ipxact:displayName>hp_actual_output_clock_frequency_fp0</ipxact:displayName> + <ipxact:value>20.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_output_clock_frequency_fp1" type="real"> + <ipxact:name>hp_actual_output_clock_frequency_fp1</ipxact:name> + <ipxact:displayName>hp_actual_output_clock_frequency_fp1</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_output_clock_frequency_fp2" type="real"> + <ipxact:name>hp_actual_output_clock_frequency_fp2</ipxact:name> + <ipxact:displayName>hp_actual_output_clock_frequency_fp2</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_output_clock_frequency_fp3" type="real"> + <ipxact:name>hp_actual_output_clock_frequency_fp3</ipxact:name> + <ipxact:displayName>hp_actual_output_clock_frequency_fp3</ipxact:displayName> + <ipxact:value>125.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_output_clock_frequency_fp4" type="real"> + <ipxact:name>hp_actual_output_clock_frequency_fp4</ipxact:name> + <ipxact:displayName>hp_actual_output_clock_frequency_fp4</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_output_clock_frequency_fp5" type="real"> + <ipxact:name>hp_actual_output_clock_frequency_fp5</ipxact:name> + <ipxact:displayName>hp_actual_output_clock_frequency_fp5</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_output_clock_frequency_fp6" type="real"> + <ipxact:name>hp_actual_output_clock_frequency_fp6</ipxact:name> + <ipxact:displayName>hp_actual_output_clock_frequency_fp6</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_output_clock_frequency_fp7" type="real"> + <ipxact:name>hp_actual_output_clock_frequency_fp7</ipxact:name> + <ipxact:displayName>hp_actual_output_clock_frequency_fp7</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_output_clock_frequency_fp8" type="real"> + <ipxact:name>hp_actual_output_clock_frequency_fp8</ipxact:name> + <ipxact:displayName>hp_actual_output_clock_frequency_fp8</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_output_clock_frequency_fp9" type="real"> + <ipxact:name>hp_actual_output_clock_frequency_fp9</ipxact:name> + <ipxact:displayName>hp_actual_output_clock_frequency_fp9</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_output_clock_frequency_fp10" type="real"> + <ipxact:name>hp_actual_output_clock_frequency_fp10</ipxact:name> + <ipxact:displayName>hp_actual_output_clock_frequency_fp10</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_output_clock_frequency_fp11" type="real"> + <ipxact:name>hp_actual_output_clock_frequency_fp11</ipxact:name> + <ipxact:displayName>hp_actual_output_clock_frequency_fp11</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_output_clock_frequency_fp12" type="real"> + <ipxact:name>hp_actual_output_clock_frequency_fp12</ipxact:name> + <ipxact:displayName>hp_actual_output_clock_frequency_fp12</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_output_clock_frequency_fp13" type="real"> + <ipxact:name>hp_actual_output_clock_frequency_fp13</ipxact:name> + <ipxact:displayName>hp_actual_output_clock_frequency_fp13</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_output_clock_frequency_fp14" type="real"> + <ipxact:name>hp_actual_output_clock_frequency_fp14</ipxact:name> + <ipxact:displayName>hp_actual_output_clock_frequency_fp14</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_output_clock_frequency_fp15" type="real"> + <ipxact:name>hp_actual_output_clock_frequency_fp15</ipxact:name> + <ipxact:displayName>hp_actual_output_clock_frequency_fp15</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_output_clock_frequency_fp16" type="real"> + <ipxact:name>hp_actual_output_clock_frequency_fp16</ipxact:name> + <ipxact:displayName>hp_actual_output_clock_frequency_fp16</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_output_clock_frequency_fp17" type="real"> + <ipxact:name>hp_actual_output_clock_frequency_fp17</ipxact:name> + <ipxact:displayName>hp_actual_output_clock_frequency_fp17</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_phase_shift_fp0" type="real"> + <ipxact:name>hp_actual_phase_shift_fp0</ipxact:name> + <ipxact:displayName>hp_actual_phase_shift_fp0</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_phase_shift_fp1" type="real"> + <ipxact:name>hp_actual_phase_shift_fp1</ipxact:name> + <ipxact:displayName>hp_actual_phase_shift_fp1</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_phase_shift_fp2" type="real"> + <ipxact:name>hp_actual_phase_shift_fp2</ipxact:name> + <ipxact:displayName>hp_actual_phase_shift_fp2</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_phase_shift_fp3" type="real"> + <ipxact:name>hp_actual_phase_shift_fp3</ipxact:name> + <ipxact:displayName>hp_actual_phase_shift_fp3</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_phase_shift_fp4" type="real"> + <ipxact:name>hp_actual_phase_shift_fp4</ipxact:name> + <ipxact:displayName>hp_actual_phase_shift_fp4</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_phase_shift_fp5" type="real"> + <ipxact:name>hp_actual_phase_shift_fp5</ipxact:name> + <ipxact:displayName>hp_actual_phase_shift_fp5</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_phase_shift_fp6" type="real"> + <ipxact:name>hp_actual_phase_shift_fp6</ipxact:name> + <ipxact:displayName>hp_actual_phase_shift_fp6</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_phase_shift_fp7" type="real"> + <ipxact:name>hp_actual_phase_shift_fp7</ipxact:name> + <ipxact:displayName>hp_actual_phase_shift_fp7</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_phase_shift_fp8" type="real"> + <ipxact:name>hp_actual_phase_shift_fp8</ipxact:name> + <ipxact:displayName>hp_actual_phase_shift_fp8</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_phase_shift_fp9" type="real"> + <ipxact:name>hp_actual_phase_shift_fp9</ipxact:name> + <ipxact:displayName>hp_actual_phase_shift_fp9</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_phase_shift_fp10" type="real"> + <ipxact:name>hp_actual_phase_shift_fp10</ipxact:name> + <ipxact:displayName>hp_actual_phase_shift_fp10</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_phase_shift_fp11" type="real"> + <ipxact:name>hp_actual_phase_shift_fp11</ipxact:name> + <ipxact:displayName>hp_actual_phase_shift_fp11</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_phase_shift_fp12" type="real"> + <ipxact:name>hp_actual_phase_shift_fp12</ipxact:name> + <ipxact:displayName>hp_actual_phase_shift_fp12</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_phase_shift_fp13" type="real"> + <ipxact:name>hp_actual_phase_shift_fp13</ipxact:name> + <ipxact:displayName>hp_actual_phase_shift_fp13</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_phase_shift_fp14" type="real"> + <ipxact:name>hp_actual_phase_shift_fp14</ipxact:name> + <ipxact:displayName>hp_actual_phase_shift_fp14</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_phase_shift_fp15" type="real"> + <ipxact:name>hp_actual_phase_shift_fp15</ipxact:name> + <ipxact:displayName>hp_actual_phase_shift_fp15</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_phase_shift_fp16" type="real"> + <ipxact:name>hp_actual_phase_shift_fp16</ipxact:name> + <ipxact:displayName>hp_actual_phase_shift_fp16</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_phase_shift_fp17" type="real"> + <ipxact:name>hp_actual_phase_shift_fp17</ipxact:name> + <ipxact:displayName>hp_actual_phase_shift_fp17</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_duty_cycle_fp0" type="real"> + <ipxact:name>hp_actual_duty_cycle_fp0</ipxact:name> + <ipxact:displayName>hp_actual_duty_cycle_fp0</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_duty_cycle_fp1" type="real"> + <ipxact:name>hp_actual_duty_cycle_fp1</ipxact:name> + <ipxact:displayName>hp_actual_duty_cycle_fp1</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_duty_cycle_fp2" type="real"> + <ipxact:name>hp_actual_duty_cycle_fp2</ipxact:name> + <ipxact:displayName>hp_actual_duty_cycle_fp2</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_duty_cycle_fp3" type="real"> + <ipxact:name>hp_actual_duty_cycle_fp3</ipxact:name> + <ipxact:displayName>hp_actual_duty_cycle_fp3</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_duty_cycle_fp4" type="real"> + <ipxact:name>hp_actual_duty_cycle_fp4</ipxact:name> + <ipxact:displayName>hp_actual_duty_cycle_fp4</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_duty_cycle_fp5" type="real"> + <ipxact:name>hp_actual_duty_cycle_fp5</ipxact:name> + <ipxact:displayName>hp_actual_duty_cycle_fp5</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_duty_cycle_fp6" type="real"> + <ipxact:name>hp_actual_duty_cycle_fp6</ipxact:name> + <ipxact:displayName>hp_actual_duty_cycle_fp6</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_duty_cycle_fp7" type="real"> + <ipxact:name>hp_actual_duty_cycle_fp7</ipxact:name> + <ipxact:displayName>hp_actual_duty_cycle_fp7</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_duty_cycle_fp8" type="real"> + <ipxact:name>hp_actual_duty_cycle_fp8</ipxact:name> + <ipxact:displayName>hp_actual_duty_cycle_fp8</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_duty_cycle_fp9" type="real"> + <ipxact:name>hp_actual_duty_cycle_fp9</ipxact:name> + <ipxact:displayName>hp_actual_duty_cycle_fp9</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_duty_cycle_fp10" type="real"> + <ipxact:name>hp_actual_duty_cycle_fp10</ipxact:name> + <ipxact:displayName>hp_actual_duty_cycle_fp10</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_duty_cycle_fp11" type="real"> + <ipxact:name>hp_actual_duty_cycle_fp11</ipxact:name> + <ipxact:displayName>hp_actual_duty_cycle_fp11</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_duty_cycle_fp12" type="real"> + <ipxact:name>hp_actual_duty_cycle_fp12</ipxact:name> + <ipxact:displayName>hp_actual_duty_cycle_fp12</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_duty_cycle_fp13" type="real"> + <ipxact:name>hp_actual_duty_cycle_fp13</ipxact:name> + <ipxact:displayName>hp_actual_duty_cycle_fp13</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_duty_cycle_fp14" type="real"> + <ipxact:name>hp_actual_duty_cycle_fp14</ipxact:name> + <ipxact:displayName>hp_actual_duty_cycle_fp14</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_duty_cycle_fp15" type="real"> + <ipxact:name>hp_actual_duty_cycle_fp15</ipxact:name> + <ipxact:displayName>hp_actual_duty_cycle_fp15</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_duty_cycle_fp16" type="real"> + <ipxact:name>hp_actual_duty_cycle_fp16</ipxact:name> + <ipxact:displayName>hp_actual_duty_cycle_fp16</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_duty_cycle_fp17" type="real"> + <ipxact:name>hp_actual_duty_cycle_fp17</ipxact:name> + <ipxact:displayName>hp_actual_duty_cycle_fp17</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_module_parameters> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="embeddedsw.dts.compatible" type="string"> + <ipxact:name>embeddedsw.dts.compatible</ipxact:name> + <ipxact:value>altr,pll</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="embeddedsw.dts.group" type="string"> + <ipxact:name>embeddedsw.dts.group</ipxact:name> + <ipxact:value>clock</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="embeddedsw.dts.vendor" type="string"> + <ipxact:name>embeddedsw.dts.vendor</ipxact:name> + <ipxact:value>altr</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + <altera:altera_system_parameters> + <ipxact:parameters> + <ipxact:parameter parameterId="device" type="string"> + <ipxact:name>device</ipxact:name> + <ipxact:displayName>Device</ipxact:displayName> + <ipxact:value>10AX115U3F45E2SG</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="deviceFamily" type="string"> + <ipxact:name>deviceFamily</ipxact:name> + <ipxact:displayName>Device family</ipxact:displayName> + <ipxact:value>Arria 10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="deviceSpeedGrade" type="string"> + <ipxact:name>deviceSpeedGrade</ipxact:name> + <ipxact:displayName>Device Speed Grade</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="generationId" type="int"> + <ipxact:name>generationId</ipxact:name> + <ipxact:displayName>Generation Id</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="bonusData" type="string"> + <ipxact:name>bonusData</ipxact:name> + <ipxact:displayName>bonusData</ipxact:displayName> + <ipxact:value>bonusData +{ + element iopll_0 + { + datum _sortIndex + { + value = "0"; + type = "int"; + } + } +} +</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hideFromIPCatalog" type="bit"> + <ipxact:name>hideFromIPCatalog</ipxact:name> + <ipxact:displayName>Hide from IP Catalog</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="lockedInterfaceDefinition" type="string"> + <ipxact:name>lockedInterfaceDefinition</ipxact:name> + <ipxact:displayName>lockedInterfaceDefinition</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="systemInfos" type="string"> + <ipxact:name>systemInfos</ipxact:name> + <ipxact:displayName>systemInfos</ipxact:displayName> + <ipxact:value><systemInfosDefinition> + <connPtSystemInfos> + <entry> + <key>outclk0</key> + <value> + <connectionPointName>outclk0</connectionPointName> + <suppliedSystemInfos/> + <consumedSystemInfos> + <entry> + <key>CLOCK_RATE</key> + <value>20000000</value> + </entry> + </consumedSystemInfos> + </value> + </entry> + <entry> + <key>outclk1</key> + <value> + <connectionPointName>outclk1</connectionPointName> + <suppliedSystemInfos/> + <consumedSystemInfos> + <entry> + <key>CLOCK_RATE</key> + <value>50000000</value> + </entry> + </consumedSystemInfos> + </value> + </entry> + <entry> + <key>outclk2</key> + <value> + <connectionPointName>outclk2</connectionPointName> + <suppliedSystemInfos/> + <consumedSystemInfos> + <entry> + <key>CLOCK_RATE</key> + <value>100000000</value> + </entry> + </consumedSystemInfos> + </value> + </entry> + <entry> + <key>outclk3</key> + <value> + <connectionPointName>outclk3</connectionPointName> + <suppliedSystemInfos/> + <consumedSystemInfos> + <entry> + <key>CLOCK_RATE</key> + <value>125000000</value> + </entry> + </consumedSystemInfos> + </value> + </entry> + </connPtSystemInfos> +</systemInfosDefinition></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_system_parameters> + <altera:altera_interface_boundary> + <altera:interface_mapping altera:name="locked" altera:internal="iopll_0.locked" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="locked" altera:internal="locked"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="outclk0" altera:internal="iopll_0.outclk0" altera:type="clock" altera:dir="start"> + <altera:port_mapping altera:name="outclk_0" altera:internal="outclk_0"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="outclk1" altera:internal="iopll_0.outclk1" altera:type="clock" altera:dir="start"> + <altera:port_mapping altera:name="outclk_1" altera:internal="outclk_1"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="outclk2" altera:internal="iopll_0.outclk2" altera:type="clock" altera:dir="start"> + <altera:port_mapping altera:name="outclk_2" altera:internal="outclk_2"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="outclk3" altera:internal="iopll_0.outclk3" altera:type="clock" altera:dir="start"> + <altera:port_mapping altera:name="outclk_3" altera:internal="outclk_3"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="refclk" altera:internal="iopll_0.refclk" altera:type="clock" altera:dir="end"> + <altera:port_mapping altera:name="refclk" altera:internal="refclk"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="reset" altera:internal="iopll_0.reset" altera:type="reset" altera:dir="end"> + <altera:port_mapping altera:name="rst" altera:internal="rst"></altera:port_mapping> + </altera:interface_mapping> + </altera:altera_interface_boundary> + <altera:altera_has_warnings>false</altera:altera_has_warnings> + <altera:altera_has_errors>false</altera:altera_has_errors> + </ipxact:vendorExtensions> +</ipxact:component> \ No newline at end of file diff --git a/libraries/technology/ip_arria10_e2sg/pll_clk25/ip_arria10_e2sg_pll_clk25.qsys b/libraries/technology/ip_arria10_e2sg/pll_clk25/ip_arria10_e2sg_pll_clk25.qsys deleted file mode 100644 index b9bf7c707c..0000000000 --- a/libraries/technology/ip_arria10_e2sg/pll_clk25/ip_arria10_e2sg_pll_clk25.qsys +++ /dev/null @@ -1,376 +0,0 @@ -<?xml version="1.0" encoding="UTF-8"?> -<system name="ip_arria10_e2sg_pll_clk25"> - <component - name="$${FILENAME}" - displayName="$${FILENAME}" - version="1.0" - description="" - tags="AUTHORSHIP=Intel Corporation /// INTERNAL_COMPONENT=true" - categories="System" - tool="QsysStandard" /> - <parameter name="bonusData"><![CDATA[bonusData -{ - element $system - { - } - element iopll_0 - { - datum _sortIndex - { - value = "0"; - type = "int"; - } - } -} -]]></parameter> - <parameter name="device" value="10AX115U3F45E2SG" /> - <parameter name="deviceFamily" value="Arria 10" /> - <parameter name="deviceSpeedGrade" value="2" /> - <parameter name="fabricMode" value="QSYS" /> - <parameter name="generateLegacySim" value="false" /> - <parameter name="generationId" value="0" /> - <parameter name="globalResetBus" value="false" /> - <parameter name="hdlLanguage" value="VERILOG" /> - <parameter name="hideFromIPCatalog" value="true" /> - <parameter name="lockedInterfaceDefinition" value="" /> - <parameter name="sopcBorderPoints" value="false" /> - <parameter name="systemHash" value="0" /> - <parameter name="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos> - <entry> - <key>outclk0</key> - <value> - <connectionPointName>outclk0</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>20000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>outclk1</key> - <value> - <connectionPointName>outclk1</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>50000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>outclk2</key> - <value> - <connectionPointName>outclk2</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>outclk3</key> - <value> - <connectionPointName>outclk3</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>125000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> -</systemInfosDefinition>]]></parameter> - <parameter name="systemScripts" value="" /> - <parameter name="testBenchDutName" value="" /> - <parameter name="timeStamp" value="0" /> - <parameter name="useTestBenchNamingPattern" value="false" /> - <instanceScript></instanceScript> - <interface name="locked" internal="iopll_0.locked" type="conduit" dir="end"> - <port name="locked" internal="locked" /> - </interface> - <interface name="outclk0" internal="iopll_0.outclk0" type="clock" dir="start"> - <port name="outclk_0" internal="outclk_0" /> - </interface> - <interface name="outclk1" internal="iopll_0.outclk1" type="clock" dir="start"> - <port name="outclk_1" internal="outclk_1" /> - </interface> - <interface name="outclk2" internal="iopll_0.outclk2" type="clock" dir="start"> - <port name="outclk_2" internal="outclk_2" /> - </interface> - <interface name="outclk3" internal="iopll_0.outclk3" type="clock" dir="start"> - <port name="outclk_3" internal="outclk_3" /> - </interface> - <interface name="refclk" internal="iopll_0.refclk" type="clock" dir="end"> - <port name="refclk" internal="refclk" /> - </interface> - <interface name="reset" internal="iopll_0.reset" type="reset" dir="end"> - <port name="rst" internal="rst" /> - </interface> - <module - name="iopll_0" - kind="altera_iopll" - version="19.3.0" - enabled="1" - autoexport="1"> - <parameter name="gui_active_clk" value="false" /> - <parameter name="gui_c_cnt_in_src0">c_m_cnt_in_src_ph_mux_clk</parameter> - <parameter name="gui_c_cnt_in_src1">c_m_cnt_in_src_ph_mux_clk</parameter> - <parameter name="gui_c_cnt_in_src2">c_m_cnt_in_src_ph_mux_clk</parameter> - <parameter name="gui_c_cnt_in_src3">c_m_cnt_in_src_ph_mux_clk</parameter> - <parameter name="gui_c_cnt_in_src4">c_m_cnt_in_src_ph_mux_clk</parameter> - <parameter name="gui_c_cnt_in_src5">c_m_cnt_in_src_ph_mux_clk</parameter> - <parameter name="gui_c_cnt_in_src6">c_m_cnt_in_src_ph_mux_clk</parameter> - <parameter name="gui_c_cnt_in_src7">c_m_cnt_in_src_ph_mux_clk</parameter> - <parameter name="gui_c_cnt_in_src8">c_m_cnt_in_src_ph_mux_clk</parameter> - <parameter name="gui_cal_code_hex_file" value="iossm.hex" /> - <parameter name="gui_cal_converge" value="false" /> - <parameter name="gui_cal_error" value="cal_clean" /> - <parameter name="gui_cascade_counter0" value="false" /> - <parameter name="gui_cascade_counter1" value="false" /> - <parameter name="gui_cascade_counter10" value="false" /> - <parameter name="gui_cascade_counter11" value="false" /> - <parameter name="gui_cascade_counter12" value="false" /> - <parameter name="gui_cascade_counter13" value="false" /> - <parameter name="gui_cascade_counter14" value="false" /> - <parameter name="gui_cascade_counter15" value="false" /> - <parameter name="gui_cascade_counter16" value="false" /> - <parameter name="gui_cascade_counter17" value="false" /> - <parameter name="gui_cascade_counter2" value="false" /> - <parameter name="gui_cascade_counter3" value="false" /> - <parameter name="gui_cascade_counter4" value="false" /> - <parameter name="gui_cascade_counter5" value="false" /> - <parameter name="gui_cascade_counter6" value="false" /> - <parameter name="gui_cascade_counter7" value="false" /> - <parameter name="gui_cascade_counter8" value="false" /> - <parameter name="gui_cascade_counter9" value="false" /> - <parameter name="gui_cascade_outclk_index" value="0" /> - <parameter name="gui_clk_bad" value="false" /> - <parameter name="gui_clock_name_global" value="false" /> - <parameter name="gui_clock_name_string0" value="pll_clk20" /> - <parameter name="gui_clock_name_string1" value="pll_clk50" /> - <parameter name="gui_clock_name_string10" value="outclk10" /> - <parameter name="gui_clock_name_string11" value="outclk11" /> - <parameter name="gui_clock_name_string12" value="outclk12" /> - <parameter name="gui_clock_name_string13" value="outclk13" /> - <parameter name="gui_clock_name_string14" value="outclk14" /> - <parameter name="gui_clock_name_string15" value="outclk15" /> - <parameter name="gui_clock_name_string16" value="outclk16" /> - <parameter name="gui_clock_name_string17" value="outclk17" /> - <parameter name="gui_clock_name_string2" value="pll_clk100" /> - <parameter name="gui_clock_name_string3" value="pll_clk125" /> - <parameter name="gui_clock_name_string4" value="outclk4" /> - <parameter name="gui_clock_name_string5" value="outclk5" /> - <parameter name="gui_clock_name_string6" value="outclk6" /> - <parameter name="gui_clock_name_string7" value="outclk7" /> - <parameter name="gui_clock_name_string8" value="outclk8" /> - <parameter name="gui_clock_name_string9" value="outclk9" /> - <parameter name="gui_clock_to_compensate" value="0" /> - <parameter name="gui_debug_mode" value="false" /> - <parameter name="gui_divide_factor_c0" value="6" /> - <parameter name="gui_divide_factor_c1" value="6" /> - <parameter name="gui_divide_factor_c10" value="6" /> - <parameter name="gui_divide_factor_c11" value="6" /> - <parameter name="gui_divide_factor_c12" value="6" /> - <parameter name="gui_divide_factor_c13" value="6" /> - <parameter name="gui_divide_factor_c14" value="6" /> - <parameter name="gui_divide_factor_c15" value="6" /> - <parameter name="gui_divide_factor_c16" value="6" /> - <parameter name="gui_divide_factor_c17" value="6" /> - <parameter name="gui_divide_factor_c2" value="6" /> - <parameter name="gui_divide_factor_c3" value="6" /> - <parameter name="gui_divide_factor_c4" value="6" /> - <parameter name="gui_divide_factor_c5" value="6" /> - <parameter name="gui_divide_factor_c6" value="6" /> - <parameter name="gui_divide_factor_c7" value="6" /> - <parameter name="gui_divide_factor_c8" value="6" /> - <parameter name="gui_divide_factor_c9" value="6" /> - <parameter name="gui_divide_factor_n" value="1" /> - <parameter name="gui_dps_cntr" value="C0" /> - <parameter name="gui_dps_dir" value="Positive" /> - <parameter name="gui_dps_num" value="1" /> - <parameter name="gui_dsm_out_sel" value="1st_order" /> - <parameter name="gui_duty_cycle0" value="50.0" /> - <parameter name="gui_duty_cycle1" value="50.0" /> - <parameter name="gui_duty_cycle10" value="50.0" /> - <parameter name="gui_duty_cycle11" value="50.0" /> - <parameter name="gui_duty_cycle12" value="50.0" /> - <parameter name="gui_duty_cycle13" value="50.0" /> - <parameter name="gui_duty_cycle14" value="50.0" /> - <parameter name="gui_duty_cycle15" value="50.0" /> - <parameter name="gui_duty_cycle16" value="50.0" /> - <parameter name="gui_duty_cycle17" value="50.0" /> - <parameter name="gui_duty_cycle2" value="50.0" /> - <parameter name="gui_duty_cycle3" value="50.0" /> - <parameter name="gui_duty_cycle4" value="50.0" /> - <parameter name="gui_duty_cycle5" value="50.0" /> - <parameter name="gui_duty_cycle6" value="50.0" /> - <parameter name="gui_duty_cycle7" value="50.0" /> - <parameter name="gui_duty_cycle8" value="50.0" /> - <parameter name="gui_duty_cycle9" value="50.0" /> - <parameter name="gui_en_adv_params" value="false" /> - <parameter name="gui_en_dps_ports" value="false" /> - <parameter name="gui_en_extclkout_ports" value="false" /> - <parameter name="gui_en_lvds_ports" value="Disabled" /> - <parameter name="gui_en_phout_ports" value="false" /> - <parameter name="gui_en_reconf" value="false" /> - <parameter name="gui_enable_cascade_in" value="false" /> - <parameter name="gui_enable_cascade_out" value="false" /> - <parameter name="gui_enable_mif_dps" value="false" /> - <parameter name="gui_enable_output_counter_cascading" value="false" /> - <parameter name="gui_enable_permit_cal" value="false" /> - <parameter name="gui_existing_mif_file_path" value="~/pll.mif" /> - <parameter name="gui_extclkout_0_source" value="C0" /> - <parameter name="gui_extclkout_1_source" value="C0" /> - <parameter name="gui_feedback_clock" value="Global Clock" /> - <parameter name="gui_fix_vco_frequency" value="false" /> - <parameter name="gui_fixed_vco_frequency" value="600.0" /> - <parameter name="gui_fixed_vco_frequency_ps" value="1667.0" /> - <parameter name="gui_frac_multiply_factor" value="1" /> - <parameter name="gui_fractional_cout" value="32" /> - <parameter name="gui_include_iossm" value="false" /> - <parameter name="gui_location_type" value="I/O Bank" /> - <parameter name="gui_lock_setting" value="Low Lock Time" /> - <parameter name="gui_mif_config_name" value="unnamed" /> - <parameter name="gui_mif_gen_options">Generate New MIF File</parameter> - <parameter name="gui_multiply_factor" value="6" /> - <parameter name="gui_new_mif_file_path" value="~/pll.mif" /> - <parameter name="gui_number_of_clocks" value="4" /> - <parameter name="gui_operation_mode" value="direct" /> - <parameter name="gui_output_clock_frequency0" value="20.0" /> - <parameter name="gui_output_clock_frequency1" value="50.0" /> - <parameter name="gui_output_clock_frequency10" value="100.0" /> - <parameter name="gui_output_clock_frequency11" value="100.0" /> - <parameter name="gui_output_clock_frequency12" value="100.0" /> - <parameter name="gui_output_clock_frequency13" value="100.0" /> - <parameter name="gui_output_clock_frequency14" value="100.0" /> - <parameter name="gui_output_clock_frequency15" value="100.0" /> - <parameter name="gui_output_clock_frequency16" value="100.0" /> - <parameter name="gui_output_clock_frequency17" value="100.0" /> - <parameter name="gui_output_clock_frequency2" value="100.0" /> - <parameter name="gui_output_clock_frequency3" value="125.0" /> - <parameter name="gui_output_clock_frequency4" value="100.0" /> - <parameter name="gui_output_clock_frequency5" value="100.0" /> - <parameter name="gui_output_clock_frequency6" value="100.0" /> - <parameter name="gui_output_clock_frequency7" value="100.0" /> - <parameter name="gui_output_clock_frequency8" value="100.0" /> - <parameter name="gui_output_clock_frequency9" value="100.0" /> - <parameter name="gui_output_clock_frequency_ps0" value="10000.0" /> - <parameter name="gui_output_clock_frequency_ps1" value="10000.0" /> - <parameter name="gui_output_clock_frequency_ps10" value="10000.0" /> - <parameter name="gui_output_clock_frequency_ps11" value="10000.0" /> - <parameter name="gui_output_clock_frequency_ps12" value="10000.0" /> - <parameter name="gui_output_clock_frequency_ps13" value="10000.0" /> - <parameter name="gui_output_clock_frequency_ps14" value="10000.0" /> - <parameter name="gui_output_clock_frequency_ps15" value="10000.0" /> - <parameter name="gui_output_clock_frequency_ps16" value="10000.0" /> - <parameter name="gui_output_clock_frequency_ps17" value="10000.0" /> - <parameter name="gui_output_clock_frequency_ps2" value="10000.0" /> - <parameter name="gui_output_clock_frequency_ps3" value="10000.0" /> - <parameter name="gui_output_clock_frequency_ps4" value="10000.0" /> - <parameter name="gui_output_clock_frequency_ps5" value="10000.0" /> - <parameter name="gui_output_clock_frequency_ps6" value="10000.0" /> - <parameter name="gui_output_clock_frequency_ps7" value="10000.0" /> - <parameter name="gui_output_clock_frequency_ps8" value="10000.0" /> - <parameter name="gui_output_clock_frequency_ps9" value="10000.0" /> - <parameter name="gui_parameter_table_hex_file" value="seq_params_sim.hex" /> - <parameter name="gui_phase_shift0" value="0.0" /> - <parameter name="gui_phase_shift1" value="0.0" /> - <parameter name="gui_phase_shift10" value="0.0" /> - <parameter name="gui_phase_shift11" value="0.0" /> - <parameter name="gui_phase_shift12" value="0.0" /> - <parameter name="gui_phase_shift13" value="0.0" /> - <parameter name="gui_phase_shift14" value="0.0" /> - <parameter name="gui_phase_shift15" value="0.0" /> - <parameter name="gui_phase_shift16" value="0.0" /> - <parameter name="gui_phase_shift17" value="0.0" /> - <parameter name="gui_phase_shift2" value="0.0" /> - <parameter name="gui_phase_shift3" value="0.0" /> - <parameter name="gui_phase_shift4" value="0.0" /> - <parameter name="gui_phase_shift5" value="0.0" /> - <parameter name="gui_phase_shift6" value="0.0" /> - <parameter name="gui_phase_shift7" value="0.0" /> - <parameter name="gui_phase_shift8" value="0.0" /> - <parameter name="gui_phase_shift9" value="0.0" /> - <parameter name="gui_phase_shift_deg0" value="0.0" /> - <parameter name="gui_phase_shift_deg1" value="0.0" /> - <parameter name="gui_phase_shift_deg10" value="0.0" /> - <parameter name="gui_phase_shift_deg11" value="0.0" /> - <parameter name="gui_phase_shift_deg12" value="0.0" /> - <parameter name="gui_phase_shift_deg13" value="0.0" /> - <parameter name="gui_phase_shift_deg14" value="0.0" /> - <parameter name="gui_phase_shift_deg15" value="0.0" /> - <parameter name="gui_phase_shift_deg16" value="0.0" /> - <parameter name="gui_phase_shift_deg17" value="0.0" /> - <parameter name="gui_phase_shift_deg2" value="0.0" /> - <parameter name="gui_phase_shift_deg3" value="0.0" /> - <parameter name="gui_phase_shift_deg4" value="0.0" /> - <parameter name="gui_phase_shift_deg5" value="0.0" /> - <parameter name="gui_phase_shift_deg6" value="0.0" /> - <parameter name="gui_phase_shift_deg7" value="0.0" /> - <parameter name="gui_phase_shift_deg8" value="0.0" /> - <parameter name="gui_phase_shift_deg9" value="0.0" /> - <parameter name="gui_phout_division" value="1" /> - <parameter name="gui_pll_auto_reset" value="false" /> - <parameter name="gui_pll_bandwidth_preset" value="Low" /> - <parameter name="gui_pll_cal_done" value="false" /> - <parameter name="gui_pll_cascading_mode" value="adjpllin" /> - <parameter name="gui_pll_freqcal_en" value="true" /> - <parameter name="gui_pll_freqcal_req_flag" value="true" /> - <parameter name="gui_pll_m_cnt_in_src">c_m_cnt_in_src_ph_mux_clk</parameter> - <parameter name="gui_pll_mode" value="Integer-N PLL" /> - <parameter name="gui_pll_tclk_mux_en" value="false" /> - <parameter name="gui_pll_tclk_sel" value="pll_tclk_m_src" /> - <parameter name="gui_pll_type" value="S10_Simple" /> - <parameter name="gui_pll_vco_freq_band_0">pll_freq_clk0_disabled</parameter> - <parameter name="gui_pll_vco_freq_band_1">pll_freq_clk1_disabled</parameter> - <parameter name="gui_prot_mode" value="UNUSED" /> - <parameter name="gui_ps_units0" value="ps" /> - <parameter name="gui_ps_units1" value="ps" /> - <parameter name="gui_ps_units10" value="ps" /> - <parameter name="gui_ps_units11" value="ps" /> - <parameter name="gui_ps_units12" value="ps" /> - <parameter name="gui_ps_units13" value="ps" /> - <parameter name="gui_ps_units14" value="ps" /> - <parameter name="gui_ps_units15" value="ps" /> - <parameter name="gui_ps_units16" value="ps" /> - <parameter name="gui_ps_units17" value="ps" /> - <parameter name="gui_ps_units2" value="ps" /> - <parameter name="gui_ps_units3" value="ps" /> - <parameter name="gui_ps_units4" value="ps" /> - <parameter name="gui_ps_units5" value="ps" /> - <parameter name="gui_ps_units6" value="ps" /> - <parameter name="gui_ps_units7" value="ps" /> - <parameter name="gui_ps_units8" value="ps" /> - <parameter name="gui_ps_units9" value="ps" /> - <parameter name="gui_refclk1_frequency" value="100.0" /> - <parameter name="gui_refclk_might_change" value="false" /> - <parameter name="gui_refclk_switch" value="false" /> - <parameter name="gui_reference_clock_frequency" value="25.0" /> - <parameter name="gui_reference_clock_frequency_ps" value="10000.0" /> - <parameter name="gui_skip_sdc_generation" value="false" /> - <parameter name="gui_switchover_delay" value="0" /> - <parameter name="gui_switchover_mode">Automatic Switchover</parameter> - <parameter name="gui_use_NDFB_modes" value="false" /> - <parameter name="gui_use_coreclk" value="false" /> - <parameter name="gui_use_locked" value="true" /> - <parameter name="gui_use_logical" value="false" /> - <parameter name="gui_usr_device_speed_grade" value="1" /> - <parameter name="gui_vco_frequency" value="600.0" /> - <parameter name="hp_qsys_scripting_mode" value="false" /> - <parameter name="system_info_device_component" value="10AX115U3F45E2SG" /> - <parameter name="system_info_device_family" value="Arria 10" /> - <parameter name="system_info_device_speed_grade" value="2" /> - <parameter name="system_part_trait_speed_grade" value="2" /> - </module> -</system> diff --git a/libraries/technology/ip_arria10_e2sg/pll_xgmii_mac_clocks/hdllib.cfg b/libraries/technology/ip_arria10_e2sg/pll_xgmii_mac_clocks/hdllib.cfg index c5c174a331..3a29b1f271 100644 --- a/libraries/technology/ip_arria10_e2sg/pll_xgmii_mac_clocks/hdllib.cfg +++ b/libraries/technology/ip_arria10_e2sg/pll_xgmii_mac_clocks/hdllib.cfg @@ -20,5 +20,5 @@ quartus_qip_files = [generate_ip_libs] qsys-generate_ip_files = - ip_arria10_e2sg_pll_xgmii_mac_clocks.qsys + ip_arria10_e2sg_pll_xgmii_mac_clocks.ip diff --git a/libraries/technology/ip_arria10_e2sg/pll_xgmii_mac_clocks/ip_arria10_e2sg_pll_xgmii_mac_clocks.ip b/libraries/technology/ip_arria10_e2sg/pll_xgmii_mac_clocks/ip_arria10_e2sg_pll_xgmii_mac_clocks.ip new file mode 100644 index 0000000000..a3c0733bc5 --- /dev/null +++ b/libraries/technology/ip_arria10_e2sg/pll_xgmii_mac_clocks/ip_arria10_e2sg_pll_xgmii_mac_clocks.ip @@ -0,0 +1,3402 @@ +<?xml version="1.0" ?> +<ipxact:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact2014/extensions" xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"> + <ipxact:vendor>Intel Corporation</ipxact:vendor> + <ipxact:library>ip_arria10_e2sg_pll_xgmii_mac_clocks</ipxact:library> + <ipxact:name>xcvr_fpll_a10_0</ipxact:name> + <ipxact:version>19.1</ipxact:version> + <ipxact:busInterfaces> + <ipxact:busInterface> + <ipxact:name>pll_refclk0</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="clock" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>pll_refclk0</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="clockRate" type="longint"> + <ipxact:name>clockRate</ipxact:name> + <ipxact:displayName>Clock rate</ipxact:displayName> + <ipxact:value>644531250</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="externallyDriven" type="bit"> + <ipxact:name>externallyDriven</ipxact:name> + <ipxact:displayName>Externally driven</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ptfSchematicName" type="string"> + <ipxact:name>ptfSchematicName</ipxact:name> + <ipxact:displayName>PTF schematic name</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>pll_powerdown</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>pll_powerdown</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>pll_powerdown</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>pll_locked</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>pll_locked</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>pll_locked</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>outclk0</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="clock" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>outclk0</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:master></ipxact:master> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedDirectClock" type="string"> + <ipxact:name>associatedDirectClock</ipxact:name> + <ipxact:displayName>Associated direct clock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clockRate" type="longint"> + <ipxact:name>clockRate</ipxact:name> + <ipxact:displayName>Clock rate</ipxact:displayName> + <ipxact:value>100000000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clockRateKnown" type="bit"> + <ipxact:name>clockRateKnown</ipxact:name> + <ipxact:displayName>Clock rate known</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="externallyDriven" type="bit"> + <ipxact:name>externallyDriven</ipxact:name> + <ipxact:displayName>Externally driven</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ptfSchematicName" type="string"> + <ipxact:name>ptfSchematicName</ipxact:name> + <ipxact:displayName>PTF schematic name</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>outclk1</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="clock" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>outclk1</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:master></ipxact:master> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedDirectClock" type="string"> + <ipxact:name>associatedDirectClock</ipxact:name> + <ipxact:displayName>Associated direct clock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clockRate" type="longint"> + <ipxact:name>clockRate</ipxact:name> + <ipxact:displayName>Clock rate</ipxact:displayName> + <ipxact:value>100000000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clockRateKnown" type="bit"> + <ipxact:name>clockRateKnown</ipxact:name> + <ipxact:displayName>Clock rate known</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="externallyDriven" type="bit"> + <ipxact:name>externallyDriven</ipxact:name> + <ipxact:displayName>Externally driven</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ptfSchematicName" type="string"> + <ipxact:name>ptfSchematicName</ipxact:name> + <ipxact:displayName>PTF schematic name</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>pll_cal_busy</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>pll_cal_busy</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>pll_cal_busy</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + </ipxact:busInterfaces> + <ipxact:model> + <ipxact:views> + <ipxact:view> + <ipxact:name>QUARTUS_SYNTH</ipxact:name> + <ipxact:envIdentifier>:quartus.altera.com:</ipxact:envIdentifier> + <ipxact:componentInstantiationRef>QUARTUS_SYNTH</ipxact:componentInstantiationRef> + </ipxact:view> + </ipxact:views> + <ipxact:instantiations> + <ipxact:componentInstantiation> + <ipxact:name>QUARTUS_SYNTH</ipxact:name> + <ipxact:moduleName>altera_xcvr_fpll_a10</ipxact:moduleName> + <ipxact:fileSetRef> + <ipxact:localName>QUARTUS_SYNTH</ipxact:localName> + </ipxact:fileSetRef> + </ipxact:componentInstantiation> + </ipxact:instantiations> + <ipxact:ports> + <ipxact:port> + <ipxact:name>pll_refclk0</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>pll_powerdown</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>pll_locked</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>outclk0</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>outclk1</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>pll_cal_busy</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + </ipxact:ports> + </ipxact:model> + <ipxact:vendorExtensions> + <altera:entity_info> + <ipxact:vendor>Intel Corporation</ipxact:vendor> + <ipxact:library>ip_arria10_e2sg_pll_xgmii_mac_clocks</ipxact:library> + <ipxact:name>altera_xcvr_fpll_a10</ipxact:name> + <ipxact:version>19.1</ipxact:version> + </altera:entity_info> + <altera:altera_module_parameters> + <ipxact:parameters> + <ipxact:parameter parameterId="rcfg_debug" type="int"> + <ipxact:name>rcfg_debug</ipxact:name> + <ipxact:displayName>rcfg_debug</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_pll_reconfig" type="int"> + <ipxact:name>enable_pll_reconfig</ipxact:name> + <ipxact:displayName>Enable dynamic reconfiguration</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_advanced_avmm_options" type="int"> + <ipxact:name>enable_advanced_avmm_options</ipxact:name> + <ipxact:displayName>enable_advanced_avmm_options</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_jtag_enable" type="int"> + <ipxact:name>rcfg_jtag_enable</ipxact:name> + <ipxact:displayName>Enable Native PHY Debug Master Endpoint</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_separate_avmm_busy" type="int"> + <ipxact:name>rcfg_separate_avmm_busy</ipxact:name> + <ipxact:displayName>Separate reconfig_waitrequest from the status of AVMM arbitration with PreSICE</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_enable_avmm_busy_port" type="int"> + <ipxact:name>rcfg_enable_avmm_busy_port</ipxact:name> + <ipxact:displayName>Enable avmm_busy port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_capability_reg_enable" type="int"> + <ipxact:name>set_capability_reg_enable</ipxact:name> + <ipxact:displayName>Enable capability registers</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_user_identifier" type="int"> + <ipxact:name>set_user_identifier</ipxact:name> + <ipxact:displayName>Set user-defined IP identifier</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_csr_soft_logic_enable" type="int"> + <ipxact:name>set_csr_soft_logic_enable</ipxact:name> + <ipxact:displayName>Enable control and status registers</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="dbg_embedded_debug_enable" type="int"> + <ipxact:name>dbg_embedded_debug_enable</ipxact:name> + <ipxact:displayName>dbg_embedded_debug_enable</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="dbg_capability_reg_enable" type="int"> + <ipxact:name>dbg_capability_reg_enable</ipxact:name> + <ipxact:displayName>dbg_capability_reg_enable</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="dbg_user_identifier" type="int"> + <ipxact:name>dbg_user_identifier</ipxact:name> + <ipxact:displayName>dbg_user_identifier</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="dbg_stat_soft_logic_enable" type="int"> + <ipxact:name>dbg_stat_soft_logic_enable</ipxact:name> + <ipxact:displayName>dbg_stat_soft_logic_enable</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="dbg_ctrl_soft_logic_enable" type="int"> + <ipxact:name>dbg_ctrl_soft_logic_enable</ipxact:name> + <ipxact:displayName>dbg_ctrl_soft_logic_enable</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_file_prefix" type="string"> + <ipxact:name>rcfg_file_prefix</ipxact:name> + <ipxact:displayName>Configuration file prefix</ipxact:displayName> + <ipxact:value>altera_xcvr_fpll_a10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_sv_file_enable" type="int"> + <ipxact:name>rcfg_sv_file_enable</ipxact:name> + <ipxact:displayName>Generate SystemVerilog package file</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_h_file_enable" type="int"> + <ipxact:name>rcfg_h_file_enable</ipxact:name> + <ipxact:displayName>Generate C header file</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_txt_file_enable" type="int"> + <ipxact:name>rcfg_txt_file_enable</ipxact:name> + <ipxact:displayName>Generate text file</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_mif_file_enable" type="int"> + <ipxact:name>rcfg_mif_file_enable</ipxact:name> + <ipxact:displayName>Generate MIF (Memory Initialize File)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="system_info_device_family" type="string"> + <ipxact:name>system_info_device_family</ipxact:name> + <ipxact:displayName>Device Family</ipxact:displayName> + <ipxact:value>Arria 10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_silicon_rev" type="string"> + <ipxact:name>cmu_fpll_silicon_rev</ipxact:name> + <ipxact:displayName>cmu_fpll_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_bandwidth_range_high" type="string"> + <ipxact:name>cmu_fpll_bandwidth_range_high</ipxact:name> + <ipxact:displayName>cmu_fpll_bandwidth_range_high</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_bandwidth_range_low" type="string"> + <ipxact:name>cmu_fpll_bandwidth_range_low</ipxact:name> + <ipxact:displayName>cmu_fpll_bandwidth_range_low</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_is_otn" type="string"> + <ipxact:name>cmu_fpll_is_otn</ipxact:name> + <ipxact:displayName>cmu_fpll_is_otn</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_is_sdi" type="string"> + <ipxact:name>cmu_fpll_is_sdi</ipxact:name> + <ipxact:displayName>cmu_fpll_is_sdi</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_bonding" type="string"> + <ipxact:name>cmu_fpll_bonding</ipxact:name> + <ipxact:displayName>cmu_fpll_bonding</ipxact:displayName> + <ipxact:value>pll_bonding</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_f_max_band_0" type="string"> + <ipxact:name>cmu_fpll_f_max_band_0</ipxact:name> + <ipxact:displayName>cmu_fpll_f_max_band_0</ipxact:displayName> + <ipxact:value>3861860000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_f_max_band_1" type="string"> + <ipxact:name>cmu_fpll_f_max_band_1</ipxact:name> + <ipxact:displayName>cmu_fpll_f_max_band_1</ipxact:displayName> + <ipxact:value>4287223000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_f_max_band_2" type="string"> + <ipxact:name>cmu_fpll_f_max_band_2</ipxact:name> + <ipxact:displayName>cmu_fpll_f_max_band_2</ipxact:displayName> + <ipxact:value>4688476000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_f_max_band_3" type="string"> + <ipxact:name>cmu_fpll_f_max_band_3</ipxact:name> + <ipxact:displayName>cmu_fpll_f_max_band_3</ipxact:displayName> + <ipxact:value>5072700000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_f_max_band_4" type="string"> + <ipxact:name>cmu_fpll_f_max_band_4</ipxact:name> + <ipxact:displayName>cmu_fpll_f_max_band_4</ipxact:displayName> + <ipxact:value>5423191000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_f_max_band_5" type="string"> + <ipxact:name>cmu_fpll_f_max_band_5</ipxact:name> + <ipxact:displayName>cmu_fpll_f_max_band_5</ipxact:displayName> + <ipxact:value>5762211000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_f_max_band_6" type="string"> + <ipxact:name>cmu_fpll_f_max_band_6</ipxact:name> + <ipxact:displayName>cmu_fpll_f_max_band_6</ipxact:displayName> + <ipxact:value>6075045000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_f_max_band_7" type="string"> + <ipxact:name>cmu_fpll_f_max_band_7</ipxact:name> + <ipxact:displayName>cmu_fpll_f_max_band_7</ipxact:displayName> + <ipxact:value>6374148000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_f_max_band_8" type="string"> + <ipxact:name>cmu_fpll_f_max_band_8</ipxact:name> + <ipxact:displayName>cmu_fpll_f_max_band_8</ipxact:displayName> + <ipxact:value>14025000000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_f_max_pfd" type="string"> + <ipxact:name>cmu_fpll_f_max_pfd</ipxact:name> + <ipxact:displayName>cmu_fpll_f_max_pfd</ipxact:displayName> + <ipxact:value>160000000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_f_max_vco" type="string"> + <ipxact:name>cmu_fpll_f_max_vco</ipxact:name> + <ipxact:displayName>cmu_fpll_f_max_vco</ipxact:displayName> + <ipxact:value>14150000000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_f_min_band_0" type="string"> + <ipxact:name>cmu_fpll_f_min_band_0</ipxact:name> + <ipxact:displayName>cmu_fpll_f_min_band_0</ipxact:displayName> + <ipxact:value>7000000000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_f_min_band_1" type="string"> + <ipxact:name>cmu_fpll_f_min_band_1</ipxact:name> + <ipxact:displayName>cmu_fpll_f_min_band_1</ipxact:displayName> + <ipxact:value>3861860000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_f_min_band_2" type="string"> + <ipxact:name>cmu_fpll_f_min_band_2</ipxact:name> + <ipxact:displayName>cmu_fpll_f_min_band_2</ipxact:displayName> + <ipxact:value>4287223000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_f_min_band_3" type="string"> + <ipxact:name>cmu_fpll_f_min_band_3</ipxact:name> + <ipxact:displayName>cmu_fpll_f_min_band_3</ipxact:displayName> + <ipxact:value>4688476000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_f_min_band_4" type="string"> + <ipxact:name>cmu_fpll_f_min_band_4</ipxact:name> + <ipxact:displayName>cmu_fpll_f_min_band_4</ipxact:displayName> + <ipxact:value>5072700000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_f_min_band_5" type="string"> + <ipxact:name>cmu_fpll_f_min_band_5</ipxact:name> + <ipxact:displayName>cmu_fpll_f_min_band_5</ipxact:displayName> + <ipxact:value>5423191000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_f_min_band_6" type="string"> + <ipxact:name>cmu_fpll_f_min_band_6</ipxact:name> + <ipxact:displayName>cmu_fpll_f_min_band_6</ipxact:displayName> + <ipxact:value>5762211000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_f_min_band_7" type="string"> + <ipxact:name>cmu_fpll_f_min_band_7</ipxact:name> + <ipxact:displayName>cmu_fpll_f_min_band_7</ipxact:displayName> + <ipxact:value>6075045000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_f_min_band_8" type="string"> + <ipxact:name>cmu_fpll_f_min_band_8</ipxact:name> + <ipxact:displayName>cmu_fpll_f_min_band_8</ipxact:displayName> + <ipxact:value>6374148000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_f_min_pfd" type="string"> + <ipxact:name>cmu_fpll_f_min_pfd</ipxact:name> + <ipxact:displayName>cmu_fpll_f_min_pfd</ipxact:displayName> + <ipxact:value>25000000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_f_min_vco" type="string"> + <ipxact:name>cmu_fpll_f_min_vco</ipxact:name> + <ipxact:displayName>cmu_fpll_f_min_vco</ipxact:displayName> + <ipxact:value>6000000000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_feedback" type="string"> + <ipxact:name>cmu_fpll_feedback</ipxact:name> + <ipxact:displayName>cmu_fpll_feedback</ipxact:displayName> + <ipxact:value>normal</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_fpll_cas_out_enable" type="string"> + <ipxact:name>cmu_fpll_fpll_cas_out_enable</ipxact:name> + <ipxact:displayName>Dedicated FPLL to FPLL cascade out</ipxact:displayName> + <ipxact:value>fpll_cas_out_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_fpll_hclk_out_enable" type="string"> + <ipxact:name>cmu_fpll_fpll_hclk_out_enable</ipxact:name> + <ipxact:displayName>cmu_fpll_fpll_hclk_out_enable</ipxact:displayName> + <ipxact:value>fpll_hclk_out_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_fpll_iqtxrxclk_out_enable" type="string"> + <ipxact:name>cmu_fpll_fpll_iqtxrxclk_out_enable</ipxact:name> + <ipxact:displayName>cmu_fpll_fpll_iqtxrxclk_out_enable</ipxact:displayName> + <ipxact:value>fpll_iqtxrxclk_out_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_l_counter" type="int"> + <ipxact:name>cmu_fpll_l_counter</ipxact:name> + <ipxact:displayName>cmu_fpll_l_counter</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_m_counter" type="int"> + <ipxact:name>cmu_fpll_m_counter</ipxact:name> + <ipxact:displayName>cmu_fpll_m_counter</ipxact:displayName> + <ipxact:value>64</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_n_counter" type="int"> + <ipxact:name>cmu_fpll_n_counter</ipxact:name> + <ipxact:displayName>cmu_fpll_n_counter</ipxact:displayName> + <ipxact:value>11</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_out_freq_hz" type="string"> + <ipxact:name>cmu_fpll_out_freq_hz</ipxact:name> + <ipxact:displayName>cmu_fpll_out_freq_hz</ipxact:displayName> + <ipxact:value>0 hz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_out_freq" type="string"> + <ipxact:name>cmu_fpll_out_freq</ipxact:name> + <ipxact:displayName>cmu_fpll_out_freq</ipxact:displayName> + <ipxact:value>000000000000000000000000000000000000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_vco_freq_band_0" type="string"> + <ipxact:name>cmu_fpll_pll_vco_freq_band_0</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_vco_freq_band_0</ipxact:displayName> + <ipxact:value>pll_freq_band0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_vco_freq_band_1" type="string"> + <ipxact:name>cmu_fpll_pll_vco_freq_band_1</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_vco_freq_band_1</ipxact:displayName> + <ipxact:value>pll_freq_band0_1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_primary_use" type="string"> + <ipxact:name>cmu_fpll_primary_use</ipxact:name> + <ipxact:displayName>cmu_fpll_primary_use</ipxact:displayName> + <ipxact:value>core</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_prot_mode" type="string"> + <ipxact:name>cmu_fpll_prot_mode</ipxact:name> + <ipxact:displayName>cmu_fpll_prot_mode</ipxact:displayName> + <ipxact:value>basic_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_reference_clock_frequency_scratch" type="string"> + <ipxact:name>cmu_fpll_reference_clock_frequency_scratch</ipxact:name> + <ipxact:displayName>Reference clock frequency</ipxact:displayName> + <ipxact:value>644531250</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_side" type="string"> + <ipxact:name>cmu_fpll_side</ipxact:name> + <ipxact:displayName>cmu_fpll_side</ipxact:displayName> + <ipxact:value>side_unknown</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_top_or_bottom" type="string"> + <ipxact:name>cmu_fpll_top_or_bottom</ipxact:name> + <ipxact:displayName>cmu_fpll_top_or_bottom</ipxact:displayName> + <ipxact:value>tb_unknown</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_vco_freq_hz" type="string"> + <ipxact:name>cmu_fpll_vco_freq_hz</ipxact:name> + <ipxact:displayName>cmu_fpll_vco_freq_hz</ipxact:displayName> + <ipxact:value>7500000000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_vco_freq" type="string"> + <ipxact:name>cmu_fpll_vco_freq</ipxact:name> + <ipxact:displayName>cmu_fpll_vco_freq</ipxact:displayName> + <ipxact:value>000110111111000010001110101100000000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_bw_mode" type="string"> + <ipxact:name>cmu_fpll_pll_bw_mode</ipxact:name> + <ipxact:displayName>Bandwidth</ipxact:displayName> + <ipxact:value>low_bw</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_datarate" type="string"> + <ipxact:name>cmu_fpll_datarate</ipxact:name> + <ipxact:displayName>cmu_fpll_datarate</ipxact:displayName> + <ipxact:value>0 Mbps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_device_variant" type="string"> + <ipxact:name>cmu_fpll_pll_device_variant</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_device_variant</ipxact:displayName> + <ipxact:value>device1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_cal_status" type="string"> + <ipxact:name>cmu_fpll_pll_cal_status</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_cal_status</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_calibration" type="string"> + <ipxact:name>cmu_fpll_pll_calibration</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_calibration</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_cmu_rstn_value" type="string"> + <ipxact:name>cmu_fpll_pll_cmu_rstn_value</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_cmu_rstn_value</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_lpf_rstn_value" type="string"> + <ipxact:name>cmu_fpll_pll_lpf_rstn_value</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_lpf_rstn_value</ipxact:displayName> + <ipxact:value>lpf_normal</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_ppm_clk0_src" type="string"> + <ipxact:name>cmu_fpll_pll_ppm_clk0_src</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_ppm_clk0_src</ipxact:displayName> + <ipxact:value>ppm_clk0_vss</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_ppm_clk1_src" type="string"> + <ipxact:name>cmu_fpll_pll_ppm_clk1_src</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_ppm_clk1_src</ipxact:displayName> + <ipxact:value>ppm_clk1_vss</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_rstn_override" type="string"> + <ipxact:name>cmu_fpll_pll_rstn_override</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_rstn_override</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_op_mode" type="string"> + <ipxact:name>cmu_fpll_pll_op_mode</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_op_mode</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_optimal" type="string"> + <ipxact:name>cmu_fpll_pll_optimal</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_optimal</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_is_pa_core" type="string"> + <ipxact:name>cmu_fpll_is_pa_core</ipxact:name> + <ipxact:displayName>cmu_fpll_is_pa_core</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_power_mode" type="string"> + <ipxact:name>cmu_fpll_power_mode</ipxact:name> + <ipxact:displayName>cmu_fpll_power_mode</ipxact:displayName> + <ipxact:value>low_power</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_power_rail_et" type="int"> + <ipxact:name>cmu_fpll_power_rail_et</ipxact:name> + <ipxact:displayName>cmu_fpll_power_rail_et</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_powerdown_mode" type="string"> + <ipxact:name>cmu_fpll_pll_powerdown_mode</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_powerdown_mode</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pm_speed_grade" type="string"> + <ipxact:name>cmu_fpll_pm_speed_grade</ipxact:name> + <ipxact:displayName>cmu_fpll_pm_speed_grade</ipxact:displayName> + <ipxact:value>e2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_sup_mode" type="string"> + <ipxact:name>cmu_fpll_pll_sup_mode</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_c0_pllcout_enable" type="string"> + <ipxact:name>cmu_fpll_pll_c0_pllcout_enable</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_c0_pllcout_enable</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_c_counter_0" type="int"> + <ipxact:name>cmu_fpll_pll_c_counter_0</ipxact:name> + <ipxact:displayName>C-counter-0</ipxact:displayName> + <ipxact:value>12</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_c_counter_0_min_tco_enable" type="string"> + <ipxact:name>cmu_fpll_pll_c_counter_0_min_tco_enable</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_c_counter_0_min_tco_enable</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_c_counter_0_in_src" type="string"> + <ipxact:name>cmu_fpll_pll_c_counter_0_in_src</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_c_counter_0_in_src</ipxact:displayName> + <ipxact:value>m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_c_counter_0_ph_mux_prst" type="int"> + <ipxact:name>cmu_fpll_pll_c_counter_0_ph_mux_prst</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_c_counter_0_ph_mux_prst</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_c_counter_0_prst" type="int"> + <ipxact:name>cmu_fpll_pll_c_counter_0_prst</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_c_counter_0_prst</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_c_counter_0_coarse_dly" type="string"> + <ipxact:name>cmu_fpll_pll_c_counter_0_coarse_dly</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_c_counter_0_coarse_dly</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_c_counter_0_fine_dly" type="string"> + <ipxact:name>cmu_fpll_pll_c_counter_0_fine_dly</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_c_counter_0_fine_dly</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_c1_pllcout_enable" type="string"> + <ipxact:name>cmu_fpll_pll_c1_pllcout_enable</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_c1_pllcout_enable</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_c_counter_1" type="int"> + <ipxact:name>cmu_fpll_pll_c_counter_1</ipxact:name> + <ipxact:displayName>C-counter-1</ipxact:displayName> + <ipxact:value>6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_c_counter_1_min_tco_enable" type="string"> + <ipxact:name>cmu_fpll_pll_c_counter_1_min_tco_enable</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_c_counter_1_min_tco_enable</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_c_counter_1_in_src" type="string"> + <ipxact:name>cmu_fpll_pll_c_counter_1_in_src</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_c_counter_1_in_src</ipxact:displayName> + <ipxact:value>m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_c_counter_1_ph_mux_prst" type="int"> + <ipxact:name>cmu_fpll_pll_c_counter_1_ph_mux_prst</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_c_counter_1_ph_mux_prst</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_c_counter_1_prst" type="int"> + <ipxact:name>cmu_fpll_pll_c_counter_1_prst</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_c_counter_1_prst</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_c_counter_1_coarse_dly" type="string"> + <ipxact:name>cmu_fpll_pll_c_counter_1_coarse_dly</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_c_counter_1_coarse_dly</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_c_counter_1_fine_dly" type="string"> + <ipxact:name>cmu_fpll_pll_c_counter_1_fine_dly</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_c_counter_1_fine_dly</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_c2_pllcout_enable" type="string"> + <ipxact:name>cmu_fpll_pll_c2_pllcout_enable</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_c2_pllcout_enable</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_c_counter_2" type="int"> + <ipxact:name>cmu_fpll_pll_c_counter_2</ipxact:name> + <ipxact:displayName>C-counter-2</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_c_counter_2_min_tco_enable" type="string"> + <ipxact:name>cmu_fpll_pll_c_counter_2_min_tco_enable</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_c_counter_2_min_tco_enable</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_c_counter_2_in_src" type="string"> + <ipxact:name>cmu_fpll_pll_c_counter_2_in_src</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_c_counter_2_in_src</ipxact:displayName> + <ipxact:value>m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_c_counter_2_ph_mux_prst" type="int"> + <ipxact:name>cmu_fpll_pll_c_counter_2_ph_mux_prst</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_c_counter_2_ph_mux_prst</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_c_counter_2_prst" type="int"> + <ipxact:name>cmu_fpll_pll_c_counter_2_prst</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_c_counter_2_prst</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_c_counter_2_coarse_dly" type="string"> + <ipxact:name>cmu_fpll_pll_c_counter_2_coarse_dly</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_c_counter_2_coarse_dly</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_c_counter_2_fine_dly" type="string"> + <ipxact:name>cmu_fpll_pll_c_counter_2_fine_dly</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_c_counter_2_fine_dly</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_c3_pllcout_enable" type="string"> + <ipxact:name>cmu_fpll_pll_c3_pllcout_enable</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_c3_pllcout_enable</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_c_counter_3" type="int"> + <ipxact:name>cmu_fpll_pll_c_counter_3</ipxact:name> + <ipxact:displayName>C-counter-3</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_c_counter_3_min_tco_enable" type="string"> + <ipxact:name>cmu_fpll_pll_c_counter_3_min_tco_enable</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_c_counter_3_min_tco_enable</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_c_counter_3_in_src" type="string"> + <ipxact:name>cmu_fpll_pll_c_counter_3_in_src</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_c_counter_3_in_src</ipxact:displayName> + <ipxact:value>m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_c_counter_3_ph_mux_prst" type="int"> + <ipxact:name>cmu_fpll_pll_c_counter_3_ph_mux_prst</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_c_counter_3_ph_mux_prst</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_c_counter_3_prst" type="int"> + <ipxact:name>cmu_fpll_pll_c_counter_3_prst</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_c_counter_3_prst</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_c_counter_3_coarse_dly" type="string"> + <ipxact:name>cmu_fpll_pll_c_counter_3_coarse_dly</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_c_counter_3_coarse_dly</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_c_counter_3_fine_dly" type="string"> + <ipxact:name>cmu_fpll_pll_c_counter_3_fine_dly</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_c_counter_3_fine_dly</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_core_cali_ref_off" type="string"> + <ipxact:name>cmu_fpll_pll_core_cali_ref_off</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_core_cali_ref_off</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_core_cali_vco_off" type="string"> + <ipxact:name>cmu_fpll_pll_core_cali_vco_off</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_core_cali_vco_off</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_core_vccdreg_fb" type="string"> + <ipxact:name>cmu_fpll_pll_core_vccdreg_fb</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_core_vccdreg_fb</ipxact:displayName> + <ipxact:value>vreg_fb5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_core_vccdreg_fw" type="string"> + <ipxact:name>cmu_fpll_pll_core_vccdreg_fw</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_core_vccdreg_fw</ipxact:displayName> + <ipxact:value>vreg_fw5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_core_vreg0_atbsel" type="string"> + <ipxact:name>cmu_fpll_pll_core_vreg0_atbsel</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_core_vreg0_atbsel</ipxact:displayName> + <ipxact:value>atb_disabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_core_vreg1_atbsel" type="string"> + <ipxact:name>cmu_fpll_pll_core_vreg1_atbsel</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_core_vreg1_atbsel</ipxact:displayName> + <ipxact:value>atb_disabled1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_atb" type="string"> + <ipxact:name>cmu_fpll_pll_atb</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_atb</ipxact:displayName> + <ipxact:value>atb_selectdisable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_cmp_buf_dly" type="string"> + <ipxact:name>cmu_fpll_pll_cmp_buf_dly</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_cmp_buf_dly</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_fbclk_mux_1" type="string"> + <ipxact:name>cmu_fpll_pll_fbclk_mux_1</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_fbclk_mux_1</ipxact:displayName> + <ipxact:value>pll_fbclk_mux_1_glb</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_fbclk_mux_2" type="string"> + <ipxact:name>cmu_fpll_pll_fbclk_mux_2</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_fbclk_mux_2</ipxact:displayName> + <ipxact:value>pll_fbclk_mux_2_m_cnt</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_iqclk_mux_sel" type="string"> + <ipxact:name>cmu_fpll_pll_iqclk_mux_sel</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_iqclk_mux_sel</ipxact:displayName> + <ipxact:value>power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_cp_compensation" type="string"> + <ipxact:name>cmu_fpll_pll_cp_compensation</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_cp_compensation</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_cp_current_setting" type="string"> + <ipxact:name>cmu_fpll_pll_cp_current_setting</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_cp_current_setting</ipxact:displayName> + <ipxact:value>cp_current_setting22</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_cp_testmode" type="string"> + <ipxact:name>cmu_fpll_pll_cp_testmode</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_cp_testmode</ipxact:displayName> + <ipxact:value>cp_normal</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_cp_lf_3rd_pole_freq" type="string"> + <ipxact:name>cmu_fpll_pll_cp_lf_3rd_pole_freq</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_cp_lf_3rd_pole_freq</ipxact:displayName> + <ipxact:value>lf_3rd_pole_setting0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_lf_cbig" type="string"> + <ipxact:name>cmu_fpll_pll_lf_cbig</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_lf_cbig</ipxact:displayName> + <ipxact:value>lf_cbig_setting4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_cp_lf_order" type="string"> + <ipxact:name>cmu_fpll_pll_cp_lf_order</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_cp_lf_order</ipxact:displayName> + <ipxact:value>lf_2nd_order</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_lf_resistance" type="string"> + <ipxact:name>cmu_fpll_pll_lf_resistance</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_lf_resistance</ipxact:displayName> + <ipxact:value>lf_res_setting1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_lf_ripplecap" type="string"> + <ipxact:name>cmu_fpll_pll_lf_ripplecap</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_lf_ripplecap</ipxact:displayName> + <ipxact:value>lf_no_ripple</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_vco_ph0_en" type="string"> + <ipxact:name>cmu_fpll_pll_vco_ph0_en</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_vco_ph0_en</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_vco_ph0_value" type="string"> + <ipxact:name>cmu_fpll_pll_vco_ph0_value</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_vco_ph0_value</ipxact:displayName> + <ipxact:value>pll_vco_ph0_vss</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_vco_ph1_en" type="string"> + <ipxact:name>cmu_fpll_pll_vco_ph1_en</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_vco_ph1_en</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_vco_ph1_value" type="string"> + <ipxact:name>cmu_fpll_pll_vco_ph1_value</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_vco_ph1_value</ipxact:displayName> + <ipxact:value>pll_vco_ph1_vss</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_vco_ph2_en" type="string"> + <ipxact:name>cmu_fpll_pll_vco_ph2_en</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_vco_ph2_en</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_vco_ph2_value" type="string"> + <ipxact:name>cmu_fpll_pll_vco_ph2_value</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_vco_ph2_value</ipxact:displayName> + <ipxact:value>pll_vco_ph2_vss</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_vco_ph3_en" type="string"> + <ipxact:name>cmu_fpll_pll_vco_ph3_en</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_vco_ph3_en</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_vco_ph3_value" type="string"> + <ipxact:name>cmu_fpll_pll_vco_ph3_value</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_vco_ph3_value</ipxact:displayName> + <ipxact:value>pll_vco_ph3_vss</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_dsm_mode" type="string"> + <ipxact:name>cmu_fpll_pll_dsm_mode</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_dsm_mode</ipxact:displayName> + <ipxact:value>dsm_mode_integer</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_dsm_out_sel" type="string"> + <ipxact:name>cmu_fpll_pll_dsm_out_sel</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_dsm_out_sel</ipxact:displayName> + <ipxact:value>pll_dsm_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_dsm_ecn_bypass" type="string"> + <ipxact:name>cmu_fpll_pll_dsm_ecn_bypass</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_dsm_ecn_bypass</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_dsm_ecn_test_en" type="string"> + <ipxact:name>cmu_fpll_pll_dsm_ecn_test_en</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_dsm_ecn_test_en</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_dsm_fractional_division" type="string"> + <ipxact:name>cmu_fpll_pll_dsm_fractional_division</ipxact:name> + <ipxact:displayName>K-fractional division</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_dsm_fractional_value_ready" type="string"> + <ipxact:name>cmu_fpll_pll_dsm_fractional_value_ready</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_dsm_fractional_value_ready</ipxact:displayName> + <ipxact:value>pll_k_ready</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_l_counter_bypass" type="string"> + <ipxact:name>cmu_fpll_pll_l_counter_bypass</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_l_counter_bypass</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_l_counter" type="int"> + <ipxact:name>cmu_fpll_pll_l_counter</ipxact:name> + <ipxact:displayName>L-counter</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_l_counter_enable" type="string"> + <ipxact:name>cmu_fpll_pll_l_counter_enable</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_l_counter_enable</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_lock_fltr_cfg" type="int"> + <ipxact:name>cmu_fpll_pll_lock_fltr_cfg</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_lock_fltr_cfg</ipxact:displayName> + <ipxact:value>25</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_lock_fltr_test" type="string"> + <ipxact:name>cmu_fpll_pll_lock_fltr_test</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_lock_fltr_test</ipxact:displayName> + <ipxact:value>pll_lock_fltr_nrm</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_unlock_fltr_cfg" type="int"> + <ipxact:name>cmu_fpll_pll_unlock_fltr_cfg</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_unlock_fltr_cfg</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_m_counter" type="int"> + <ipxact:name>cmu_fpll_pll_m_counter</ipxact:name> + <ipxact:displayName>M-counter</ipxact:displayName> + <ipxact:value>64</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_m_counter_min_tco_enable" type="string"> + <ipxact:name>cmu_fpll_pll_m_counter_min_tco_enable</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_m_counter_min_tco_enable</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_m_counter_in_src" type="string"> + <ipxact:name>cmu_fpll_pll_m_counter_in_src</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_m_counter_in_src</ipxact:displayName> + <ipxact:value>m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_m_counter_ph_mux_prst" type="int"> + <ipxact:name>cmu_fpll_pll_m_counter_ph_mux_prst</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_m_counter_ph_mux_prst</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_m_counter_prst" type="int"> + <ipxact:name>cmu_fpll_pll_m_counter_prst</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_m_counter_prst</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_m_counter_coarse_dly" type="string"> + <ipxact:name>cmu_fpll_pll_m_counter_coarse_dly</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_m_counter_coarse_dly</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_m_counter_fine_dly" type="string"> + <ipxact:name>cmu_fpll_pll_m_counter_fine_dly</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_m_counter_fine_dly</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_n_counter" type="int"> + <ipxact:name>cmu_fpll_pll_n_counter</ipxact:name> + <ipxact:displayName>N-counter</ipxact:displayName> + <ipxact:value>11</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_n_counter_coarse_dly" type="string"> + <ipxact:name>cmu_fpll_pll_n_counter_coarse_dly</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_n_counter_coarse_dly</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_n_counter_fine_dly" type="string"> + <ipxact:name>cmu_fpll_pll_n_counter_fine_dly</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_n_counter_fine_dly</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_ref_buf_dly" type="string"> + <ipxact:name>cmu_fpll_pll_ref_buf_dly</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_ref_buf_dly</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_tclk_mux_en" type="string"> + <ipxact:name>cmu_fpll_pll_tclk_mux_en</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_tclk_mux_en</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_tclk_sel" type="string"> + <ipxact:name>cmu_fpll_pll_tclk_sel</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_tclk_sel</ipxact:displayName> + <ipxact:value>pll_tclk_m_src</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_dprio_base_addr" type="int"> + <ipxact:name>cmu_fpll_pll_dprio_base_addr</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_dprio_base_addr</ipxact:displayName> + <ipxact:value>256</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_dprio_broadcast_en" type="string"> + <ipxact:name>cmu_fpll_pll_dprio_broadcast_en</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_dprio_broadcast_en</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_dprio_clk_vreg_boost" type="string"> + <ipxact:name>cmu_fpll_pll_dprio_clk_vreg_boost</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_dprio_clk_vreg_boost</ipxact:displayName> + <ipxact:value>clk_fpll_vreg_no_voltage_boost</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_dprio_cvp_inter_sel" type="string"> + <ipxact:name>cmu_fpll_pll_dprio_cvp_inter_sel</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_dprio_cvp_inter_sel</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_dprio_force_inter_sel" type="string"> + <ipxact:name>cmu_fpll_pll_dprio_force_inter_sel</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_dprio_force_inter_sel</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_dprio_fpll_vreg_boost" type="string"> + <ipxact:name>cmu_fpll_pll_dprio_fpll_vreg_boost</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_dprio_fpll_vreg_boost</ipxact:displayName> + <ipxact:value>fpll_vreg_boost_1_step</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_dprio_fpll_vreg1_boost" type="string"> + <ipxact:name>cmu_fpll_pll_dprio_fpll_vreg1_boost</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_dprio_fpll_vreg1_boost</ipxact:displayName> + <ipxact:value>fpll_vreg1_boost_1_step</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_dprio_power_iso_en" type="string"> + <ipxact:name>cmu_fpll_pll_dprio_power_iso_en</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_dprio_power_iso_en</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_dprio_status_select" type="string"> + <ipxact:name>cmu_fpll_pll_dprio_status_select</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_dprio_status_select</ipxact:displayName> + <ipxact:value>dprio_normal_status</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_extra_csr" type="int"> + <ipxact:name>cmu_fpll_pll_extra_csr</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_extra_csr</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_nreset_invert" type="string"> + <ipxact:name>cmu_fpll_pll_nreset_invert</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_nreset_invert</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_ctrl_override_setting" type="string"> + <ipxact:name>cmu_fpll_pll_ctrl_override_setting</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_ctrl_override_setting</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_enable" type="string"> + <ipxact:name>cmu_fpll_pll_enable</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_enable</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_self_reset" type="string"> + <ipxact:name>cmu_fpll_pll_self_reset</ipxact:name> + <ipxact:displayName>pll_self_reset</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_test_enable" type="string"> + <ipxact:name>cmu_fpll_pll_test_enable</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_test_enable</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_ctrl_plniotri_override" type="string"> + <ipxact:name>cmu_fpll_pll_ctrl_plniotri_override</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_ctrl_plniotri_override</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_vccr_pd_en" type="string"> + <ipxact:name>cmu_fpll_pll_vccr_pd_en</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_vccr_pd_en</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_bw_sel" type="string"> + <ipxact:name>cmu_fpll_bw_sel</ipxact:name> + <ipxact:displayName>cmu_fpll_bw_sel</ipxact:displayName> + <ipxact:value>low</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_compensation_mode" type="string"> + <ipxact:name>cmu_fpll_compensation_mode</ipxact:name> + <ipxact:displayName>cmu_fpll_compensation_mode</ipxact:displayName> + <ipxact:value>direct</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_duty_cycle_0" type="int"> + <ipxact:name>cmu_fpll_duty_cycle_0</ipxact:name> + <ipxact:displayName>cmu_fpll_duty_cycle_0</ipxact:displayName> + <ipxact:value>50</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_duty_cycle_1" type="int"> + <ipxact:name>cmu_fpll_duty_cycle_1</ipxact:name> + <ipxact:displayName>cmu_fpll_duty_cycle_1</ipxact:displayName> + <ipxact:value>50</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_duty_cycle_2" type="int"> + <ipxact:name>cmu_fpll_duty_cycle_2</ipxact:name> + <ipxact:displayName>cmu_fpll_duty_cycle_2</ipxact:displayName> + <ipxact:value>50</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_duty_cycle_3" type="int"> + <ipxact:name>cmu_fpll_duty_cycle_3</ipxact:name> + <ipxact:displayName>cmu_fpll_duty_cycle_3</ipxact:displayName> + <ipxact:value>50</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_hssi_output_clock_frequency" type="string"> + <ipxact:name>cmu_fpll_hssi_output_clock_frequency</ipxact:name> + <ipxact:displayName>cmu_fpll_hssi_output_clock_frequency</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_is_cascaded_pll" type="string"> + <ipxact:name>cmu_fpll_is_cascaded_pll</ipxact:name> + <ipxact:displayName>cmu_fpll_is_cascaded_pll</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_output_clock_frequency_0" type="string"> + <ipxact:name>cmu_fpll_output_clock_frequency_0</ipxact:name> + <ipxact:displayName>cmu_fpll_output_clock_frequency_0</ipxact:displayName> + <ipxact:value>156.25 MHz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_output_clock_frequency_1" type="string"> + <ipxact:name>cmu_fpll_output_clock_frequency_1</ipxact:name> + <ipxact:displayName>cmu_fpll_output_clock_frequency_1</ipxact:displayName> + <ipxact:value>312.5 MHz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_output_clock_frequency_2" type="string"> + <ipxact:name>cmu_fpll_output_clock_frequency_2</ipxact:name> + <ipxact:displayName>cmu_fpll_output_clock_frequency_2</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_output_clock_frequency_3" type="string"> + <ipxact:name>cmu_fpll_output_clock_frequency_3</ipxact:name> + <ipxact:displayName>cmu_fpll_output_clock_frequency_3</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_phase_shift_0" type="string"> + <ipxact:name>cmu_fpll_phase_shift_0</ipxact:name> + <ipxact:displayName>cmu_fpll_phase_shift_0</ipxact:displayName> + <ipxact:value>0.0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_phase_shift_1" type="string"> + <ipxact:name>cmu_fpll_phase_shift_1</ipxact:name> + <ipxact:displayName>cmu_fpll_phase_shift_1</ipxact:displayName> + <ipxact:value>0.0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_phase_shift_2" type="string"> + <ipxact:name>cmu_fpll_phase_shift_2</ipxact:name> + <ipxact:displayName>cmu_fpll_phase_shift_2</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_phase_shift_3" type="string"> + <ipxact:name>cmu_fpll_phase_shift_3</ipxact:name> + <ipxact:displayName>cmu_fpll_phase_shift_3</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_reference_clock_frequency" type="string"> + <ipxact:name>cmu_fpll_reference_clock_frequency</ipxact:name> + <ipxact:displayName>cmu_fpll_reference_clock_frequency</ipxact:displayName> + <ipxact:value>644.53125 MHz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_vco_frequency" type="string"> + <ipxact:name>cmu_fpll_vco_frequency</ipxact:name> + <ipxact:displayName>VCO Frequency</ipxact:displayName> + <ipxact:value>7500.0 MHz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_cgb_div" type="int"> + <ipxact:name>cmu_fpll_cgb_div</ipxact:name> + <ipxact:displayName>cmu_fpll_cgb_div</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pma_width" type="int"> + <ipxact:name>cmu_fpll_pma_width</ipxact:name> + <ipxact:displayName>cmu_fpll_pma_width</ipxact:displayName> + <ipxact:value>64</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_f_out_c3_hz" type="string"> + <ipxact:name>cmu_fpll_f_out_c3_hz</ipxact:name> + <ipxact:displayName>cmu_fpll_f_out_c3_hz</ipxact:displayName> + <ipxact:value>0 hz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_f_out_c1_hz" type="string"> + <ipxact:name>cmu_fpll_f_out_c1_hz</ipxact:name> + <ipxact:displayName>cmu_fpll_f_out_c1_hz</ipxact:displayName> + <ipxact:value>0 hz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_f_out_c0_hz" type="string"> + <ipxact:name>cmu_fpll_f_out_c0_hz</ipxact:name> + <ipxact:displayName>cmu_fpll_f_out_c0_hz</ipxact:displayName> + <ipxact:value>0 hz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_f_out_c2_hz" type="string"> + <ipxact:name>cmu_fpll_f_out_c2_hz</ipxact:name> + <ipxact:displayName>cmu_fpll_f_out_c2_hz</ipxact:displayName> + <ipxact:value>0 hz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_f_out_c3" type="string"> + <ipxact:name>cmu_fpll_f_out_c3</ipxact:name> + <ipxact:displayName>cmu_fpll_f_out_c3</ipxact:displayName> + <ipxact:value>000000000000000000000000000000000000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_f_out_c1" type="string"> + <ipxact:name>cmu_fpll_f_out_c1</ipxact:name> + <ipxact:displayName>cmu_fpll_f_out_c1</ipxact:displayName> + <ipxact:value>000000010010101000000101111100100000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_f_out_c0" type="string"> + <ipxact:name>cmu_fpll_f_out_c0</ipxact:name> + <ipxact:displayName>cmu_fpll_f_out_c0</ipxact:displayName> + <ipxact:value>000000001001010100000010111110010000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_f_out_c2" type="string"> + <ipxact:name>cmu_fpll_f_out_c2</ipxact:name> + <ipxact:displayName>cmu_fpll_f_out_c2</ipxact:displayName> + <ipxact:value>000000000000000000000000000000000000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_output_tolerance" type="int"> + <ipxact:name>cmu_fpll_output_tolerance</ipxact:name> + <ipxact:displayName>cmu_fpll_output_tolerance</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_f_min_band_9" type="string"> + <ipxact:name>cmu_fpll_f_min_band_9</ipxact:name> + <ipxact:displayName>cmu_fpll_f_min_band_9</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_initial_settings" type="string"> + <ipxact:name>cmu_fpll_initial_settings</ipxact:name> + <ipxact:displayName>cmu_fpll_initial_settings</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_f_max_band_9" type="string"> + <ipxact:name>cmu_fpll_f_max_band_9</ipxact:name> + <ipxact:displayName>cmu_fpll_f_max_band_9</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_analog_mode" type="string"> + <ipxact:name>cmu_fpll_analog_mode</ipxact:name> + <ipxact:displayName>cmu_fpll_analog_mode</ipxact:displayName> + <ipxact:value>user_custom</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_min_fractional_percentage" type="int"> + <ipxact:name>cmu_fpll_min_fractional_percentage</ipxact:name> + <ipxact:displayName>cmu_fpll_min_fractional_percentage</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_max_fractional_percentage" type="int"> + <ipxact:name>cmu_fpll_max_fractional_percentage</ipxact:name> + <ipxact:displayName>cmu_fpll_max_fractional_percentage</ipxact:displayName> + <ipxact:value>99</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_f_max_vco_fractional" type="string"> + <ipxact:name>cmu_fpll_f_max_vco_fractional</ipxact:name> + <ipxact:displayName>cmu_fpll_f_max_vco_fractional</ipxact:displayName> + <ipxact:value>14025000000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_input_tolerance" type="int"> + <ipxact:name>cmu_fpll_input_tolerance</ipxact:name> + <ipxact:displayName>cmu_fpll_input_tolerance</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_m_counter_c2" type="int"> + <ipxact:name>cmu_fpll_m_counter_c2</ipxact:name> + <ipxact:displayName>cmu_fpll_m_counter_c2</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_m_counter_c3" type="int"> + <ipxact:name>cmu_fpll_m_counter_c3</ipxact:name> + <ipxact:displayName>cmu_fpll_m_counter_c3</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_m_counter_c0" type="int"> + <ipxact:name>cmu_fpll_m_counter_c0</ipxact:name> + <ipxact:displayName>cmu_fpll_m_counter_c0</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_m_counter_c1" type="int"> + <ipxact:name>cmu_fpll_m_counter_c1</ipxact:name> + <ipxact:displayName>cmu_fpll_m_counter_c1</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pfd_freq" type="string"> + <ipxact:name>cmu_fpll_pfd_freq</ipxact:name> + <ipxact:displayName>cmu_fpll_pfd_freq</ipxact:displayName> + <ipxact:value>000000000011011111100001000111010110</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_f_max_pfd_fractional" type="string"> + <ipxact:name>cmu_fpll_f_max_pfd_fractional</ipxact:name> + <ipxact:displayName>cmu_fpll_f_max_pfd_fractional</ipxact:displayName> + <ipxact:value>800000000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_vco_freq_band_0_fix_high" type="string"> + <ipxact:name>cmu_fpll_pll_vco_freq_band_0_fix_high</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_vco_freq_band_0_fix_high</ipxact:displayName> + <ipxact:value>pll_vco_freq_band_0_fix_high_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_vco_freq_band_1_fix_high" type="string"> + <ipxact:name>cmu_fpll_pll_vco_freq_band_1_fix_high</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_vco_freq_band_1_fix_high</ipxact:displayName> + <ipxact:value>pll_vco_freq_band_1_fix_high_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_xpm_cmu_fpll_core_cal_vco_count_length" type="string"> + <ipxact:name>cmu_fpll_xpm_cmu_fpll_core_cal_vco_count_length</ipxact:name> + <ipxact:displayName>cmu_fpll_xpm_cmu_fpll_core_cal_vco_count_length</ipxact:displayName> + <ipxact:value>sel_8b_count</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_xpm_cmu_fpll_core_pfd_pulse_width" type="string"> + <ipxact:name>cmu_fpll_xpm_cmu_fpll_core_pfd_pulse_width</ipxact:name> + <ipxact:displayName>cmu_fpll_xpm_cmu_fpll_core_pfd_pulse_width</ipxact:displayName> + <ipxact:value>pulse_width_setting0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_xpm_cmu_fpll_core_fpll_vco_div_by_2_sel" type="string"> + <ipxact:name>cmu_fpll_xpm_cmu_fpll_core_fpll_vco_div_by_2_sel</ipxact:name> + <ipxact:displayName>cmu_fpll_xpm_cmu_fpll_core_fpll_vco_div_by_2_sel</ipxact:displayName> + <ipxact:value>bypass_divide_by_2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_vco_freq_band_1_dyn_high_bits" type="int"> + <ipxact:name>cmu_fpll_pll_vco_freq_band_1_dyn_high_bits</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_vco_freq_band_1_dyn_high_bits</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_set_fpll_input_freq_range" type="int"> + <ipxact:name>cmu_fpll_set_fpll_input_freq_range</ipxact:name> + <ipxact:displayName>cmu_fpll_set_fpll_input_freq_range</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_f_max_pfd_integer" type="string"> + <ipxact:name>cmu_fpll_f_max_pfd_integer</ipxact:name> + <ipxact:displayName>cmu_fpll_f_max_pfd_integer</ipxact:displayName> + <ipxact:value>800000000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_vco_freq_band_0_fix" type="int"> + <ipxact:name>cmu_fpll_pll_vco_freq_band_0_fix</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_vco_freq_band_0_fix</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_f_max_pfd_bonded" type="string"> + <ipxact:name>cmu_fpll_f_max_pfd_bonded</ipxact:name> + <ipxact:displayName>cmu_fpll_f_max_pfd_bonded</ipxact:displayName> + <ipxact:value>600000000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_vco_freq_band_0_dyn_high_bits" type="int"> + <ipxact:name>cmu_fpll_pll_vco_freq_band_0_dyn_high_bits</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_vco_freq_band_0_dyn_high_bits</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_vco_freq_band_1_fix" type="int"> + <ipxact:name>cmu_fpll_pll_vco_freq_band_1_fix</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_vco_freq_band_1_fix</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_xpm_cmu_fpll_core_xpm_cpvco_fpll_xpm_chgpmplf_fpll_cp_current_boost" type="string"> + <ipxact:name>cmu_fpll_xpm_cmu_fpll_core_xpm_cpvco_fpll_xpm_chgpmplf_fpll_cp_current_boost</ipxact:name> + <ipxact:displayName>cmu_fpll_xpm_cmu_fpll_core_xpm_cpvco_fpll_xpm_chgpmplf_fpll_cp_current_boost</ipxact:displayName> + <ipxact:value>normal_setting</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_xpm_cmu_fpll_core_fpll_refclk_source" type="string"> + <ipxact:name>cmu_fpll_xpm_cmu_fpll_core_fpll_refclk_source</ipxact:name> + <ipxact:displayName>cmu_fpll_xpm_cmu_fpll_core_fpll_refclk_source</ipxact:displayName> + <ipxact:value>normal_refclk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_fpll_cal_test_sel" type="string"> + <ipxact:name>cmu_fpll_fpll_cal_test_sel</ipxact:name> + <ipxact:displayName>cmu_fpll_fpll_cal_test_sel</ipxact:displayName> + <ipxact:value>sel_cal_out_7_to_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_vco_freq_band_0_dyn_low_bits" type="int"> + <ipxact:name>cmu_fpll_pll_vco_freq_band_0_dyn_low_bits</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_vco_freq_band_0_dyn_low_bits</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_f_max_div_two_bypass" type="string"> + <ipxact:name>cmu_fpll_f_max_div_two_bypass</ipxact:name> + <ipxact:displayName>cmu_fpll_f_max_div_two_bypass</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_xpm_cmu_fpll_core_pfd_delay_compensation" type="string"> + <ipxact:name>cmu_fpll_xpm_cmu_fpll_core_pfd_delay_compensation</ipxact:name> + <ipxact:displayName>cmu_fpll_xpm_cmu_fpll_core_pfd_delay_compensation</ipxact:displayName> + <ipxact:value>normal_delay</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_pll_vco_freq_band_1_dyn_low_bits" type="int"> + <ipxact:name>cmu_fpll_pll_vco_freq_band_1_dyn_low_bits</ipxact:name> + <ipxact:displayName>cmu_fpll_pll_vco_freq_band_1_dyn_low_bits</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_enable_idle_fpll_support" type="string"> + <ipxact:name>cmu_fpll_enable_idle_fpll_support</ipxact:name> + <ipxact:displayName>cmu_fpll_enable_idle_fpll_support</ipxact:displayName> + <ipxact:value>idle_none</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_pll_clk_sel_override" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_pll_clk_sel_override</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_pll_clk_sel_override</ipxact:displayName> + <ipxact:value>normal</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_pll_clk_sel_override_value" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_pll_clk_sel_override_value</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_pll_clk_sel_override_value</ipxact:displayName> + <ipxact:value>select_clk0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_pll_clkin_0_scratch0_src" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_pll_clkin_0_scratch0_src</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_pll_clkin_0_scratch0_src</ipxact:displayName> + <ipxact:value>pll_clkin_0_scratch0_src_vss</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_pll_clkin_0_scratch1_src" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_pll_clkin_0_scratch1_src</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_pll_clkin_0_scratch1_src</ipxact:displayName> + <ipxact:value>pll_clkin_0_scratch1_src_vss</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_pll_clkin_0_scratch2_src" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_pll_clkin_0_scratch2_src</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_pll_clkin_0_scratch2_src</ipxact:displayName> + <ipxact:value>pll_clkin_0_scratch2_src_vss</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_pll_clkin_0_scratch3_src" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_pll_clkin_0_scratch3_src</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_pll_clkin_0_scratch3_src</ipxact:displayName> + <ipxact:value>pll_clkin_0_scratch3_src_vss</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_pll_clkin_0_scratch4_src" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_pll_clkin_0_scratch4_src</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_pll_clkin_0_scratch4_src</ipxact:displayName> + <ipxact:value>pll_clkin_0_scratch4_src_vss</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_pll_clkin_1_scratch0_src" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_pll_clkin_1_scratch0_src</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_pll_clkin_1_scratch0_src</ipxact:displayName> + <ipxact:value>pll_clkin_1_scratch0_src_vss</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_pll_clkin_1_scratch1_src" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_pll_clkin_1_scratch1_src</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_pll_clkin_1_scratch1_src</ipxact:displayName> + <ipxact:value>pll_clkin_1_scratch1_src_vss</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_pll_clkin_1_scratch2_src" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_pll_clkin_1_scratch2_src</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_pll_clkin_1_scratch2_src</ipxact:displayName> + <ipxact:value>pll_clkin_1_scratch2_src_vss</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_pll_clkin_1_scratch3_src" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_pll_clkin_1_scratch3_src</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_pll_clkin_1_scratch3_src</ipxact:displayName> + <ipxact:value>pll_clkin_1_scratch3_src_vss</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_pll_clkin_1_scratch4_src" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_pll_clkin_1_scratch4_src</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_pll_clkin_1_scratch4_src</ipxact:displayName> + <ipxact:value>pll_clkin_1_scratch4_src_vss</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_pll_powerdown_mode" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_pll_powerdown_mode</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_pll_powerdown_mode</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_pll_sup_mode" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_pll_sup_mode</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_pll_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_pll_clkin_0_src" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_pll_clkin_0_src</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_pll_clkin_0_src</ipxact:displayName> + <ipxact:value>pll_clkin_0_src_vss</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_pll_clkin_1_src" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_pll_clkin_1_src</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_pll_clkin_1_src</ipxact:displayName> + <ipxact:value>pll_clkin_1_src_vss</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_pll_auto_clk_sw_en" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_pll_auto_clk_sw_en</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_pll_auto_clk_sw_en</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_pll_clk_loss_edge" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_pll_clk_loss_edge</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_pll_clk_loss_edge</ipxact:displayName> + <ipxact:value>pll_clk_loss_both_edges</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_pll_clk_loss_sw_en" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_pll_clk_loss_sw_en</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_pll_clk_loss_sw_en</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_pll_clk_sw_dly" type="int"> + <ipxact:name>cmu_fpll_refclk_select_mux_pll_clk_sw_dly</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_pll_clk_sw_dly</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_pll_manu_clk_sw_en" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_pll_manu_clk_sw_en</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_pll_manu_clk_sw_en</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_pll_sw_refclk_src" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_pll_sw_refclk_src</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_pll_sw_refclk_src</ipxact:displayName> + <ipxact:value>pll_sw_refclk_src_clk_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_xpm_iqref_mux0_iqclk_sel" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_xpm_iqref_mux0_iqclk_sel</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_xpm_iqref_mux0_iqclk_sel</ipxact:displayName> + <ipxact:value>power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_xpm_iqref_mux0_scratch0_src" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_xpm_iqref_mux0_scratch0_src</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_xpm_iqref_mux0_scratch0_src</ipxact:displayName> + <ipxact:value>scratch0_power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_xpm_iqref_mux0_scratch1_src" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_xpm_iqref_mux0_scratch1_src</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_xpm_iqref_mux0_scratch1_src</ipxact:displayName> + <ipxact:value>scratch1_power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_xpm_iqref_mux0_scratch2_src" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_xpm_iqref_mux0_scratch2_src</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_xpm_iqref_mux0_scratch2_src</ipxact:displayName> + <ipxact:value>scratch2_power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_xpm_iqref_mux0_scratch3_src" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_xpm_iqref_mux0_scratch3_src</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_xpm_iqref_mux0_scratch3_src</ipxact:displayName> + <ipxact:value>scratch3_power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_xpm_iqref_mux0_scratch4_src" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_xpm_iqref_mux0_scratch4_src</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_xpm_iqref_mux0_scratch4_src</ipxact:displayName> + <ipxact:value>scratch4_power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_xpm_iqref_mux1_iqclk_sel" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_xpm_iqref_mux1_iqclk_sel</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_xpm_iqref_mux1_iqclk_sel</ipxact:displayName> + <ipxact:value>power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_xpm_iqref_mux1_scratch0_src" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_xpm_iqref_mux1_scratch0_src</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_xpm_iqref_mux1_scratch0_src</ipxact:displayName> + <ipxact:value>scratch0_power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_xpm_iqref_mux1_scratch1_src" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_xpm_iqref_mux1_scratch1_src</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_xpm_iqref_mux1_scratch1_src</ipxact:displayName> + <ipxact:value>scratch1_power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_xpm_iqref_mux1_scratch2_src" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_xpm_iqref_mux1_scratch2_src</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_xpm_iqref_mux1_scratch2_src</ipxact:displayName> + <ipxact:value>scratch2_power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_xpm_iqref_mux1_scratch3_src" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_xpm_iqref_mux1_scratch3_src</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_xpm_iqref_mux1_scratch3_src</ipxact:displayName> + <ipxact:value>scratch3_power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_xpm_iqref_mux1_scratch4_src" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_xpm_iqref_mux1_scratch4_src</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_xpm_iqref_mux1_scratch4_src</ipxact:displayName> + <ipxact:value>scratch4_power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_silicon_rev" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_silicon_rev</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_refclk_select0" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_refclk_select0</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_refclk_select0</ipxact:displayName> + <ipxact:value>lvpecl</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_refclk_select1" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_refclk_select1</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_refclk_select1</ipxact:displayName> + <ipxact:value>ref_iqclk0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_mux0_inclk0_logical_to_physical_mapping" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_mux0_inclk0_logical_to_physical_mapping</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_mux0_inclk0_logical_to_physical_mapping</ipxact:displayName> + <ipxact:value>lvpecl</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_mux0_inclk1_logical_to_physical_mapping" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_mux0_inclk1_logical_to_physical_mapping</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_mux0_inclk1_logical_to_physical_mapping</ipxact:displayName> + <ipxact:value>power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_mux0_inclk2_logical_to_physical_mapping" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_mux0_inclk2_logical_to_physical_mapping</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_mux0_inclk2_logical_to_physical_mapping</ipxact:displayName> + <ipxact:value>power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_mux0_inclk3_logical_to_physical_mapping" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_mux0_inclk3_logical_to_physical_mapping</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_mux0_inclk3_logical_to_physical_mapping</ipxact:displayName> + <ipxact:value>power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_mux0_inclk4_logical_to_physical_mapping" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_mux0_inclk4_logical_to_physical_mapping</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_mux0_inclk4_logical_to_physical_mapping</ipxact:displayName> + <ipxact:value>power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_mux1_inclk0_logical_to_physical_mapping" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_mux1_inclk0_logical_to_physical_mapping</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_mux1_inclk0_logical_to_physical_mapping</ipxact:displayName> + <ipxact:value>lvpecl</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_mux1_inclk1_logical_to_physical_mapping" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_mux1_inclk1_logical_to_physical_mapping</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_mux1_inclk1_logical_to_physical_mapping</ipxact:displayName> + <ipxact:value>power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_mux1_inclk2_logical_to_physical_mapping" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_mux1_inclk2_logical_to_physical_mapping</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_mux1_inclk2_logical_to_physical_mapping</ipxact:displayName> + <ipxact:value>power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_mux1_inclk3_logical_to_physical_mapping" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_mux1_inclk3_logical_to_physical_mapping</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_mux1_inclk3_logical_to_physical_mapping</ipxact:displayName> + <ipxact:value>power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_select_mux_mux1_inclk4_logical_to_physical_mapping" type="string"> + <ipxact:name>cmu_fpll_refclk_select_mux_mux1_inclk4_logical_to_physical_mapping</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_select_mux_mux1_inclk4_logical_to_physical_mapping</ipxact:displayName> + <ipxact:value>power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_pll_set_hssi_m_counter" type="int"> + <ipxact:name>gui_pll_set_hssi_m_counter</ipxact:name> + <ipxact:displayName>Multiply factor (M-counter)</ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_pll_set_hssi_n_counter" type="int"> + <ipxact:name>gui_pll_set_hssi_n_counter</ipxact:name> + <ipxact:displayName>Divide factor (N-counter)</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_pll_set_hssi_l_counter" type="int"> + <ipxact:name>gui_pll_set_hssi_l_counter</ipxact:name> + <ipxact:displayName>Divide factor (L-counter)</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_pll_set_hssi_k_counter" type="longint"> + <ipxact:name>gui_pll_set_hssi_k_counter</ipxact:name> + <ipxact:displayName>Divide factor (K-counter)</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="device_family" type="string"> + <ipxact:name>device_family</ipxact:name> + <ipxact:displayName>Device Family</ipxact:displayName> + <ipxact:value>Arria 10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="device" type="string"> + <ipxact:name>device</ipxact:name> + <ipxact:displayName>Device</ipxact:displayName> + <ipxact:value>10AX115U3F45E2SG</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="base_device" type="string"> + <ipxact:name>base_device</ipxact:name> + <ipxact:displayName>Device</ipxact:displayName> + <ipxact:value>NIGHTFURY5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="device_revision" type="string"> + <ipxact:name>device_revision</ipxact:name> + <ipxact:displayName>device_revision</ipxact:displayName> + <ipxact:value>20nm5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="device_speed_grade" type="string"> + <ipxact:name>device_speed_grade</ipxact:name> + <ipxact:displayName>Speed grade</ipxact:displayName> + <ipxact:value>e3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="numeric_speed_grade" type="int"> + <ipxact:name>numeric_speed_grade</ipxact:name> + <ipxact:displayName>Speed grade</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_fpll_mode" type="string"> + <ipxact:name>gui_fpll_mode</ipxact:name> + <ipxact:displayName>FPLL Mode</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="primary_use" type="string"> + <ipxact:name>primary_use</ipxact:name> + <ipxact:displayName>FPLL Mode</ipxact:displayName> + <ipxact:value>core</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_hssi_prot_mode" type="string"> + <ipxact:name>gui_hssi_prot_mode</ipxact:name> + <ipxact:displayName>Protocol mode</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prot_mode" type="string"> + <ipxact:name>prot_mode</ipxact:name> + <ipxact:displayName>Protocol mode</ipxact:displayName> + <ipxact:value>basic_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_refclk_switch" type="bit"> + <ipxact:name>gui_refclk_switch</ipxact:name> + <ipxact:displayName>Create a second input clock 'pll_refclk1'</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_refclk1_frequency" type="real"> + <ipxact:name>gui_refclk1_frequency</ipxact:name> + <ipxact:displayName>Second Reference Clock Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_switchover_mode" type="string"> + <ipxact:name>gui_switchover_mode</ipxact:name> + <ipxact:displayName>Switchover Mode</ipxact:displayName> + <ipxact:value>Automatic Switchover</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_switchover_delay" type="int"> + <ipxact:name>gui_switchover_delay</ipxact:name> + <ipxact:displayName>Switchover Delays</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_enable_active_clk" type="bit"> + <ipxact:name>gui_enable_active_clk</ipxact:name> + <ipxact:displayName>Create an 'active_clk' signal to indicate the input clock in use</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_enable_clk_bad" type="bit"> + <ipxact:name>gui_enable_clk_bad</ipxact:name> + <ipxact:displayName>Create a 'clkbad' signal for each of the input clocks</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_enable_extswitch" type="bit"> + <ipxact:name>gui_enable_extswitch</ipxact:name> + <ipxact:displayName>gui_enable_extswitch</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_advanced_options" type="int"> + <ipxact:name>enable_advanced_options</ipxact:name> + <ipxact:displayName>enable_advanced_options</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_hip_options" type="int"> + <ipxact:name>enable_hip_options</ipxact:name> + <ipxact:displayName>enable_hip_options</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="generate_docs" type="int"> + <ipxact:name>generate_docs</ipxact:name> + <ipxact:displayName>Generate parameter documentation file</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="generate_add_hdl_instance_example" type="int"> + <ipxact:name>generate_add_hdl_instance_example</ipxact:name> + <ipxact:displayName>Generate '_hw.tcl' 'add_hdl_instance' example file</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_bw_sel" type="string"> + <ipxact:name>gui_bw_sel</ipxact:name> + <ipxact:displayName>Bandwidth</ipxact:displayName> + <ipxact:value>low</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="temp_bw_sel" type="string"> + <ipxact:name>temp_bw_sel</ipxact:name> + <ipxact:displayName>Bandwidth</ipxact:displayName> + <ipxact:value>low</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_cp_lf_3rd_pole_freq" type="string"> + <ipxact:name>pll_cp_lf_3rd_pole_freq</ipxact:name> + <ipxact:displayName>pll_cp_lf_3rd_pole_freq</ipxact:displayName> + <ipxact:value>lf_3rd_pole_setting0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_self_reset_enabled" type="bit"> + <ipxact:name>gui_self_reset_enabled</ipxact:name> + <ipxact:displayName>PLL Auto Reset</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_enable_low_f_support" type="bit"> + <ipxact:name>gui_enable_low_f_support</ipxact:name> + <ipxact:displayName>Enable expanded reference clock range for low output frequency support</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_is_downstream_cascaded_pll" type="bit"> + <ipxact:name>gui_is_downstream_cascaded_pll</ipxact:name> + <ipxact:displayName>Enable downstream cascaded pll</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_enable_50G_support" type="bit"> + <ipxact:name>gui_enable_50G_support</ipxact:name> + <ipxact:displayName>Enable support for 50G solution</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="silicon_rev" type="bit"> + <ipxact:name>silicon_rev</ipxact:name> + <ipxact:displayName>Silicon revision ES</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_silicon_rev" type="string"> + <ipxact:name>gui_silicon_rev</ipxact:name> + <ipxact:displayName>gui_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5es</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_reference_clock_frequency" type="real"> + <ipxact:name>gui_reference_clock_frequency</ipxact:name> + <ipxact:displayName>Reference clock frequency</ipxact:displayName> + <ipxact:value>644.53125</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_desired_refclk_frequency" type="real"> + <ipxact:name>gui_desired_refclk_frequency</ipxact:name> + <ipxact:displayName>Desired reference clock frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_refclk_frequency" type="string"> + <ipxact:name>gui_actual_refclk_frequency</ipxact:name> + <ipxact:displayName>Actual reference clock frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="full_actual_refclk_frequency" type="real"> + <ipxact:name>full_actual_refclk_frequency</ipxact:name> + <ipxact:displayName>Actual reference clock frequency</ipxact:displayName> + <ipxact:value>94.33962264150944</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="reference_clock_frequency" type="string"> + <ipxact:name>reference_clock_frequency</ipxact:name> + <ipxact:displayName>Reference clock frequency</ipxact:displayName> + <ipxact:value>644.53125 MHz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_operation_mode" type="string"> + <ipxact:name>gui_operation_mode</ipxact:name> + <ipxact:displayName>Operation mode</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="compensation_mode" type="string"> + <ipxact:name>compensation_mode</ipxact:name> + <ipxact:displayName>Operation mode</ipxact:displayName> + <ipxact:value>direct</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="feedback" type="string"> + <ipxact:name>feedback</ipxact:name> + <ipxact:displayName>Operation mode</ipxact:displayName> + <ipxact:value>normal</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_enable_iqtxrxclk_mode" type="bit"> + <ipxact:name>gui_enable_iqtxrxclk_mode</ipxact:name> + <ipxact:displayName>Operation mode for IQTXRXCLK</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_iqtxrxclk_outclk_index" type="string"> + <ipxact:name>gui_iqtxrxclk_outclk_index</ipxact:name> + <ipxact:displayName>Specifies which core outclk to be used as feedback source</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_refclk_cnt" type="int"> + <ipxact:name>gui_refclk_cnt</ipxact:name> + <ipxact:displayName>Number of PLL reference clocks</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_refclk_index" type="int"> + <ipxact:name>gui_refclk_index</ipxact:name> + <ipxact:displayName>Selected reference clock source</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_enable_fractional" type="bit"> + <ipxact:name>gui_enable_fractional</ipxact:name> + <ipxact:displayName>Enable fractional mode</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_enable_manual_hssi_counters" type="bit"> + <ipxact:name>gui_enable_manual_hssi_counters</ipxact:name> + <ipxact:displayName>Enable manual counter configuration</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_cascade_in" type="int"> + <ipxact:name>enable_cascade_in</ipxact:name> + <ipxact:displayName>Enable ATX to FPLL cascade clock input port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_analog_resets" type="int"> + <ipxact:name>enable_analog_resets</ipxact:name> + <ipxact:displayName>Enable pll_powerdown and mcgb_rst connections</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_enable_pld_cal_busy_port" type="int"> + <ipxact:name>gui_enable_pld_cal_busy_port</ipxact:name> + <ipxact:displayName>enable_pld_fpll_cal_busy_port</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_enable_hip_cal_done_port" type="int"> + <ipxact:name>gui_enable_hip_cal_done_port</ipxact:name> + <ipxact:displayName>Enable calibration status ports for HIP</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_hip_cal_en" type="int"> + <ipxact:name>gui_hip_cal_en</ipxact:name> + <ipxact:displayName>Enable PCIe hard IP calibration</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hip_cal_en" type="string"> + <ipxact:name>hip_cal_en</ipxact:name> + <ipxact:displayName>hip_cal_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_enable_cascade_out" type="bit"> + <ipxact:name>gui_enable_cascade_out</ipxact:name> + <ipxact:displayName>Enable cascade clock output port (FPLL to FPLL cascading)</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_cascade_outclk_index" type="string"> + <ipxact:name>gui_cascade_outclk_index</ipxact:name> + <ipxact:displayName>Specifies which core outclk to be used as cascading source</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_enable_dps" type="bit"> + <ipxact:name>gui_enable_dps</ipxact:name> + <ipxact:displayName>Enable access to dynamic phase shift ports</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_enable_manual_config" type="bit"> + <ipxact:name>gui_enable_manual_config</ipxact:name> + <ipxact:displayName>Enable physical output clock parameters</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_hssi_calc_output_clock_frequency" type="real"> + <ipxact:name>gui_hssi_calc_output_clock_frequency</ipxact:name> + <ipxact:displayName>PLL output frequency</ipxact:displayName> + <ipxact:value>1250.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_hssi_output_clock_frequency" type="real"> + <ipxact:name>gui_hssi_output_clock_frequency</ipxact:name> + <ipxact:displayName>PLL output frequency</ipxact:displayName> + <ipxact:value>1250.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_output_clock_frequency" type="string"> + <ipxact:name>hssi_output_clock_frequency</ipxact:name> + <ipxact:displayName>PLL output frequency</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_pll_datarate" type="real"> + <ipxact:name>gui_pll_datarate</ipxact:name> + <ipxact:displayName>PLL Datarate</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_datarate" type="string"> + <ipxact:name>pll_datarate</ipxact:name> + <ipxact:displayName>PLL Datarate</ipxact:displayName> + <ipxact:value>0 Mbps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_pll_m_counter" type="int"> + <ipxact:name>gui_pll_m_counter</ipxact:name> + <ipxact:displayName>Multiply factor (M-counter)</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_pll_n_counter" type="int"> + <ipxact:name>gui_pll_n_counter</ipxact:name> + <ipxact:displayName>Divide factor (N-counter)</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_fractional_x" type="int"> + <ipxact:name>gui_fractional_x</ipxact:name> + <ipxact:displayName>Fractional factor (x)</ipxact:displayName> + <ipxact:value>32</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_pll_dsm_fractional_division" type="longint"> + <ipxact:name>gui_pll_dsm_fractional_division</ipxact:name> + <ipxact:displayName>Fractional multiply factor (K)</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_fractional_f" type="real"> + <ipxact:name>gui_fractional_f</ipxact:name> + <ipxact:displayName>Fractional factor (F)</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_pll_c_counter_0" type="int"> + <ipxact:name>gui_pll_c_counter_0</ipxact:name> + <ipxact:displayName>Divide factor (C-counter 0)</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_pll_c_counter_1" type="int"> + <ipxact:name>gui_pll_c_counter_1</ipxact:name> + <ipxact:displayName>Divide factor (C-counter 1)</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_pll_c_counter_2" type="int"> + <ipxact:name>gui_pll_c_counter_2</ipxact:name> + <ipxact:displayName>Divide factor (C-counter 2)</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_pll_c_counter_3" type="int"> + <ipxact:name>gui_pll_c_counter_3</ipxact:name> + <ipxact:displayName>Divide factor (C-counter 3)</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_number_of_output_clocks" type="string"> + <ipxact:name>gui_number_of_output_clocks</ipxact:name> + <ipxact:displayName>Number of clocks</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_enable_phase_alignment" type="bit"> + <ipxact:name>gui_enable_phase_alignment</ipxact:name> + <ipxact:displayName>Enable phase alignment</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="phase_alignment_check_var" type="bit"> + <ipxact:name>phase_alignment_check_var</ipxact:name> + <ipxact:displayName>Core mode phase alignment frequency check variable</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_pfd_frequency" type="string"> + <ipxact:name>gui_pfd_frequency</ipxact:name> + <ipxact:displayName>PFD Frequency</ipxact:displayName> + <ipxact:value>58.59375</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="core_vco_frequency_basic" type="string"> + <ipxact:name>core_vco_frequency_basic</ipxact:name> + <ipxact:displayName>VCO Frequency</ipxact:displayName> + <ipxact:value>7500.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="core_vco_frequency_adv" type="string"> + <ipxact:name>core_vco_frequency_adv</ipxact:name> + <ipxact:displayName>VCO Frequency</ipxact:displayName> + <ipxact:value>300.0 MHz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_vco_frequency" type="string"> + <ipxact:name>hssi_vco_frequency</ipxact:name> + <ipxact:displayName>VCO Frequency</ipxact:displayName> + <ipxact:value>10000.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_cascade_vco_frequency" type="string"> + <ipxact:name>hssi_cascade_vco_frequency</ipxact:name> + <ipxact:displayName>VCO Frequency</ipxact:displayName> + <ipxact:value>300.0 MHz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="vco_frequency" type="string"> + <ipxact:name>vco_frequency</ipxact:name> + <ipxact:displayName>VCO Frequency</ipxact:displayName> + <ipxact:value>7500.0 MHz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="core_pfd_frequency" type="string"> + <ipxact:name>core_pfd_frequency</ipxact:name> + <ipxact:displayName>PFD Frequency</ipxact:displayName> + <ipxact:value>58.59375</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pfd_frequency" type="string"> + <ipxact:name>hssi_pfd_frequency</ipxact:name> + <ipxact:displayName>PFD Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_cascade_pfd_frequency" type="string"> + <ipxact:name>hssi_cascade_pfd_frequency</ipxact:name> + <ipxact:displayName>PFD Frequency</ipxact:displayName> + <ipxact:value>300.0 MHz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pfd_frequency" type="string"> + <ipxact:name>pfd_frequency</ipxact:name> + <ipxact:displayName>PFD Frequency</ipxact:displayName> + <ipxact:value>58.59375 MHz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_desired_outclk0_frequency" type="real"> + <ipxact:name>gui_desired_outclk0_frequency</ipxact:name> + <ipxact:displayName>Desired frequency</ipxact:displayName> + <ipxact:value>156.25</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_outclk0_frequency" type="string"> + <ipxact:name>gui_actual_outclk0_frequency</ipxact:name> + <ipxact:displayName>Actual frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="full_actual_outclk0_frequency" type="string"> + <ipxact:name>full_actual_outclk0_frequency</ipxact:name> + <ipxact:displayName>Actual frequency</ipxact:displayName> + <ipxact:value>156.25</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="output_clock_frequency_0" type="string"> + <ipxact:name>output_clock_frequency_0</ipxact:name> + <ipxact:displayName>Actual frequency</ipxact:displayName> + <ipxact:value>156.25 MHz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_outclk0_phase_shift_unit" type="string"> + <ipxact:name>gui_outclk0_phase_shift_unit</ipxact:name> + <ipxact:displayName>Phase shift units</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_outclk0_desired_phase_shift" type="real"> + <ipxact:name>gui_outclk0_desired_phase_shift</ipxact:name> + <ipxact:displayName>Phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_outclk0_actual_phase_shift" type="string"> + <ipxact:name>gui_outclk0_actual_phase_shift</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_outclk0_actual_phase_shift_deg" type="string"> + <ipxact:name>gui_outclk0_actual_phase_shift_deg</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="full_outclk0_actual_phase_shift" type="string"> + <ipxact:name>full_outclk0_actual_phase_shift</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="phase_shift_0" type="string"> + <ipxact:name>phase_shift_0</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0.0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_desired_outclk1_frequency" type="real"> + <ipxact:name>gui_desired_outclk1_frequency</ipxact:name> + <ipxact:displayName>Desired frequency</ipxact:displayName> + <ipxact:value>312.5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_outclk1_frequency" type="string"> + <ipxact:name>gui_actual_outclk1_frequency</ipxact:name> + <ipxact:displayName>Actual frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="full_actual_outclk1_frequency" type="string"> + <ipxact:name>full_actual_outclk1_frequency</ipxact:name> + <ipxact:displayName>Actual frequency</ipxact:displayName> + <ipxact:value>312.5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="output_clock_frequency_1" type="string"> + <ipxact:name>output_clock_frequency_1</ipxact:name> + <ipxact:displayName>Actual frequency</ipxact:displayName> + <ipxact:value>312.5 MHz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_outclk1_phase_shift_unit" type="string"> + <ipxact:name>gui_outclk1_phase_shift_unit</ipxact:name> + <ipxact:displayName>Phase shift units</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_outclk1_desired_phase_shift" type="int"> + <ipxact:name>gui_outclk1_desired_phase_shift</ipxact:name> + <ipxact:displayName>Phase shift</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_outclk1_actual_phase_shift" type="string"> + <ipxact:name>gui_outclk1_actual_phase_shift</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_outclk1_actual_phase_shift_deg" type="string"> + <ipxact:name>gui_outclk1_actual_phase_shift_deg</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="full_outclk1_actual_phase_shift" type="string"> + <ipxact:name>full_outclk1_actual_phase_shift</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="phase_shift_1" type="string"> + <ipxact:name>phase_shift_1</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0.0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_desired_outclk2_frequency" type="real"> + <ipxact:name>gui_desired_outclk2_frequency</ipxact:name> + <ipxact:displayName>Desired frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_outclk2_frequency" type="string"> + <ipxact:name>gui_actual_outclk2_frequency</ipxact:name> + <ipxact:displayName>Actual frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="full_actual_outclk2_frequency" type="string"> + <ipxact:name>full_actual_outclk2_frequency</ipxact:name> + <ipxact:displayName>Actual frequency</ipxact:displayName> + <ipxact:value>100.44642857142857</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="output_clock_frequency_2" type="string"> + <ipxact:name>output_clock_frequency_2</ipxact:name> + <ipxact:displayName>Actual frequency</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_outclk2_phase_shift_unit" type="string"> + <ipxact:name>gui_outclk2_phase_shift_unit</ipxact:name> + <ipxact:displayName>Phase shift units</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_outclk2_desired_phase_shift" type="int"> + <ipxact:name>gui_outclk2_desired_phase_shift</ipxact:name> + <ipxact:displayName>Phase shift</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_outclk2_actual_phase_shift" type="string"> + <ipxact:name>gui_outclk2_actual_phase_shift</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_outclk2_actual_phase_shift_deg" type="string"> + <ipxact:name>gui_outclk2_actual_phase_shift_deg</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0 deg</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="full_outclk2_actual_phase_shift" type="string"> + <ipxact:name>full_outclk2_actual_phase_shift</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="phase_shift_2" type="string"> + <ipxact:name>phase_shift_2</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_desired_outclk3_frequency" type="real"> + <ipxact:name>gui_desired_outclk3_frequency</ipxact:name> + <ipxact:displayName>Desired frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_outclk3_frequency" type="string"> + <ipxact:name>gui_actual_outclk3_frequency</ipxact:name> + <ipxact:displayName>Actual frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="full_actual_outclk3_frequency" type="string"> + <ipxact:name>full_actual_outclk3_frequency</ipxact:name> + <ipxact:displayName>Actual frequency</ipxact:displayName> + <ipxact:value>100.44642857142857</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="output_clock_frequency_3" type="string"> + <ipxact:name>output_clock_frequency_3</ipxact:name> + <ipxact:displayName>Actual frequency</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_outclk3_phase_shift_unit" type="string"> + <ipxact:name>gui_outclk3_phase_shift_unit</ipxact:name> + <ipxact:displayName>Phase shift units</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_outclk3_desired_phase_shift" type="int"> + <ipxact:name>gui_outclk3_desired_phase_shift</ipxact:name> + <ipxact:displayName>Phase shift</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_outclk3_actual_phase_shift" type="string"> + <ipxact:name>gui_outclk3_actual_phase_shift</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_outclk3_actual_phase_shift_deg" type="string"> + <ipxact:name>gui_outclk3_actual_phase_shift_deg</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="full_outclk3_actual_phase_shift" type="string"> + <ipxact:name>full_outclk3_actual_phase_shift</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="phase_shift_3" type="string"> + <ipxact:name>phase_shift_3</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_desired_hssi_cascade_frequency" type="real"> + <ipxact:name>gui_desired_hssi_cascade_frequency</ipxact:name> + <ipxact:displayName>Desired frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="refclk_select0" type="string"> + <ipxact:name>refclk_select0</ipxact:name> + <ipxact:displayName>refclk_select0</ipxact:displayName> + <ipxact:value>lvpecl</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="refclk_select1" type="string"> + <ipxact:name>refclk_select1</ipxact:name> + <ipxact:displayName>refclk_select1</ipxact:displayName> + <ipxact:value>ref_iqclk0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="core_c_counter_0" type="int"> + <ipxact:name>core_c_counter_0</ipxact:name> + <ipxact:displayName>pll_c_counter_0</ipxact:displayName> + <ipxact:value>12</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="core_c_counter_0_in_src" type="string"> + <ipxact:name>core_c_counter_0_in_src</ipxact:name> + <ipxact:displayName>pll_c_counter_0_in_src</ipxact:displayName> + <ipxact:value>m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="core_c_counter_0_ph_mux_prst" type="int"> + <ipxact:name>core_c_counter_0_ph_mux_prst</ipxact:name> + <ipxact:displayName>pll_c_counter_0_ph_mux_prst</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="core_c_counter_0_prst" type="int"> + <ipxact:name>core_c_counter_0_prst</ipxact:name> + <ipxact:displayName>pll_c_counter_0_prst</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="core_c_counter_0_coarse_dly" type="string"> + <ipxact:name>core_c_counter_0_coarse_dly</ipxact:name> + <ipxact:displayName>pll_c_counter_0_coarse_dly</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="core_c_counter_0_fine_dly" type="string"> + <ipxact:name>core_c_counter_0_fine_dly</ipxact:name> + <ipxact:displayName>pll_c_counter_0_fine_dly</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="core_c_counter_1" type="int"> + <ipxact:name>core_c_counter_1</ipxact:name> + <ipxact:displayName>pll_c_counter_1</ipxact:displayName> + <ipxact:value>6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="core_c_counter_1_in_src" type="string"> + <ipxact:name>core_c_counter_1_in_src</ipxact:name> + <ipxact:displayName>pll_c_counter_1_in_src</ipxact:displayName> + <ipxact:value>m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="core_c_counter_1_ph_mux_prst" type="int"> + <ipxact:name>core_c_counter_1_ph_mux_prst</ipxact:name> + <ipxact:displayName>pll_c_counter_1_ph_mux_prst</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="core_c_counter_1_prst" type="int"> + <ipxact:name>core_c_counter_1_prst</ipxact:name> + <ipxact:displayName>pll_c_counter_1_prst</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="core_c_counter_1_coarse_dly" type="string"> + <ipxact:name>core_c_counter_1_coarse_dly</ipxact:name> + <ipxact:displayName>pll_c_counter_1_coarse_dly</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="core_c_counter_1_fine_dly" type="string"> + <ipxact:name>core_c_counter_1_fine_dly</ipxact:name> + <ipxact:displayName>pll_c_counter_1_fine_dly</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="core_c_counter_2" type="int"> + <ipxact:name>core_c_counter_2</ipxact:name> + <ipxact:displayName>pll_c_counter_2</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="core_c_counter_2_in_src" type="string"> + <ipxact:name>core_c_counter_2_in_src</ipxact:name> + <ipxact:displayName>pll_c_counter_2_in_src</ipxact:displayName> + <ipxact:value>m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="core_c_counter_2_ph_mux_prst" type="int"> + <ipxact:name>core_c_counter_2_ph_mux_prst</ipxact:name> + <ipxact:displayName>pll_c_counter_2_ph_mux_prst</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="core_c_counter_2_prst" type="int"> + <ipxact:name>core_c_counter_2_prst</ipxact:name> + <ipxact:displayName>pll_c_counter_2_prst</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="core_c_counter_2_coarse_dly" type="string"> + <ipxact:name>core_c_counter_2_coarse_dly</ipxact:name> + <ipxact:displayName>pll_c_counter_2_coarse_dly</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="core_c_counter_2_fine_dly" type="string"> + <ipxact:name>core_c_counter_2_fine_dly</ipxact:name> + <ipxact:displayName>pll_c_counter_2_fine_dly</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="core_c_counter_3" type="int"> + <ipxact:name>core_c_counter_3</ipxact:name> + <ipxact:displayName>pll_c_counter_3</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="core_c_counter_3_in_src" type="string"> + <ipxact:name>core_c_counter_3_in_src</ipxact:name> + <ipxact:displayName>pll_c_counter_3_in_src</ipxact:displayName> + <ipxact:value>m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="core_c_counter_3_ph_mux_prst" type="int"> + <ipxact:name>core_c_counter_3_ph_mux_prst</ipxact:name> + <ipxact:displayName>pll_c_counter_3_ph_mux_prst</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="core_c_counter_3_prst" type="int"> + <ipxact:name>core_c_counter_3_prst</ipxact:name> + <ipxact:displayName>pll_c_counter_3_prst</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="core_c_counter_3_coarse_dly" type="string"> + <ipxact:name>core_c_counter_3_coarse_dly</ipxact:name> + <ipxact:displayName>pll_c_counter_3_coarse_dly</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="core_c_counter_3_fine_dly" type="string"> + <ipxact:name>core_c_counter_3_fine_dly</ipxact:name> + <ipxact:displayName>pll_c_counter_3_fine_dly</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_l_counter" type="int"> + <ipxact:name>hssi_l_counter</ipxact:name> + <ipxact:displayName>pll_c_counter_0</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_l_counter_in_src" type="string"> + <ipxact:name>hssi_l_counter_in_src</ipxact:name> + <ipxact:displayName>pll_c_counter_0_in_src</ipxact:displayName> + <ipxact:value>m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_l_counter_ph_mux_prst" type="int"> + <ipxact:name>hssi_l_counter_ph_mux_prst</ipxact:name> + <ipxact:displayName>pll_c_counter_0_ph_mux_prst</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_l_counter_bypass" type="string"> + <ipxact:name>hssi_l_counter_bypass</ipxact:name> + <ipxact:displayName>pll_l_counter_bypass</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_l_counter_enable" type="string"> + <ipxact:name>hssi_l_counter_enable</ipxact:name> + <ipxact:displayName>pll_l_counter_enable</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pcie_c_counter_0" type="int"> + <ipxact:name>hssi_pcie_c_counter_0</ipxact:name> + <ipxact:displayName>pll_c_counter_0</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pcie_c_counter_0_in_src" type="string"> + <ipxact:name>hssi_pcie_c_counter_0_in_src</ipxact:name> + <ipxact:displayName>pll_c_counter_0_in_src</ipxact:displayName> + <ipxact:value>m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pcie_c_counter_0_ph_mux_prst" type="int"> + <ipxact:name>hssi_pcie_c_counter_0_ph_mux_prst</ipxact:name> + <ipxact:displayName>pll_c_counter_0_ph_mux_prst</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pcie_c_counter_0_prst" type="int"> + <ipxact:name>hssi_pcie_c_counter_0_prst</ipxact:name> + <ipxact:displayName>pll_c_counter_0_prst</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pcie_c_counter_0_coarse_dly" type="string"> + <ipxact:name>hssi_pcie_c_counter_0_coarse_dly</ipxact:name> + <ipxact:displayName>pll_c_counter_0_coarse_dly</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pcie_c_counter_0_fine_dly" type="string"> + <ipxact:name>hssi_pcie_c_counter_0_fine_dly</ipxact:name> + <ipxact:displayName>pll_c_counter_0_fine_dly</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_cascade_c_counter" type="int"> + <ipxact:name>hssi_cascade_c_counter</ipxact:name> + <ipxact:displayName>pll_c_counter_0</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_cascade_c_counter_in_src" type="string"> + <ipxact:name>hssi_cascade_c_counter_in_src</ipxact:name> + <ipxact:displayName>pll_c_counter_0_in_src</ipxact:displayName> + <ipxact:value>m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_cascade_c_counter_ph_mux_prst" type="int"> + <ipxact:name>hssi_cascade_c_counter_ph_mux_prst</ipxact:name> + <ipxact:displayName>pll_c_counter_0_ph_mux_prst</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_cascade_c_counter_prst" type="int"> + <ipxact:name>hssi_cascade_c_counter_prst</ipxact:name> + <ipxact:displayName>pll_c_counter_0_prst</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_cascade_c_counter_coarse_dly" type="string"> + <ipxact:name>hssi_cascade_c_counter_coarse_dly</ipxact:name> + <ipxact:displayName>pll_c_counter_0_coarse_dly</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_cascade_c_counter_fine_dly" type="string"> + <ipxact:name>hssi_cascade_c_counter_fine_dly</ipxact:name> + <ipxact:displayName>pll_c_counter_0_fine_dly</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_m_counter_in_src" type="string"> + <ipxact:name>pll_m_counter_in_src</ipxact:name> + <ipxact:displayName>pll_m_counter_in_src</ipxact:displayName> + <ipxact:value>m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_c_counter_0" type="int"> + <ipxact:name>pll_c_counter_0</ipxact:name> + <ipxact:displayName>pll_c_counter_0</ipxact:displayName> + <ipxact:value>12</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_c_counter_0_in_src" type="string"> + <ipxact:name>pll_c_counter_0_in_src</ipxact:name> + <ipxact:displayName>pll_c_counter_0_in_src</ipxact:displayName> + <ipxact:value>m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_c_counter_0_ph_mux_prst" type="int"> + <ipxact:name>pll_c_counter_0_ph_mux_prst</ipxact:name> + <ipxact:displayName>pll_c_counter_0_ph_mux_prst</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_c_counter_0_prst" type="int"> + <ipxact:name>pll_c_counter_0_prst</ipxact:name> + <ipxact:displayName>pll_c_counter_0_prst</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_c_counter_0_coarse_dly" type="string"> + <ipxact:name>pll_c_counter_0_coarse_dly</ipxact:name> + <ipxact:displayName>pll_c_counter_0_coarse_dly</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_c_counter_0_fine_dly" type="string"> + <ipxact:name>pll_c_counter_0_fine_dly</ipxact:name> + <ipxact:displayName>pll_c_counter_0_fine_dly</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_c_counter_1" type="int"> + <ipxact:name>pll_c_counter_1</ipxact:name> + <ipxact:displayName>pll_c_counter_1</ipxact:displayName> + <ipxact:value>6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_c_counter_1_in_src" type="string"> + <ipxact:name>pll_c_counter_1_in_src</ipxact:name> + <ipxact:displayName>pll_c_counter_1_in_src</ipxact:displayName> + <ipxact:value>m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_c_counter_1_ph_mux_prst" type="int"> + <ipxact:name>pll_c_counter_1_ph_mux_prst</ipxact:name> + <ipxact:displayName>pll_c_counter_1_ph_mux_prst</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_c_counter_1_prst" type="int"> + <ipxact:name>pll_c_counter_1_prst</ipxact:name> + <ipxact:displayName>pll_c_counter_1_prst</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_c_counter_1_coarse_dly" type="string"> + <ipxact:name>pll_c_counter_1_coarse_dly</ipxact:name> + <ipxact:displayName>pll_c_counter_1_coarse_dly</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_c_counter_1_fine_dly" type="string"> + <ipxact:name>pll_c_counter_1_fine_dly</ipxact:name> + <ipxact:displayName>pll_c_counter_1_fine_dly</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_c_counter_2" type="int"> + <ipxact:name>pll_c_counter_2</ipxact:name> + <ipxact:displayName>pll_c_counter_2</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_c_counter_2_in_src" type="string"> + <ipxact:name>pll_c_counter_2_in_src</ipxact:name> + <ipxact:displayName>pll_c_counter_2_in_src</ipxact:displayName> + <ipxact:value>m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_c_counter_2_ph_mux_prst" type="int"> + <ipxact:name>pll_c_counter_2_ph_mux_prst</ipxact:name> + <ipxact:displayName>pll_c_counter_2_ph_mux_prst</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_c_counter_2_prst" type="int"> + <ipxact:name>pll_c_counter_2_prst</ipxact:name> + <ipxact:displayName>pll_c_counter_2_prst</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_c_counter_2_coarse_dly" type="string"> + <ipxact:name>pll_c_counter_2_coarse_dly</ipxact:name> + <ipxact:displayName>pll_c_counter_2_coarse_dly</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_c_counter_2_fine_dly" type="string"> + <ipxact:name>pll_c_counter_2_fine_dly</ipxact:name> + <ipxact:displayName>pll_c_counter_2_fine_dly</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_c_counter_3" type="int"> + <ipxact:name>pll_c_counter_3</ipxact:name> + <ipxact:displayName>pll_c_counter_3</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_c_counter_3_in_src" type="string"> + <ipxact:name>pll_c_counter_3_in_src</ipxact:name> + <ipxact:displayName>pll_c_counter_3_in_src</ipxact:displayName> + <ipxact:value>m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_c_counter_3_ph_mux_prst" type="int"> + <ipxact:name>pll_c_counter_3_ph_mux_prst</ipxact:name> + <ipxact:displayName>pll_c_counter_3_ph_mux_prst</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_c_counter_3_prst" type="int"> + <ipxact:name>pll_c_counter_3_prst</ipxact:name> + <ipxact:displayName>pll_c_counter_3_prst</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_c_counter_3_coarse_dly" type="string"> + <ipxact:name>pll_c_counter_3_coarse_dly</ipxact:name> + <ipxact:displayName>pll_c_counter_3_coarse_dly</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_c_counter_3_fine_dly" type="string"> + <ipxact:name>pll_c_counter_3_fine_dly</ipxact:name> + <ipxact:displayName>pll_c_counter_3_fine_dly</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_iqclk_mux_sel" type="string"> + <ipxact:name>pll_iqclk_mux_sel</ipxact:name> + <ipxact:displayName>pll_iqclk_mux_sel</ipxact:displayName> + <ipxact:value>power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_l_counter" type="int"> + <ipxact:name>pll_l_counter</ipxact:name> + <ipxact:displayName>pll_l_counter</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="core_actual_using_fractional" type="bit"> + <ipxact:name>core_actual_using_fractional</ipxact:name> + <ipxact:displayName>Enable fractional mode</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_actual_using_fractional" type="bit"> + <ipxact:name>hssi_actual_using_fractional</ipxact:name> + <ipxact:displayName>Enable fractional mode</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_cascade_actual_using_fractional" type="bit"> + <ipxact:name>hssi_cascade_actual_using_fractional</ipxact:name> + <ipxact:displayName>Enable fractional mode</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_actual_using_fractional" type="bit"> + <ipxact:name>pll_actual_using_fractional</ipxact:name> + <ipxact:displayName>Enable fractional mode</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="core_dsm_fractional_division" type="longint"> + <ipxact:name>core_dsm_fractional_division</ipxact:name> + <ipxact:displayName>pll_dsm_fractional_division</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_dsm_fractional_division" type="longint"> + <ipxact:name>hssi_dsm_fractional_division</ipxact:name> + <ipxact:displayName>pll_dsm_fractional_division</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_cascade_dsm_fractional_division" type="longint"> + <ipxact:name>hssi_cascade_dsm_fractional_division</ipxact:name> + <ipxact:displayName>pll_dsm_fractional_division</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_dsm_fractional_division" type="string"> + <ipxact:name>pll_dsm_fractional_division</ipxact:name> + <ipxact:displayName>pll_dsm_fractional_division</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_dsm_mode" type="string"> + <ipxact:name>pll_dsm_mode</ipxact:name> + <ipxact:displayName>pll_dsm_mode</ipxact:displayName> + <ipxact:value>dsm_mode_integer</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_dsm_out_sel" type="string"> + <ipxact:name>pll_dsm_out_sel</ipxact:name> + <ipxact:displayName>pll_dsm_out_sel</ipxact:displayName> + <ipxact:value>pll_dsm_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="core_m_counter" type="int"> + <ipxact:name>core_m_counter</ipxact:name> + <ipxact:displayName>pll_m_counter</ipxact:displayName> + <ipxact:value>64</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_m_counter" type="int"> + <ipxact:name>hssi_m_counter</ipxact:name> + <ipxact:displayName>pll_m_counter</ipxact:displayName> + <ipxact:value>11</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_cascade_m_counter" type="int"> + <ipxact:name>hssi_cascade_m_counter</ipxact:name> + <ipxact:displayName>pll_m_counter</ipxact:displayName> + <ipxact:value>11</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="core_n_counter" type="int"> + <ipxact:name>core_n_counter</ipxact:name> + <ipxact:displayName>pll_n_counter</ipxact:displayName> + <ipxact:value>11</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_n_counter" type="int"> + <ipxact:name>hssi_n_counter</ipxact:name> + <ipxact:displayName>pll_n_counter</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_cascade_n_counter" type="int"> + <ipxact:name>hssi_cascade_n_counter</ipxact:name> + <ipxact:displayName>pll_n_counter</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_m_counter" type="int"> + <ipxact:name>pll_m_counter</ipxact:name> + <ipxact:displayName>pll_m_counter</ipxact:displayName> + <ipxact:value>64</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_n_counter" type="int"> + <ipxact:name>pll_n_counter</ipxact:name> + <ipxact:displayName>pll_n_counter</ipxact:displayName> + <ipxact:value>11</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="refclk_freq_bitvec" type="string"> + <ipxact:name>refclk_freq_bitvec</ipxact:name> + <ipxact:displayName>Reference clock frequency</ipxact:displayName> + <ipxact:value>000000100110011010101100010000110010</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="vco_freq_bitvec" type="string"> + <ipxact:name>vco_freq_bitvec</ipxact:name> + <ipxact:displayName>VCO Frequency</ipxact:displayName> + <ipxact:value>000110111111000010001110101100000000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pfd_freq_bitvec" type="string"> + <ipxact:name>pfd_freq_bitvec</ipxact:name> + <ipxact:displayName>PFD Frequency</ipxact:displayName> + <ipxact:value>000000000011011111100001000111010110</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="output_freq_bitvec" type="string"> + <ipxact:name>output_freq_bitvec</ipxact:name> + <ipxact:displayName>PLL output frequency</ipxact:displayName> + <ipxact:value>000000000000000000000000000000000000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="f_out_c0_bitvec" type="string"> + <ipxact:name>f_out_c0_bitvec</ipxact:name> + <ipxact:displayName>PLL output frequency</ipxact:displayName> + <ipxact:value>000000001001010100000010111110010000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="f_out_c1_bitvec" type="string"> + <ipxact:name>f_out_c1_bitvec</ipxact:name> + <ipxact:displayName>PLL output frequency</ipxact:displayName> + <ipxact:value>000000010010101000000101111100100000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="f_out_c2_bitvec" type="string"> + <ipxact:name>f_out_c2_bitvec</ipxact:name> + <ipxact:displayName>PLL output frequency</ipxact:displayName> + <ipxact:value>000000000000000000000000000000000000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="f_out_c3_bitvec" type="string"> + <ipxact:name>f_out_c3_bitvec</ipxact:name> + <ipxact:displayName>PLL output frequency</ipxact:displayName> + <ipxact:value>000000000000000000000000000000000000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_counter_bitvec" type="int"> + <ipxact:name>l_counter_bitvec</ipxact:name> + <ipxact:displayName>l_counter</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="n_counter_bitvec" type="int"> + <ipxact:name>n_counter_bitvec</ipxact:name> + <ipxact:displayName>n_counter</ipxact:displayName> + <ipxact:value>11</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="m_counter_bitvec" type="int"> + <ipxact:name>m_counter_bitvec</ipxact:name> + <ipxact:displayName>m_counter</ipxact:displayName> + <ipxact:value>64</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_counter0_bitvec" type="int"> + <ipxact:name>c_counter0_bitvec</ipxact:name> + <ipxact:displayName>c0_counter</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_counter1_bitvec" type="int"> + <ipxact:name>c_counter1_bitvec</ipxact:name> + <ipxact:displayName>c1_counter</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_counter2_bitvec" type="int"> + <ipxact:name>c_counter2_bitvec</ipxact:name> + <ipxact:displayName>c2_counter</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_counter3_bitvec" type="int"> + <ipxact:name>c_counter3_bitvec</ipxact:name> + <ipxact:displayName>c3_counter</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_width_bitvec" type="int"> + <ipxact:name>pma_width_bitvec</ipxact:name> + <ipxact:displayName>pma_width</ipxact:displayName> + <ipxact:value>64</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cgb_div_bitvec" type="int"> + <ipxact:name>cgb_div_bitvec</ipxact:name> + <ipxact:displayName>cgb_div</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_auto_clk_sw_en" type="string"> + <ipxact:name>pll_auto_clk_sw_en</ipxact:name> + <ipxact:displayName>pll_auto_clk_sw_en</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_clk_loss_edge" type="string"> + <ipxact:name>pll_clk_loss_edge</ipxact:name> + <ipxact:displayName>pll_clk_loss_edge</ipxact:displayName> + <ipxact:value>pll_clk_loss_both_edges</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_clk_loss_sw_en" type="string"> + <ipxact:name>pll_clk_loss_sw_en</ipxact:name> + <ipxact:displayName>pll_clk_loss_sw_en</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_clk_sw_dly" type="int"> + <ipxact:name>pll_clk_sw_dly</ipxact:name> + <ipxact:displayName>pll_clk_sw_dly</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_manu_clk_sw_en" type="string"> + <ipxact:name>pll_manu_clk_sw_en</ipxact:name> + <ipxact:displayName>pll_manu_clk_sw_en</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_sw_refclk_src" type="string"> + <ipxact:name>pll_sw_refclk_src</ipxact:name> + <ipxact:displayName>pll_sw_refclk_src</ipxact:displayName> + <ipxact:value>pll_sw_refclk_src_clk_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_altera_xcvr_fpll_a10_calibration_en" type="int"> + <ipxact:name>set_altera_xcvr_fpll_a10_calibration_en</ipxact:name> + <ipxact:displayName>Enable calibration</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="calibration_en" type="string"> + <ipxact:name>calibration_en</ipxact:name> + <ipxact:displayName>calibration_en</ipxact:displayName> + <ipxact:value>enable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="support_mode" type="string"> + <ipxact:name>support_mode</ipxact:name> + <ipxact:displayName>Support mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_ext_lockdetect_ports" type="int"> + <ipxact:name>enable_ext_lockdetect_ports</ipxact:name> + <ipxact:displayName>Enable clklow and fref ports</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="is_c10" type="int"> + <ipxact:name>is_c10</ipxact:name> + <ipxact:displayName>is_c10</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_reconfig_en" type="string"> + <ipxact:name>cmu_fpll_reconfig_en</ipxact:name> + <ipxact:displayName>cmu_fpll_reconfig_en</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_dps_en" type="string"> + <ipxact:name>cmu_fpll_dps_en</ipxact:name> + <ipxact:displayName>cmu_fpll_dps_en</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_calibration_en" type="string"> + <ipxact:name>cmu_fpll_calibration_en</ipxact:name> + <ipxact:displayName>cmu_fpll_calibration_en</ipxact:displayName> + <ipxact:value>enable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cmu_fpll_refclk_freq" type="string"> + <ipxact:name>cmu_fpll_refclk_freq</ipxact:name> + <ipxact:displayName>cmu_fpll_refclk_freq</ipxact:displayName> + <ipxact:value>000000100110011010101100010000110010</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="fpll_refclk_select" type="int"> + <ipxact:name>fpll_refclk_select</ipxact:name> + <ipxact:displayName>fpll_refclk_select</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_mcgb" type="int"> + <ipxact:name>enable_mcgb</ipxact:name> + <ipxact:displayName>Include Master Clock Generation Block</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="mcgb_div" type="int"> + <ipxact:name>mcgb_div</ipxact:name> + <ipxact:displayName>Clock division factor</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="mcgb_div_fnl" type="int"> + <ipxact:name>mcgb_div_fnl</ipxact:name> + <ipxact:displayName>mcgb_div_fnl</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_hfreq_clk" type="int"> + <ipxact:name>enable_hfreq_clk</ipxact:name> + <ipxact:displayName>Enable x6/xN non-bonded high-speed clock output port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_mcgb_pcie_clksw" type="int"> + <ipxact:name>enable_mcgb_pcie_clksw</ipxact:name> + <ipxact:displayName>Enable PCIe clock switch interface</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="mcgb_aux_clkin_cnt" type="int"> + <ipxact:name>mcgb_aux_clkin_cnt</ipxact:name> + <ipxact:displayName>Number of auxiliary MCGB clock input ports.</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="mcgb_in_clk_freq" type="real"> + <ipxact:name>mcgb_in_clk_freq</ipxact:name> + <ipxact:displayName>MCGB input clock frequency</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="mcgb_out_datarate" type="real"> + <ipxact:name>mcgb_out_datarate</ipxact:name> + <ipxact:displayName>MCGB output data rate</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_bonding_clks" type="int"> + <ipxact:name>enable_bonding_clks</ipxact:name> + <ipxact:displayName>Enable bonding clock output ports</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_fb_comp_bonding" type="int"> + <ipxact:name>enable_fb_comp_bonding</ipxact:name> + <ipxact:displayName>Enable feedback compensation bonding</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="mcgb_enable_iqtxrxclk" type="string"> + <ipxact:name>mcgb_enable_iqtxrxclk</ipxact:name> + <ipxact:displayName>mcgb_enable_iqtxrxclk</ipxact:displayName> + <ipxact:value>disable_iqtxrxclk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_width" type="int"> + <ipxact:name>pma_width</ipxact:name> + <ipxact:displayName>PMA interface width</ipxact:displayName> + <ipxact:value>64</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_mcgb_debug_ports_parameters" type="int"> + <ipxact:name>enable_mcgb_debug_ports_parameters</ipxact:name> + <ipxact:displayName>enable_mcgb_debug_ports_parameters</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_pld_mcgb_cal_busy_port" type="int"> + <ipxact:name>enable_pld_mcgb_cal_busy_port</ipxact:name> + <ipxact:displayName>enable_pld_mcgb_cal_busy_port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="check_output_ports_mcgb" type="int"> + <ipxact:name>check_output_ports_mcgb</ipxact:name> + <ipxact:displayName>check_output_ports_mcgb</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="is_protocol_PCIe" type="int"> + <ipxact:name>is_protocol_PCIe</ipxact:name> + <ipxact:displayName>is_protocol_PCIe</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="mapped_output_clock_frequency" type="string"> + <ipxact:name>mapped_output_clock_frequency</ipxact:name> + <ipxact:displayName>mapped_output_clock_frequency</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="mapped_primary_pll_buffer" type="string"> + <ipxact:name>mapped_primary_pll_buffer</ipxact:name> + <ipxact:displayName>mapped_primary_pll_buffer</ipxact:displayName> + <ipxact:value>N/A</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="mapped_hip_cal_done_port" type="int"> + <ipxact:name>mapped_hip_cal_done_port</ipxact:name> + <ipxact:displayName>mapped_hip_cal_done_port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pma_cgb_master_prot_mode" type="string"> + <ipxact:name>hssi_pma_cgb_master_prot_mode</ipxact:name> + <ipxact:displayName>hssi_pma_cgb_master_prot_mode</ipxact:displayName> + <ipxact:value>basic_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pma_cgb_master_silicon_rev" type="string"> + <ipxact:name>hssi_pma_cgb_master_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_pma_cgb_master_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pma_cgb_master_x1_div_m_sel" type="string"> + <ipxact:name>hssi_pma_cgb_master_x1_div_m_sel</ipxact:name> + <ipxact:displayName>hssi_pma_cgb_master_x1_div_m_sel</ipxact:displayName> + <ipxact:value>divbypass</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pma_cgb_master_cgb_enable_iqtxrxclk" type="string"> + <ipxact:name>hssi_pma_cgb_master_cgb_enable_iqtxrxclk</ipxact:name> + <ipxact:displayName>hssi_pma_cgb_master_cgb_enable_iqtxrxclk</ipxact:displayName> + <ipxact:value>disable_iqtxrxclk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pma_cgb_master_ser_mode" type="string"> + <ipxact:name>hssi_pma_cgb_master_ser_mode</ipxact:name> + <ipxact:displayName>hssi_pma_cgb_master_ser_mode</ipxact:displayName> + <ipxact:value>sixty_four_bit</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pma_cgb_master_datarate" type="string"> + <ipxact:name>hssi_pma_cgb_master_datarate</ipxact:name> + <ipxact:displayName>hssi_pma_cgb_master_datarate</ipxact:displayName> + <ipxact:value>0 bps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pma_cgb_master_cgb_power_down" type="string"> + <ipxact:name>hssi_pma_cgb_master_cgb_power_down</ipxact:name> + <ipxact:displayName>hssi_pma_cgb_master_cgb_power_down</ipxact:displayName> + <ipxact:value>normal_cgb</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pma_cgb_master_observe_cgb_clocks" type="string"> + <ipxact:name>hssi_pma_cgb_master_observe_cgb_clocks</ipxact:name> + <ipxact:displayName>hssi_pma_cgb_master_observe_cgb_clocks</ipxact:displayName> + <ipxact:value>observe_nothing</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pma_cgb_master_op_mode" type="string"> + <ipxact:name>hssi_pma_cgb_master_op_mode</ipxact:name> + <ipxact:displayName>hssi_pma_cgb_master_op_mode</ipxact:displayName> + <ipxact:value>enabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pma_cgb_master_tx_ucontrol_reset_pcie" type="string"> + <ipxact:name>hssi_pma_cgb_master_tx_ucontrol_reset_pcie</ipxact:name> + <ipxact:displayName>hssi_pma_cgb_master_tx_ucontrol_reset_pcie</ipxact:displayName> + <ipxact:value>pcscorehip_controls_mcgb</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pma_cgb_master_vccdreg_output" type="string"> + <ipxact:name>hssi_pma_cgb_master_vccdreg_output</ipxact:name> + <ipxact:displayName>hssi_pma_cgb_master_vccdreg_output</ipxact:displayName> + <ipxact:value>vccdreg_nominal</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pma_cgb_master_input_select" type="string"> + <ipxact:name>hssi_pma_cgb_master_input_select</ipxact:name> + <ipxact:displayName>hssi_pma_cgb_master_input_select</ipxact:displayName> + <ipxact:value>fpll_top</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pma_cgb_master_input_select_gen3" type="string"> + <ipxact:name>hssi_pma_cgb_master_input_select_gen3</ipxact:name> + <ipxact:displayName>hssi_pma_cgb_master_input_select_gen3</ipxact:displayName> + <ipxact:value>unused</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_parameter_list" type="string"> + <ipxact:name>gui_parameter_list</ipxact:name> + <ipxact:displayName>Parameter Names</ipxact:displayName> + <ipxact:value>C-counter-0,C-counter-1,C-counter-2,C-counter-3,L-counter,M-counter,N-counter,VCO Frequency,pll_dsm_fractional_division</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_parameter_values" type="string"> + <ipxact:name>gui_parameter_values</ipxact:name> + <ipxact:displayName>Parameter Values</ipxact:displayName> + <ipxact:value>12,6,1,1,1,64,11,7500.0 MHz,1</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_module_parameters> + <altera:altera_system_parameters> + <ipxact:parameters> + <ipxact:parameter parameterId="device" type="string"> + <ipxact:name>device</ipxact:name> + <ipxact:displayName>Device</ipxact:displayName> + <ipxact:value>10AX115U3F45E2SG</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="deviceFamily" type="string"> + <ipxact:name>deviceFamily</ipxact:name> + <ipxact:displayName>Device family</ipxact:displayName> + <ipxact:value>Arria 10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="deviceSpeedGrade" type="string"> + <ipxact:name>deviceSpeedGrade</ipxact:name> + <ipxact:displayName>Device Speed Grade</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="generationId" type="int"> + <ipxact:name>generationId</ipxact:name> + <ipxact:displayName>Generation Id</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="bonusData" type="string"> + <ipxact:name>bonusData</ipxact:name> + <ipxact:displayName>bonusData</ipxact:displayName> + <ipxact:value>bonusData +{ + element xcvr_fpll_a10_0 + { + datum _sortIndex + { + value = "0"; + type = "int"; + } + } +} +</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hideFromIPCatalog" type="bit"> + <ipxact:name>hideFromIPCatalog</ipxact:name> + <ipxact:displayName>Hide from IP Catalog</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="lockedInterfaceDefinition" type="string"> + <ipxact:name>lockedInterfaceDefinition</ipxact:name> + <ipxact:displayName>lockedInterfaceDefinition</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="systemInfos" type="string"> + <ipxact:name>systemInfos</ipxact:name> + <ipxact:displayName>systemInfos</ipxact:displayName> + <ipxact:value><systemInfosDefinition> + <connPtSystemInfos> + <entry> + <key>outclk0</key> + <value> + <connectionPointName>outclk0</connectionPointName> + <suppliedSystemInfos/> + <consumedSystemInfos> + <entry> + <key>CLOCK_RATE</key> + <value>100000000</value> + </entry> + </consumedSystemInfos> + </value> + </entry> + <entry> + <key>outclk1</key> + <value> + <connectionPointName>outclk1</connectionPointName> + <suppliedSystemInfos/> + <consumedSystemInfos> + <entry> + <key>CLOCK_RATE</key> + <value>100000000</value> + </entry> + </consumedSystemInfos> + </value> + </entry> + </connPtSystemInfos> +</systemInfosDefinition></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_system_parameters> + <altera:altera_interface_boundary> + <altera:interface_mapping altera:name="outclk0" altera:internal="xcvr_fpll_a10_0.outclk0" altera:type="clock" altera:dir="start"> + <altera:port_mapping altera:name="outclk0" altera:internal="outclk0"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="outclk1" altera:internal="xcvr_fpll_a10_0.outclk1" altera:type="clock" altera:dir="start"> + <altera:port_mapping altera:name="outclk1" altera:internal="outclk1"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="pll_cal_busy" altera:internal="xcvr_fpll_a10_0.pll_cal_busy" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="pll_cal_busy" altera:internal="pll_cal_busy"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="pll_locked" altera:internal="xcvr_fpll_a10_0.pll_locked" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="pll_locked" altera:internal="pll_locked"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="pll_powerdown" altera:internal="xcvr_fpll_a10_0.pll_powerdown" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="pll_powerdown" altera:internal="pll_powerdown"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="pll_refclk0" altera:internal="xcvr_fpll_a10_0.pll_refclk0" altera:type="clock" altera:dir="end"> + <altera:port_mapping altera:name="pll_refclk0" altera:internal="pll_refclk0"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_serial_clk" altera:internal="xcvr_fpll_a10_0.tx_serial_clk"></altera:interface_mapping> + </altera:altera_interface_boundary> + <altera:altera_has_warnings>true</altera:altera_has_warnings> + <altera:altera_has_errors>false</altera:altera_has_errors> + </ipxact:vendorExtensions> +</ipxact:component> \ No newline at end of file diff --git a/libraries/technology/ip_arria10_e2sg/pll_xgmii_mac_clocks/ip_arria10_e2sg_pll_xgmii_mac_clocks.qsys b/libraries/technology/ip_arria10_e2sg/pll_xgmii_mac_clocks/ip_arria10_e2sg_pll_xgmii_mac_clocks.qsys deleted file mode 100644 index 572839a271..0000000000 --- a/libraries/technology/ip_arria10_e2sg/pll_xgmii_mac_clocks/ip_arria10_e2sg_pll_xgmii_mac_clocks.qsys +++ /dev/null @@ -1,222 +0,0 @@ -<?xml version="1.0" encoding="UTF-8"?> -<system name="ip_arria10_e2sg_pll_xgmii_mac_clocks"> - <component - name="$${FILENAME}" - displayName="$${FILENAME}" - version="1.0" - description="" - tags="AUTHORSHIP=Intel Corporation /// INTERNAL_COMPONENT=true" - categories="System" - tool="QsysStandard" /> - <parameter name="bonusData"><![CDATA[bonusData -{ - element $system - { - } - element xcvr_fpll_a10_0 - { - datum _sortIndex - { - value = "0"; - type = "int"; - } - } -} -]]></parameter> - <parameter name="device" value="10AX115U3F45E2SG" /> - <parameter name="deviceFamily" value="Arria 10" /> - <parameter name="deviceSpeedGrade" value="2" /> - <parameter name="fabricMode" value="QSYS" /> - <parameter name="generateLegacySim" value="false" /> - <parameter name="generationId" value="0" /> - <parameter name="globalResetBus" value="false" /> - <parameter name="hdlLanguage" value="VERILOG" /> - <parameter name="hideFromIPCatalog" value="true" /> - <parameter name="lockedInterfaceDefinition" value="" /> - <parameter name="sopcBorderPoints" value="false" /> - <parameter name="systemHash" value="0" /> - <parameter name="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos> - <entry> - <key>outclk0</key> - <value> - <connectionPointName>outclk0</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>outclk1</key> - <value> - <connectionPointName>outclk1</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> -</systemInfosDefinition>]]></parameter> - <parameter name="systemScripts" value="" /> - <parameter name="testBenchDutName" value="" /> - <parameter name="timeStamp" value="0" /> - <parameter name="useTestBenchNamingPattern" value="false" /> - <instanceScript></instanceScript> - <interface - name="outclk0" - internal="xcvr_fpll_a10_0.outclk0" - type="clock" - dir="start"> - <port name="outclk0" internal="outclk0" /> - </interface> - <interface - name="outclk1" - internal="xcvr_fpll_a10_0.outclk1" - type="clock" - dir="start"> - <port name="outclk1" internal="outclk1" /> - </interface> - <interface - name="pll_cal_busy" - internal="xcvr_fpll_a10_0.pll_cal_busy" - type="conduit" - dir="end"> - <port name="pll_cal_busy" internal="pll_cal_busy" /> - </interface> - <interface - name="pll_locked" - internal="xcvr_fpll_a10_0.pll_locked" - type="conduit" - dir="end"> - <port name="pll_locked" internal="pll_locked" /> - </interface> - <interface - name="pll_powerdown" - internal="xcvr_fpll_a10_0.pll_powerdown" - type="conduit" - dir="end"> - <port name="pll_powerdown" internal="pll_powerdown" /> - </interface> - <interface - name="pll_refclk0" - internal="xcvr_fpll_a10_0.pll_refclk0" - type="clock" - dir="end"> - <port name="pll_refclk0" internal="pll_refclk0" /> - </interface> - <module - name="xcvr_fpll_a10_0" - kind="altera_xcvr_fpll_a10" - version="19.1" - enabled="1" - autoexport="1"> - <parameter name="base_device" value="NIGHTFURY5" /> - <parameter name="device" value="10AX115U3F45E2SG" /> - <parameter name="enable_analog_resets" value="0" /> - <parameter name="enable_bonding_clks" value="0" /> - <parameter name="enable_ext_lockdetect_ports" value="0" /> - <parameter name="enable_fb_comp_bonding" value="0" /> - <parameter name="enable_hfreq_clk" value="0" /> - <parameter name="enable_mcgb" value="0" /> - <parameter name="enable_mcgb_pcie_clksw" value="0" /> - <parameter name="enable_pld_mcgb_cal_busy_port" value="0" /> - <parameter name="enable_pll_reconfig" value="0" /> - <parameter name="generate_add_hdl_instance_example" value="0" /> - <parameter name="generate_docs" value="1" /> - <parameter name="gui_actual_outclk0_frequency" value="100.0" /> - <parameter name="gui_actual_outclk1_frequency" value="100.0" /> - <parameter name="gui_actual_outclk2_frequency" value="100.0" /> - <parameter name="gui_actual_outclk3_frequency" value="100.0" /> - <parameter name="gui_actual_refclk_frequency" value="100.0" /> - <parameter name="gui_bw_sel" value="low" /> - <parameter name="gui_cascade_outclk_index" value="0" /> - <parameter name="gui_desired_hssi_cascade_frequency" value="100.0" /> - <parameter name="gui_desired_outclk0_frequency" value="156.25" /> - <parameter name="gui_desired_outclk1_frequency" value="312.5" /> - <parameter name="gui_desired_outclk2_frequency" value="100.0" /> - <parameter name="gui_desired_outclk3_frequency" value="100.0" /> - <parameter name="gui_desired_refclk_frequency" value="100.0" /> - <parameter name="gui_enable_50G_support" value="false" /> - <parameter name="gui_enable_active_clk" value="false" /> - <parameter name="gui_enable_cascade_out" value="false" /> - <parameter name="gui_enable_clk_bad" value="false" /> - <parameter name="gui_enable_dps" value="false" /> - <parameter name="gui_enable_fractional" value="false" /> - <parameter name="gui_enable_hip_cal_done_port" value="0" /> - <parameter name="gui_enable_manual_config" value="false" /> - <parameter name="gui_enable_manual_hssi_counters" value="false" /> - <parameter name="gui_enable_phase_alignment" value="false" /> - <parameter name="gui_enable_pld_cal_busy_port" value="1" /> - <parameter name="gui_fpll_mode" value="0" /> - <parameter name="gui_fractional_x" value="32" /> - <parameter name="gui_hip_cal_en" value="0" /> - <parameter name="gui_hssi_output_clock_frequency" value="1250.0" /> - <parameter name="gui_hssi_prot_mode" value="0" /> - <parameter name="gui_iqtxrxclk_outclk_index" value="0" /> - <parameter name="gui_is_downstream_cascaded_pll" value="false" /> - <parameter name="gui_number_of_output_clocks" value="2" /> - <parameter name="gui_operation_mode" value="0" /> - <parameter name="gui_outclk0_actual_phase_shift" value="0.0" /> - <parameter name="gui_outclk0_actual_phase_shift_deg" value="0.0" /> - <parameter name="gui_outclk0_desired_phase_shift" value="0.0" /> - <parameter name="gui_outclk0_phase_shift_unit" value="0" /> - <parameter name="gui_outclk1_actual_phase_shift" value="0.0" /> - <parameter name="gui_outclk1_actual_phase_shift_deg" value="0.0" /> - <parameter name="gui_outclk1_desired_phase_shift" value="0" /> - <parameter name="gui_outclk1_phase_shift_unit" value="0" /> - <parameter name="gui_outclk2_actual_phase_shift" value="0 ps" /> - <parameter name="gui_outclk2_actual_phase_shift_deg" value="0 deg" /> - <parameter name="gui_outclk2_desired_phase_shift" value="0" /> - <parameter name="gui_outclk2_phase_shift_unit" value="0" /> - <parameter name="gui_outclk3_actual_phase_shift" value="0.0" /> - <parameter name="gui_outclk3_actual_phase_shift_deg" value="0.0" /> - <parameter name="gui_outclk3_desired_phase_shift" value="0" /> - <parameter name="gui_outclk3_phase_shift_unit" value="0" /> - <parameter name="gui_pll_c_counter_0" value="1" /> - <parameter name="gui_pll_c_counter_1" value="1" /> - <parameter name="gui_pll_c_counter_2" value="1" /> - <parameter name="gui_pll_c_counter_3" value="1" /> - <parameter name="gui_pll_dsm_fractional_division" value="1" /> - <parameter name="gui_pll_m_counter" value="1" /> - <parameter name="gui_pll_n_counter" value="1" /> - <parameter name="gui_pll_set_hssi_k_counter" value="1" /> - <parameter name="gui_pll_set_hssi_l_counter" value="1" /> - <parameter name="gui_pll_set_hssi_m_counter" value="1" /> - <parameter name="gui_pll_set_hssi_n_counter" value="1" /> - <parameter name="gui_refclk1_frequency" value="100.0" /> - <parameter name="gui_refclk_cnt" value="1" /> - <parameter name="gui_refclk_index" value="0" /> - <parameter name="gui_refclk_switch" value="false" /> - <parameter name="gui_reference_clock_frequency" value="644.53125" /> - <parameter name="gui_self_reset_enabled" value="false" /> - <parameter name="gui_switchover_delay" value="0" /> - <parameter name="gui_switchover_mode">Automatic Switchover</parameter> - <parameter name="mcgb_aux_clkin_cnt" value="0" /> - <parameter name="mcgb_div" value="1" /> - <parameter name="phase_alignment_check_var" value="false" /> - <parameter name="pma_width" value="64" /> - <parameter name="rcfg_debug" value="0" /> - <parameter name="rcfg_enable_avmm_busy_port" value="0" /> - <parameter name="rcfg_file_prefix">altera_xcvr_fpll_a10</parameter> - <parameter name="rcfg_h_file_enable" value="0" /> - <parameter name="rcfg_jtag_enable" value="0" /> - <parameter name="rcfg_mif_file_enable" value="0" /> - <parameter name="rcfg_separate_avmm_busy" value="0" /> - <parameter name="rcfg_sv_file_enable" value="0" /> - <parameter name="rcfg_txt_file_enable" value="0" /> - <parameter name="set_altera_xcvr_fpll_a10_calibration_en" value="1" /> - <parameter name="set_capability_reg_enable" value="0" /> - <parameter name="set_csr_soft_logic_enable" value="0" /> - <parameter name="set_user_identifier" value="0" /> - <parameter name="silicon_rev" value="false" /> - <parameter name="support_mode" value="user_mode" /> - </module> -</system> diff --git a/libraries/technology/ip_arria10_e2sg/ram/ip_arria10_e2sg_ram_cr_cw.ip b/libraries/technology/ip_arria10_e2sg/ram/ip_arria10_e2sg_ram_cr_cw.ip new file mode 100644 index 0000000000..cafb5dc46c --- /dev/null +++ b/libraries/technology/ip_arria10_e2sg/ram/ip_arria10_e2sg_ram_cr_cw.ip @@ -0,0 +1,921 @@ +<?xml version="1.0" ?> +<ipxact:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact2014/extensions" xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"> + <ipxact:vendor>Intel Corporation</ipxact:vendor> + <ipxact:library>ip_arria10_e2sg_ram_cr_cw</ipxact:library> + <ipxact:name>ram_2port_0</ipxact:name> + <ipxact:version>20.0.0</ipxact:version> + <ipxact:busInterfaces> + <ipxact:busInterface> + <ipxact:name>data</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>datain</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>data</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>q</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>dataout</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>q</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>wraddress</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>wraddress</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>wraddress</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rdaddress</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rdaddress</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rdaddress</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>wren</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>wren</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>wren</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>wrclock</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="clock" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>wrclock</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="clockRate" type="longint"> + <ipxact:name>clockRate</ipxact:name> + <ipxact:displayName>Clock rate</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="externallyDriven" type="bit"> + <ipxact:name>externallyDriven</ipxact:name> + <ipxact:displayName>Externally driven</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ptfSchematicName" type="string"> + <ipxact:name>ptfSchematicName</ipxact:name> + <ipxact:displayName>PTF schematic name</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rdclock</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="clock" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rdclock</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="clockRate" type="longint"> + <ipxact:name>clockRate</ipxact:name> + <ipxact:displayName>Clock rate</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="externallyDriven" type="bit"> + <ipxact:name>externallyDriven</ipxact:name> + <ipxact:displayName>Externally driven</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ptfSchematicName" type="string"> + <ipxact:name>ptfSchematicName</ipxact:name> + <ipxact:displayName>PTF schematic name</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + </ipxact:busInterfaces> + <ipxact:model> + <ipxact:views> + <ipxact:view> + <ipxact:name>QUARTUS_SYNTH</ipxact:name> + <ipxact:envIdentifier>:quartus.altera.com:</ipxact:envIdentifier> + <ipxact:componentInstantiationRef>QUARTUS_SYNTH</ipxact:componentInstantiationRef> + </ipxact:view> + </ipxact:views> + <ipxact:instantiations> + <ipxact:componentInstantiation> + <ipxact:name>QUARTUS_SYNTH</ipxact:name> + <ipxact:moduleName>ram_2port</ipxact:moduleName> + <ipxact:fileSetRef> + <ipxact:localName>QUARTUS_SYNTH</ipxact:localName> + </ipxact:fileSetRef> + </ipxact:componentInstantiation> + </ipxact:instantiations> + <ipxact:ports> + <ipxact:port> + <ipxact:name>data</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>7</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>q</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>7</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>wraddress</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>4</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rdaddress</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>4</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>wren</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>wrclock</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rdclock</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + </ipxact:ports> + </ipxact:model> + <ipxact:vendorExtensions> + <altera:entity_info> + <ipxact:vendor>Intel Corporation</ipxact:vendor> + <ipxact:library>ip_arria10_e2sg_ram_cr_cw</ipxact:library> + <ipxact:name>ram_2port</ipxact:name> + <ipxact:version>20.0.0</ipxact:version> + </altera:entity_info> + <altera:altera_module_parameters> + <ipxact:parameters> + <ipxact:parameter parameterId="DEVICE_FAMILY" type="string"> + <ipxact:name>DEVICE_FAMILY</ipxact:name> + <ipxact:displayName>Device Family</ipxact:displayName> + <ipxact:value>Arria 10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_MODE" type="int"> + <ipxact:name>GUI_MODE</ipxact:name> + <ipxact:displayName>Operation Mode</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_MEM_IN_BITS" type="int"> + <ipxact:name>GUI_MEM_IN_BITS</ipxact:name> + <ipxact:displayName>Type</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_MEMSIZE_BITS" type="int"> + <ipxact:name>GUI_MEMSIZE_BITS</ipxact:name> + <ipxact:displayName>How many bits of memory?</ipxact:displayName> + <ipxact:value>256</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_MEMSIZE_WORDS" type="int"> + <ipxact:name>GUI_MEMSIZE_WORDS</ipxact:name> + <ipxact:displayName>How many words of memory?</ipxact:displayName> + <ipxact:value>32</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_QA_WIDTH" type="int"> + <ipxact:name>GUI_QA_WIDTH</ipxact:name> + <ipxact:displayName>How wide should the 'q_a' output bus be?</ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_QB_WIDTH" type="int"> + <ipxact:name>GUI_QB_WIDTH</ipxact:name> + <ipxact:displayName>How wide should the 'q_b' output bus be?</ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_DATAA_WIDTH" type="int"> + <ipxact:name>GUI_DATAA_WIDTH</ipxact:name> + <ipxact:displayName>How wide should the 'data_a' input bus be?</ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_MAX_DEPTH" type="string"> + <ipxact:name>GUI_MAX_DEPTH</ipxact:name> + <ipxact:displayName>Set the maximum block depth to</ipxact:displayName> + <ipxact:value>Auto</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_WIDTH_ECCENCPARITY" type="int"> + <ipxact:name>GUI_WIDTH_ECCENCPARITY</ipxact:name> + <ipxact:displayName>Set the ecc enc parity width</ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_TBENCH" type="bit"> + <ipxact:name>GUI_TBENCH</ipxact:name> + <ipxact:displayName>TESTING</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_TDP_EMULATE" type="bit"> + <ipxact:name>GUI_TDP_EMULATE</ipxact:name> + <ipxact:displayName>Emulate TDP dual clock mode</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_VAR_WIDTH" type="bit"> + <ipxact:name>GUI_VAR_WIDTH</ipxact:name> + <ipxact:displayName>Use different data widths on different ports</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_RAM_BLOCK_TYPE" type="string"> + <ipxact:name>GUI_RAM_BLOCK_TYPE</ipxact:name> + <ipxact:displayName>Ram Block Type</ipxact:displayName> + <ipxact:value>Auto</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_LC_IMPLEMENTION_OPTIONS" type="int"> + <ipxact:name>GUI_LC_IMPLEMENTION_OPTIONS</ipxact:name> + <ipxact:displayName>How should the memory be implemented?</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_FILE_REFERENCE" type="int"> + <ipxact:name>GUI_FILE_REFERENCE</ipxact:name> + <ipxact:displayName>Initialization File:</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_OPTIMIZATION_OPTION" type="int"> + <ipxact:name>GUI_OPTIMIZATION_OPTION</ipxact:name> + <ipxact:displayName>Which timing/power optimization option do you want to use?</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_CLOCK_TYPE" type="int"> + <ipxact:name>GUI_CLOCK_TYPE</ipxact:name> + <ipxact:displayName>Which clocking method do you want to use?</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_RDEN_SINGLE" type="bit"> + <ipxact:name>GUI_RDEN_SINGLE</ipxact:name> + <ipxact:displayName>Create a 'rden' read enable signal</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_RDEN_DOUBLE" type="bit"> + <ipxact:name>GUI_RDEN_DOUBLE</ipxact:name> + <ipxact:displayName>Create 'rden_a' and 'rden_b' read enable signals</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_BYTE_ENABLE_A" type="bit"> + <ipxact:name>GUI_BYTE_ENABLE_A</ipxact:name> + <ipxact:displayName>Create byte enable for port A</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_BYTE_ENABLE_B" type="bit"> + <ipxact:name>GUI_BYTE_ENABLE_B</ipxact:name> + <ipxact:displayName>Create byte enable for port B</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_ECC_DOUBLE" type="bit"> + <ipxact:name>GUI_ECC_DOUBLE</ipxact:name> + <ipxact:displayName>Enable Error Correction Check (ECC)</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_ECC_TRIPLE" type="bit"> + <ipxact:name>GUI_ECC_TRIPLE</ipxact:name> + <ipxact:displayName>Enable Error Correction Check (ECC)</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_ECC_PIPELINE" type="bit"> + <ipxact:name>GUI_ECC_PIPELINE</ipxact:name> + <ipxact:displayName>Enable ECC Pipeline Registers</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_ECCENCBYPASS" type="bit"> + <ipxact:name>GUI_ECCENCBYPASS</ipxact:name> + <ipxact:displayName>Enable ECC Encoder Bypass</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_COHERENT_READ" type="bit"> + <ipxact:name>GUI_COHERENT_READ</ipxact:name> + <ipxact:displayName>Enable Coherent Read</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_FORCE_TO_ZERO" type="bit"> + <ipxact:name>GUI_FORCE_TO_ZERO</ipxact:name> + <ipxact:displayName>Enable Force To Zero</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_PR" type="bit"> + <ipxact:name>GUI_PR</ipxact:name> + <ipxact:displayName>Implement clock-enable circuitry for use in a partial reconfiguration region</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_BYTE_ENABLE_WIDTH" type="int"> + <ipxact:name>GUI_BYTE_ENABLE_WIDTH</ipxact:name> + <ipxact:displayName>What is the width of a byte for byte enables?</ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_WRITE_INPUT_PORTS" type="bit"> + <ipxact:name>GUI_WRITE_INPUT_PORTS</ipxact:name> + <ipxact:displayName>All write input ports</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_READ_INPUT_RDADDRESS" type="bit"> + <ipxact:name>GUI_READ_INPUT_RDADDRESS</ipxact:name> + <ipxact:displayName>rdaddress port</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_READ_OUTPUT_QA" type="bit"> + <ipxact:name>GUI_READ_OUTPUT_QA</ipxact:name> + <ipxact:displayName>q_a port</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_READ_OUTPUT_QB" type="bit"> + <ipxact:name>GUI_READ_OUTPUT_QB</ipxact:name> + <ipxact:displayName>q_b port</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_DIFFERENT_CLKENS" type="bit"> + <ipxact:name>GUI_DIFFERENT_CLKENS</ipxact:name> + <ipxact:displayName>Use different clock enables for registers</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_CLKEN_WRITE_INPUT_REG" type="bit"> + <ipxact:name>GUI_CLKEN_WRITE_INPUT_REG</ipxact:name> + <ipxact:displayName>Use clock enable for write input registers</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_CLKEN_READ_INPUT_REG" type="bit"> + <ipxact:name>GUI_CLKEN_READ_INPUT_REG</ipxact:name> + <ipxact:displayName>Use clock enable for read input registers</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_CLKEN_READ_OUTPUT_REG" type="bit"> + <ipxact:name>GUI_CLKEN_READ_OUTPUT_REG</ipxact:name> + <ipxact:displayName>Use clock enable for output registers</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_CLKEN_INPUT_REG_A" type="bit"> + <ipxact:name>GUI_CLKEN_INPUT_REG_A</ipxact:name> + <ipxact:displayName>Use clock enable for port A input registers</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_CLKEN_INPUT_REG_B" type="bit"> + <ipxact:name>GUI_CLKEN_INPUT_REG_B</ipxact:name> + <ipxact:displayName>Use clock enable for port B input registers</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_CLKEN_OUTPUT_REG_A" type="bit"> + <ipxact:name>GUI_CLKEN_OUTPUT_REG_A</ipxact:name> + <ipxact:displayName>Use clock enable for port A output registers</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_CLKEN_OUTPUT_REG_B" type="bit"> + <ipxact:name>GUI_CLKEN_OUTPUT_REG_B</ipxact:name> + <ipxact:displayName>Use clock enable for port B output registers</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_CLKEN_ADDRESS_STALL_A" type="bit"> + <ipxact:name>GUI_CLKEN_ADDRESS_STALL_A</ipxact:name> + <ipxact:displayName>Create an addressstall_a input port</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_CLKEN_ADDRESS_STALL_B" type="bit"> + <ipxact:name>GUI_CLKEN_ADDRESS_STALL_B</ipxact:name> + <ipxact:displayName>Create an addressstall_b input port</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_CLKEN_WRADDRESSSTALL" type="bit"> + <ipxact:name>GUI_CLKEN_WRADDRESSSTALL</ipxact:name> + <ipxact:displayName>Create an wr_addressstall input port</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_CLKEN_RDADDRESSSTALL" type="bit"> + <ipxact:name>GUI_CLKEN_RDADDRESSSTALL</ipxact:name> + <ipxact:displayName>Create an rd_addressstall input port</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_ACLR_READ_INPUT_RDADDRESS" type="bit"> + <ipxact:name>GUI_ACLR_READ_INPUT_RDADDRESS</ipxact:name> + <ipxact:displayName>rdaddress port</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_ACLR_READ_OUTPUT_QA" type="bit"> + <ipxact:name>GUI_ACLR_READ_OUTPUT_QA</ipxact:name> + <ipxact:displayName>q_a port</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_ACLR_READ_OUTPUT_QB" type="bit"> + <ipxact:name>GUI_ACLR_READ_OUTPUT_QB</ipxact:name> + <ipxact:displayName>q_b port</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_SCLR_READ_OUTPUT_QA" type="bit"> + <ipxact:name>GUI_SCLR_READ_OUTPUT_QA</ipxact:name> + <ipxact:displayName>q_a port</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_SCLR_READ_OUTPUT_QB" type="bit"> + <ipxact:name>GUI_SCLR_READ_OUTPUT_QB</ipxact:name> + <ipxact:displayName>q_b port</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_Q_PORT_MODE" type="int"> + <ipxact:name>GUI_Q_PORT_MODE</ipxact:name> + <ipxact:displayName><html>How should the q_a and q_b outputs behave when reading a memory location<br>that is being written from the other port?</html></ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_CONSTRAINED_DONT_CARE" type="bit"> + <ipxact:name>GUI_CONSTRAINED_DONT_CARE</ipxact:name> + <ipxact:displayName><html>Do not analyze the timing between write and read operation. Metastabillity issues are<br>prevented by never writing and reading at the same address at the same time.</html></ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_RDW_A_MODE" type="string"> + <ipxact:name>GUI_RDW_A_MODE</ipxact:name> + <ipxact:displayName>What should the q_a output be when reading from a memory location being written to?</ipxact:displayName> + <ipxact:value>New Data</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_RDW_B_MODE" type="string"> + <ipxact:name>GUI_RDW_B_MODE</ipxact:name> + <ipxact:displayName>What should the q_b output be when reading from a memory location being written to?</ipxact:displayName> + <ipxact:value>New Data</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_NBE_A" type="bit"> + <ipxact:name>GUI_NBE_A</ipxact:name> + <ipxact:displayName>Get x's for write masked bytes instead of old data when byte enable is used</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_NBE_B" type="bit"> + <ipxact:name>GUI_NBE_B</ipxact:name> + <ipxact:displayName>Get x's for write masked bytes instead of old data when byte enable is used</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_BLANK_MEMORY" type="int"> + <ipxact:name>GUI_BLANK_MEMORY</ipxact:name> + <ipxact:displayName>Type</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_INIT_FILE_LAYOUT" type="string"> + <ipxact:name>GUI_INIT_FILE_LAYOUT</ipxact:name> + <ipxact:displayName>The initial content file should conform to which port's dimensions?</ipxact:displayName> + <ipxact:value>PORT_B</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_INIT_SIM_TO_X" type="bit"> + <ipxact:name>GUI_INIT_SIM_TO_X</ipxact:name> + <ipxact:displayName>Initialize memory content data to XX..X on power-up in simulation</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_MIF_FILENAME" type="string"> + <ipxact:name>GUI_MIF_FILENAME</ipxact:name> + <ipxact:displayName>File name</ipxact:displayName> + <ipxact:value>./ram_1024.hex</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_BYTE_WIDTH_A" type="int"> + <ipxact:name>GUI_BYTE_WIDTH_A</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_BYTE_WIDTH_B" type="int"> + <ipxact:name>GUI_BYTE_WIDTH_B</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_MODULE_NAME" type="string"> + <ipxact:name>GUI_MODULE_NAME</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>altera_syncram</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_DATA_WIDTH" type="int"> + <ipxact:name>GUI_DATA_WIDTH</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_Q_WIDTH" type="int"> + <ipxact:name>GUI_Q_WIDTH</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_WRADDRESS_WIDTH" type="int"> + <ipxact:name>GUI_WRADDRESS_WIDTH</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_RDADDRESS_WIDTH" type="int"> + <ipxact:name>GUI_RDADDRESS_WIDTH</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_WIDTH_ECCSTATUS" type="int"> + <ipxact:name>GUI_WIDTH_ECCSTATUS</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_NUMWORDS_A" type="int"> + <ipxact:name>GUI_NUMWORDS_A</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>32</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_NUMWORDS_B" type="int"> + <ipxact:name>GUI_NUMWORDS_B</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>32</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_RESOURCE_USAGE" type="string"> + <ipxact:name>GUI_RESOURCE_USAGE</ipxact:name> + <ipxact:displayName>Resource Usage</ipxact:displayName> + <ipxact:value>1 M20K</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_module_parameters> + <altera:altera_system_parameters> + <ipxact:parameters> + <ipxact:parameter parameterId="device" type="string"> + <ipxact:name>device</ipxact:name> + <ipxact:displayName>Device</ipxact:displayName> + <ipxact:value>10AX115U3F45E2SG</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="deviceFamily" type="string"> + <ipxact:name>deviceFamily</ipxact:name> + <ipxact:displayName>Device family</ipxact:displayName> + <ipxact:value>Arria 10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="deviceSpeedGrade" type="string"> + <ipxact:name>deviceSpeedGrade</ipxact:name> + <ipxact:displayName>Device Speed Grade</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="generationId" type="int"> + <ipxact:name>generationId</ipxact:name> + <ipxact:displayName>Generation Id</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="bonusData" type="string"> + <ipxact:name>bonusData</ipxact:name> + <ipxact:displayName>bonusData</ipxact:displayName> + <ipxact:value>bonusData +{ + element ram_2port_0 + { + datum _sortIndex + { + value = "0"; + type = "int"; + } + } +} +</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hideFromIPCatalog" type="bit"> + <ipxact:name>hideFromIPCatalog</ipxact:name> + <ipxact:displayName>Hide from IP Catalog</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="lockedInterfaceDefinition" type="string"> + <ipxact:name>lockedInterfaceDefinition</ipxact:name> + <ipxact:displayName>lockedInterfaceDefinition</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="systemInfos" type="string"> + <ipxact:name>systemInfos</ipxact:name> + <ipxact:displayName>systemInfos</ipxact:displayName> + <ipxact:value><systemInfosDefinition> + <connPtSystemInfos/> +</systemInfosDefinition></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_system_parameters> + <altera:altera_interface_boundary> + <altera:interface_mapping altera:name="clock" altera:internal="ram_2port_0.clock"></altera:interface_mapping> + <altera:interface_mapping altera:name="data" altera:internal="ram_2port_0.data" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="data" altera:internal="data"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="q" altera:internal="ram_2port_0.q" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="q" altera:internal="q"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rdaddress" altera:internal="ram_2port_0.rdaddress" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rdaddress" altera:internal="rdaddress"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rdclock" altera:internal="ram_2port_0.rdclock" altera:type="clock" altera:dir="end"> + <altera:port_mapping altera:name="rdclock" altera:internal="rdclock"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="wraddress" altera:internal="ram_2port_0.wraddress" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="wraddress" altera:internal="wraddress"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="wrclock" altera:internal="ram_2port_0.wrclock" altera:type="clock" altera:dir="end"> + <altera:port_mapping altera:name="wrclock" altera:internal="wrclock"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="wren" altera:internal="ram_2port_0.wren" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="wren" altera:internal="wren"></altera:port_mapping> + </altera:interface_mapping> + </altera:altera_interface_boundary> + <altera:altera_has_warnings>false</altera:altera_has_warnings> + <altera:altera_has_errors>false</altera:altera_has_errors> + </ipxact:vendorExtensions> +</ipxact:component> \ No newline at end of file diff --git a/libraries/technology/ip_arria10_e2sg/ram/ip_arria10_e2sg_ram_cr_cw.qsys b/libraries/technology/ip_arria10_e2sg/ram/ip_arria10_e2sg_ram_cr_cw.qsys deleted file mode 100644 index b5f64e7f84..0000000000 --- a/libraries/technology/ip_arria10_e2sg/ram/ip_arria10_e2sg_ram_cr_cw.qsys +++ /dev/null @@ -1,145 +0,0 @@ -<?xml version="1.0" encoding="UTF-8"?> -<system name="ip_arria10_e2sg_ram_cr_cw"> - <component - name="$${FILENAME}" - displayName="$${FILENAME}" - version="1.0" - description="" - tags="AUTHORSHIP=Intel Corporation /// INTERNAL_COMPONENT=true" - categories="System" - tool="QsysStandard" /> - <parameter name="bonusData"><![CDATA[bonusData -{ - element $system - { - } - element ram_2port_0 - { - datum _sortIndex - { - value = "0"; - type = "int"; - } - } -} -]]></parameter> - <parameter name="device" value="10AX115U3F45E2SG" /> - <parameter name="deviceFamily" value="Arria 10" /> - <parameter name="deviceSpeedGrade" value="2" /> - <parameter name="fabricMode" value="QSYS" /> - <parameter name="generateLegacySim" value="false" /> - <parameter name="generationId" value="0" /> - <parameter name="globalResetBus" value="false" /> - <parameter name="hdlLanguage" value="VERILOG" /> - <parameter name="hideFromIPCatalog" value="true" /> - <parameter name="lockedInterfaceDefinition" value="" /> - <parameter name="sopcBorderPoints" value="false" /> - <parameter name="systemHash" value="0" /> - <parameter name="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos/> -</systemInfosDefinition>]]></parameter> - <parameter name="systemScripts" value="" /> - <parameter name="testBenchDutName" value="" /> - <parameter name="timeStamp" value="0" /> - <parameter name="useTestBenchNamingPattern" value="false" /> - <instanceScript></instanceScript> - <interface name="data" internal="ram_2port_0.data" type="conduit" dir="end"> - <port name="data" internal="data" /> - </interface> - <interface name="q" internal="ram_2port_0.q" type="conduit" dir="end"> - <port name="q" internal="q" /> - </interface> - <interface name="ram_input" internal="ram_2port_0.ram_input" /> - <interface name="ram_output" internal="ram_2port_0.ram_output" /> - <interface - name="rdaddress" - internal="ram_2port_0.rdaddress" - type="conduit" - dir="end"> - <port name="rdaddress" internal="rdaddress" /> - </interface> - <interface name="rdclock" internal="ram_2port_0.rdclock" type="clock" dir="end"> - <port name="rdclock" internal="rdclock" /> - </interface> - <interface - name="wraddress" - internal="ram_2port_0.wraddress" - type="conduit" - dir="end"> - <port name="wraddress" internal="wraddress" /> - </interface> - <interface name="wrclock" internal="ram_2port_0.wrclock" type="clock" dir="end"> - <port name="wrclock" internal="wrclock" /> - </interface> - <interface name="wren" internal="ram_2port_0.wren" type="conduit" dir="end"> - <port name="wren" internal="wren" /> - </interface> - <module - name="ram_2port_0" - kind="ram_2port" - version="20.0.0" - enabled="1" - autoexport="1"> - <parameter name="DEVICE_FAMILY" value="Arria 10" /> - <parameter name="GUI_ACLR_READ_INPUT_RDADDRESS" value="false" /> - <parameter name="GUI_ACLR_READ_OUTPUT_QA" value="false" /> - <parameter name="GUI_ACLR_READ_OUTPUT_QB" value="false" /> - <parameter name="GUI_BLANK_MEMORY" value="1" /> - <parameter name="GUI_BYTE_ENABLE_A" value="false" /> - <parameter name="GUI_BYTE_ENABLE_B" value="false" /> - <parameter name="GUI_BYTE_ENABLE_WIDTH" value="8" /> - <parameter name="GUI_CLKEN_ADDRESS_STALL_A" value="false" /> - <parameter name="GUI_CLKEN_ADDRESS_STALL_B" value="false" /> - <parameter name="GUI_CLKEN_INPUT_REG_A" value="false" /> - <parameter name="GUI_CLKEN_INPUT_REG_B" value="false" /> - <parameter name="GUI_CLKEN_OUTPUT_REG_A" value="false" /> - <parameter name="GUI_CLKEN_OUTPUT_REG_B" value="false" /> - <parameter name="GUI_CLKEN_RDADDRESSSTALL" value="false" /> - <parameter name="GUI_CLKEN_READ_INPUT_REG" value="false" /> - <parameter name="GUI_CLKEN_READ_OUTPUT_REG" value="false" /> - <parameter name="GUI_CLKEN_WRADDRESSSTALL" value="false" /> - <parameter name="GUI_CLKEN_WRITE_INPUT_REG" value="false" /> - <parameter name="GUI_CLOCK_TYPE" value="1" /> - <parameter name="GUI_COHERENT_READ" value="false" /> - <parameter name="GUI_CONSTRAINED_DONT_CARE" value="true" /> - <parameter name="GUI_DATAA_WIDTH" value="8" /> - <parameter name="GUI_DIFFERENT_CLKENS" value="false" /> - <parameter name="GUI_ECCENCBYPASS" value="false" /> - <parameter name="GUI_ECC_DOUBLE" value="false" /> - <parameter name="GUI_ECC_PIPELINE" value="false" /> - <parameter name="GUI_ECC_TRIPLE" value="false" /> - <parameter name="GUI_FILE_REFERENCE" value="0" /> - <parameter name="GUI_FORCE_TO_ZERO" value="false" /> - <parameter name="GUI_INIT_FILE_LAYOUT" value="PORT_B" /> - <parameter name="GUI_INIT_SIM_TO_X" value="false" /> - <parameter name="GUI_LC_IMPLEMENTION_OPTIONS" value="0" /> - <parameter name="GUI_MAX_DEPTH" value="Auto" /> - <parameter name="GUI_MEMSIZE_BITS" value="256" /> - <parameter name="GUI_MEMSIZE_WORDS" value="32" /> - <parameter name="GUI_MEM_IN_BITS" value="0" /> - <parameter name="GUI_MIF_FILENAME" value="./ram_1024.hex" /> - <parameter name="GUI_MODE" value="0" /> - <parameter name="GUI_NBE_A" value="false" /> - <parameter name="GUI_NBE_B" value="false" /> - <parameter name="GUI_OPTIMIZATION_OPTION" value="0" /> - <parameter name="GUI_PR" value="false" /> - <parameter name="GUI_QA_WIDTH" value="8" /> - <parameter name="GUI_QB_WIDTH" value="8" /> - <parameter name="GUI_Q_PORT_MODE" value="2" /> - <parameter name="GUI_RAM_BLOCK_TYPE" value="Auto" /> - <parameter name="GUI_RDEN_DOUBLE" value="false" /> - <parameter name="GUI_RDEN_SINGLE" value="false" /> - <parameter name="GUI_RDW_A_MODE" value="New Data" /> - <parameter name="GUI_RDW_B_MODE" value="New Data" /> - <parameter name="GUI_READ_INPUT_RDADDRESS" value="true" /> - <parameter name="GUI_READ_OUTPUT_QA" value="true" /> - <parameter name="GUI_READ_OUTPUT_QB" value="true" /> - <parameter name="GUI_SCLR_READ_OUTPUT_QA" value="false" /> - <parameter name="GUI_SCLR_READ_OUTPUT_QB" value="false" /> - <parameter name="GUI_TBENCH" value="false" /> - <parameter name="GUI_TDP_EMULATE" value="false" /> - <parameter name="GUI_VAR_WIDTH" value="false" /> - <parameter name="GUI_WIDTH_ECCENCPARITY" value="8" /> - <parameter name="GUI_WRITE_INPUT_PORTS" value="true" /> - </module> -</system> diff --git a/libraries/technology/ip_arria10_e2sg/ram/ip_arria10_e2sg_ram_crw_crw.ip b/libraries/technology/ip_arria10_e2sg/ram/ip_arria10_e2sg_ram_crw_crw.ip new file mode 100644 index 0000000000..0e393424fa --- /dev/null +++ b/libraries/technology/ip_arria10_e2sg/ram/ip_arria10_e2sg_ram_crw_crw.ip @@ -0,0 +1,1124 @@ +<?xml version="1.0" ?> +<ipxact:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact2014/extensions" xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"> + <ipxact:vendor>Intel Corporation</ipxact:vendor> + <ipxact:library>ip_arria10_e2sg_ram_crw_crw</ipxact:library> + <ipxact:name>ram_2port_0</ipxact:name> + <ipxact:version>20.0.0</ipxact:version> + <ipxact:busInterfaces> + <ipxact:busInterface> + <ipxact:name>data_a</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>datain_a</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>data_a</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>q_a</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>dataout_a</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>q_a</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>data_b</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>datain_b</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>data_b</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>q_b</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>dataout_b</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>q_b</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>address_a</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>address_a</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>address_a</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>address_b</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>address_b</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>address_b</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>wren_a</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>wren_a</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>wren_a</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>wren_b</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>wren_b</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>wren_b</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>clock_a</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="clock" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>clock_a</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="clockRate" type="longint"> + <ipxact:name>clockRate</ipxact:name> + <ipxact:displayName>Clock rate</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="externallyDriven" type="bit"> + <ipxact:name>externallyDriven</ipxact:name> + <ipxact:displayName>Externally driven</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ptfSchematicName" type="string"> + <ipxact:name>ptfSchematicName</ipxact:name> + <ipxact:displayName>PTF schematic name</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>clock_b</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="clock" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>clock_b</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="clockRate" type="longint"> + <ipxact:name>clockRate</ipxact:name> + <ipxact:displayName>Clock rate</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="externallyDriven" type="bit"> + <ipxact:name>externallyDriven</ipxact:name> + <ipxact:displayName>Externally driven</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ptfSchematicName" type="string"> + <ipxact:name>ptfSchematicName</ipxact:name> + <ipxact:displayName>PTF schematic name</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + </ipxact:busInterfaces> + <ipxact:model> + <ipxact:views> + <ipxact:view> + <ipxact:name>QUARTUS_SYNTH</ipxact:name> + <ipxact:envIdentifier>:quartus.altera.com:</ipxact:envIdentifier> + <ipxact:componentInstantiationRef>QUARTUS_SYNTH</ipxact:componentInstantiationRef> + </ipxact:view> + </ipxact:views> + <ipxact:instantiations> + <ipxact:componentInstantiation> + <ipxact:name>QUARTUS_SYNTH</ipxact:name> + <ipxact:moduleName>ram_2port</ipxact:moduleName> + <ipxact:fileSetRef> + <ipxact:localName>QUARTUS_SYNTH</ipxact:localName> + </ipxact:fileSetRef> + </ipxact:componentInstantiation> + </ipxact:instantiations> + <ipxact:ports> + <ipxact:port> + <ipxact:name>data_a</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>7</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>q_a</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>7</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>data_b</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>7</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>q_b</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>7</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>address_a</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>4</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>address_b</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>4</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>wren_a</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>wren_b</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>clock_a</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>clock_b</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + </ipxact:ports> + </ipxact:model> + <ipxact:vendorExtensions> + <altera:entity_info> + <ipxact:vendor>Intel Corporation</ipxact:vendor> + <ipxact:library>ip_arria10_e2sg_ram_crw_crw</ipxact:library> + <ipxact:name>ram_2port</ipxact:name> + <ipxact:version>20.0.0</ipxact:version> + </altera:entity_info> + <altera:altera_module_parameters> + <ipxact:parameters> + <ipxact:parameter parameterId="DEVICE_FAMILY" type="string"> + <ipxact:name>DEVICE_FAMILY</ipxact:name> + <ipxact:displayName>Device Family</ipxact:displayName> + <ipxact:value>Arria 10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_MODE" type="int"> + <ipxact:name>GUI_MODE</ipxact:name> + <ipxact:displayName>Operation Mode</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_MEM_IN_BITS" type="int"> + <ipxact:name>GUI_MEM_IN_BITS</ipxact:name> + <ipxact:displayName>Type</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_MEMSIZE_BITS" type="int"> + <ipxact:name>GUI_MEMSIZE_BITS</ipxact:name> + <ipxact:displayName>How many bits of memory?</ipxact:displayName> + <ipxact:value>256</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_MEMSIZE_WORDS" type="int"> + <ipxact:name>GUI_MEMSIZE_WORDS</ipxact:name> + <ipxact:displayName>How many words of memory?</ipxact:displayName> + <ipxact:value>32</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_QA_WIDTH" type="int"> + <ipxact:name>GUI_QA_WIDTH</ipxact:name> + <ipxact:displayName>How wide should the 'q_a' output bus be?</ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_QB_WIDTH" type="int"> + <ipxact:name>GUI_QB_WIDTH</ipxact:name> + <ipxact:displayName>How wide should the 'q_b' output bus be?</ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_DATAA_WIDTH" type="int"> + <ipxact:name>GUI_DATAA_WIDTH</ipxact:name> + <ipxact:displayName>How wide should the 'data_a' input bus be?</ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_MAX_DEPTH" type="string"> + <ipxact:name>GUI_MAX_DEPTH</ipxact:name> + <ipxact:displayName>Set the maximum block depth to</ipxact:displayName> + <ipxact:value>Auto</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_WIDTH_ECCENCPARITY" type="int"> + <ipxact:name>GUI_WIDTH_ECCENCPARITY</ipxact:name> + <ipxact:displayName>Set the ecc enc parity width</ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_TBENCH" type="bit"> + <ipxact:name>GUI_TBENCH</ipxact:name> + <ipxact:displayName>TESTING</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_TDP_EMULATE" type="bit"> + <ipxact:name>GUI_TDP_EMULATE</ipxact:name> + <ipxact:displayName>Emulate TDP dual clock mode</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_VAR_WIDTH" type="bit"> + <ipxact:name>GUI_VAR_WIDTH</ipxact:name> + <ipxact:displayName>Use different data widths on different ports</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_RAM_BLOCK_TYPE" type="string"> + <ipxact:name>GUI_RAM_BLOCK_TYPE</ipxact:name> + <ipxact:displayName>Ram Block Type</ipxact:displayName> + <ipxact:value>Auto</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_LC_IMPLEMENTION_OPTIONS" type="int"> + <ipxact:name>GUI_LC_IMPLEMENTION_OPTIONS</ipxact:name> + <ipxact:displayName>How should the memory be implemented?</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_FILE_REFERENCE" type="int"> + <ipxact:name>GUI_FILE_REFERENCE</ipxact:name> + <ipxact:displayName>Initialization File:</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_OPTIMIZATION_OPTION" type="int"> + <ipxact:name>GUI_OPTIMIZATION_OPTION</ipxact:name> + <ipxact:displayName>Which timing/power optimization option do you want to use?</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_CLOCK_TYPE" type="int"> + <ipxact:name>GUI_CLOCK_TYPE</ipxact:name> + <ipxact:displayName>Which clocking method do you want to use?</ipxact:displayName> + <ipxact:value>4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_RDEN_SINGLE" type="bit"> + <ipxact:name>GUI_RDEN_SINGLE</ipxact:name> + <ipxact:displayName>Create a 'rden' read enable signal</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_RDEN_DOUBLE" type="bit"> + <ipxact:name>GUI_RDEN_DOUBLE</ipxact:name> + <ipxact:displayName>Create 'rden_a' and 'rden_b' read enable signals</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_BYTE_ENABLE_A" type="bit"> + <ipxact:name>GUI_BYTE_ENABLE_A</ipxact:name> + <ipxact:displayName>Create byte enable for port A</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_BYTE_ENABLE_B" type="bit"> + <ipxact:name>GUI_BYTE_ENABLE_B</ipxact:name> + <ipxact:displayName>Create byte enable for port B</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_ECC_DOUBLE" type="bit"> + <ipxact:name>GUI_ECC_DOUBLE</ipxact:name> + <ipxact:displayName>Enable Error Correction Check (ECC)</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_ECC_TRIPLE" type="bit"> + <ipxact:name>GUI_ECC_TRIPLE</ipxact:name> + <ipxact:displayName>Enable Error Correction Check (ECC)</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_ECC_PIPELINE" type="bit"> + <ipxact:name>GUI_ECC_PIPELINE</ipxact:name> + <ipxact:displayName>Enable ECC Pipeline Registers</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_ECCENCBYPASS" type="bit"> + <ipxact:name>GUI_ECCENCBYPASS</ipxact:name> + <ipxact:displayName>Enable ECC Encoder Bypass</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_COHERENT_READ" type="bit"> + <ipxact:name>GUI_COHERENT_READ</ipxact:name> + <ipxact:displayName>Enable Coherent Read</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_FORCE_TO_ZERO" type="bit"> + <ipxact:name>GUI_FORCE_TO_ZERO</ipxact:name> + <ipxact:displayName>Enable Force To Zero</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_PR" type="bit"> + <ipxact:name>GUI_PR</ipxact:name> + <ipxact:displayName>Implement clock-enable circuitry for use in a partial reconfiguration region</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_BYTE_ENABLE_WIDTH" type="int"> + <ipxact:name>GUI_BYTE_ENABLE_WIDTH</ipxact:name> + <ipxact:displayName>What is the width of a byte for byte enables?</ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_WRITE_INPUT_PORTS" type="bit"> + <ipxact:name>GUI_WRITE_INPUT_PORTS</ipxact:name> + <ipxact:displayName>All write input ports</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_READ_INPUT_RDADDRESS" type="bit"> + <ipxact:name>GUI_READ_INPUT_RDADDRESS</ipxact:name> + <ipxact:displayName>rdaddress port</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_READ_OUTPUT_QA" type="bit"> + <ipxact:name>GUI_READ_OUTPUT_QA</ipxact:name> + <ipxact:displayName>q_a port</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_READ_OUTPUT_QB" type="bit"> + <ipxact:name>GUI_READ_OUTPUT_QB</ipxact:name> + <ipxact:displayName>q_b port</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_DIFFERENT_CLKENS" type="bit"> + <ipxact:name>GUI_DIFFERENT_CLKENS</ipxact:name> + <ipxact:displayName>Use different clock enables for registers</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_CLKEN_WRITE_INPUT_REG" type="bit"> + <ipxact:name>GUI_CLKEN_WRITE_INPUT_REG</ipxact:name> + <ipxact:displayName>Use clock enable for write input registers</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_CLKEN_READ_INPUT_REG" type="bit"> + <ipxact:name>GUI_CLKEN_READ_INPUT_REG</ipxact:name> + <ipxact:displayName>Use clock enable for read input registers</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_CLKEN_READ_OUTPUT_REG" type="bit"> + <ipxact:name>GUI_CLKEN_READ_OUTPUT_REG</ipxact:name> + <ipxact:displayName>Use clock enable for output registers</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_CLKEN_INPUT_REG_A" type="bit"> + <ipxact:name>GUI_CLKEN_INPUT_REG_A</ipxact:name> + <ipxact:displayName>Use clock enable for port A input registers</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_CLKEN_INPUT_REG_B" type="bit"> + <ipxact:name>GUI_CLKEN_INPUT_REG_B</ipxact:name> + <ipxact:displayName>Use clock enable for port B input registers</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_CLKEN_OUTPUT_REG_A" type="bit"> + <ipxact:name>GUI_CLKEN_OUTPUT_REG_A</ipxact:name> + <ipxact:displayName>Use clock enable for port A output registers</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_CLKEN_OUTPUT_REG_B" type="bit"> + <ipxact:name>GUI_CLKEN_OUTPUT_REG_B</ipxact:name> + <ipxact:displayName>Use clock enable for port B output registers</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_CLKEN_ADDRESS_STALL_A" type="bit"> + <ipxact:name>GUI_CLKEN_ADDRESS_STALL_A</ipxact:name> + <ipxact:displayName>Create an addressstall_a input port</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_CLKEN_ADDRESS_STALL_B" type="bit"> + <ipxact:name>GUI_CLKEN_ADDRESS_STALL_B</ipxact:name> + <ipxact:displayName>Create an addressstall_b input port</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_CLKEN_WRADDRESSSTALL" type="bit"> + <ipxact:name>GUI_CLKEN_WRADDRESSSTALL</ipxact:name> + <ipxact:displayName>Create an wr_addressstall input port</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_CLKEN_RDADDRESSSTALL" type="bit"> + <ipxact:name>GUI_CLKEN_RDADDRESSSTALL</ipxact:name> + <ipxact:displayName>Create an rd_addressstall input port</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_ACLR_READ_INPUT_RDADDRESS" type="bit"> + <ipxact:name>GUI_ACLR_READ_INPUT_RDADDRESS</ipxact:name> + <ipxact:displayName>rdaddress port</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_ACLR_READ_OUTPUT_QA" type="bit"> + <ipxact:name>GUI_ACLR_READ_OUTPUT_QA</ipxact:name> + <ipxact:displayName>q_a port</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_ACLR_READ_OUTPUT_QB" type="bit"> + <ipxact:name>GUI_ACLR_READ_OUTPUT_QB</ipxact:name> + <ipxact:displayName>q_b port</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_SCLR_READ_OUTPUT_QA" type="bit"> + <ipxact:name>GUI_SCLR_READ_OUTPUT_QA</ipxact:name> + <ipxact:displayName>q_a port</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_SCLR_READ_OUTPUT_QB" type="bit"> + <ipxact:name>GUI_SCLR_READ_OUTPUT_QB</ipxact:name> + <ipxact:displayName>q_b port</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_Q_PORT_MODE" type="int"> + <ipxact:name>GUI_Q_PORT_MODE</ipxact:name> + <ipxact:displayName><html>How should the q_a and q_b outputs behave when reading a memory location<br>that is being written from the other port?</html></ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_CONSTRAINED_DONT_CARE" type="bit"> + <ipxact:name>GUI_CONSTRAINED_DONT_CARE</ipxact:name> + <ipxact:displayName><html>Do not analyze the timing between write and read operation. Metastabillity issues are<br>prevented by never writing and reading at the same address at the same time.</html></ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_RDW_A_MODE" type="string"> + <ipxact:name>GUI_RDW_A_MODE</ipxact:name> + <ipxact:displayName>What should the q_a output be when reading from a memory location being written to?</ipxact:displayName> + <ipxact:value>New Data</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_RDW_B_MODE" type="string"> + <ipxact:name>GUI_RDW_B_MODE</ipxact:name> + <ipxact:displayName>What should the q_b output be when reading from a memory location being written to?</ipxact:displayName> + <ipxact:value>New Data</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_NBE_A" type="bit"> + <ipxact:name>GUI_NBE_A</ipxact:name> + <ipxact:displayName>Get x's for write masked bytes instead of old data when byte enable is used</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_NBE_B" type="bit"> + <ipxact:name>GUI_NBE_B</ipxact:name> + <ipxact:displayName>Get x's for write masked bytes instead of old data when byte enable is used</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_BLANK_MEMORY" type="int"> + <ipxact:name>GUI_BLANK_MEMORY</ipxact:name> + <ipxact:displayName>Type</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_INIT_FILE_LAYOUT" type="string"> + <ipxact:name>GUI_INIT_FILE_LAYOUT</ipxact:name> + <ipxact:displayName>The initial content file should conform to which port's dimensions?</ipxact:displayName> + <ipxact:value>PORT_B</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_INIT_SIM_TO_X" type="bit"> + <ipxact:name>GUI_INIT_SIM_TO_X</ipxact:name> + <ipxact:displayName>Initialize memory content data to XX..X on power-up in simulation</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_MIF_FILENAME" type="string"> + <ipxact:name>GUI_MIF_FILENAME</ipxact:name> + <ipxact:displayName>File name</ipxact:displayName> + <ipxact:value>./ram_1024.hex</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_BYTE_WIDTH_A" type="int"> + <ipxact:name>GUI_BYTE_WIDTH_A</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_BYTE_WIDTH_B" type="int"> + <ipxact:name>GUI_BYTE_WIDTH_B</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_MODULE_NAME" type="string"> + <ipxact:name>GUI_MODULE_NAME</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>altera_syncram</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_DATA_WIDTH" type="int"> + <ipxact:name>GUI_DATA_WIDTH</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_Q_WIDTH" type="int"> + <ipxact:name>GUI_Q_WIDTH</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_WRADDRESS_WIDTH" type="int"> + <ipxact:name>GUI_WRADDRESS_WIDTH</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_RDADDRESS_WIDTH" type="int"> + <ipxact:name>GUI_RDADDRESS_WIDTH</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_WIDTH_ECCSTATUS" type="int"> + <ipxact:name>GUI_WIDTH_ECCSTATUS</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_NUMWORDS_A" type="int"> + <ipxact:name>GUI_NUMWORDS_A</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>32</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_NUMWORDS_B" type="int"> + <ipxact:name>GUI_NUMWORDS_B</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>32</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_RESOURCE_USAGE" type="string"> + <ipxact:name>GUI_RESOURCE_USAGE</ipxact:name> + <ipxact:displayName>Resource Usage</ipxact:displayName> + <ipxact:value>1 M20K</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_module_parameters> + <altera:altera_system_parameters> + <ipxact:parameters> + <ipxact:parameter parameterId="device" type="string"> + <ipxact:name>device</ipxact:name> + <ipxact:displayName>Device</ipxact:displayName> + <ipxact:value>10AX115U3F45E2SG</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="deviceFamily" type="string"> + <ipxact:name>deviceFamily</ipxact:name> + <ipxact:displayName>Device family</ipxact:displayName> + <ipxact:value>Arria 10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="deviceSpeedGrade" type="string"> + <ipxact:name>deviceSpeedGrade</ipxact:name> + <ipxact:displayName>Device Speed Grade</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="generationId" type="int"> + <ipxact:name>generationId</ipxact:name> + <ipxact:displayName>Generation Id</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="bonusData" type="string"> + <ipxact:name>bonusData</ipxact:name> + <ipxact:displayName>bonusData</ipxact:displayName> + <ipxact:value>bonusData +{ + element ram_2port_0 + { + datum _sortIndex + { + value = "0"; + type = "int"; + } + } +} +</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hideFromIPCatalog" type="bit"> + <ipxact:name>hideFromIPCatalog</ipxact:name> + <ipxact:displayName>Hide from IP Catalog</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="lockedInterfaceDefinition" type="string"> + <ipxact:name>lockedInterfaceDefinition</ipxact:name> + <ipxact:displayName>lockedInterfaceDefinition</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="systemInfos" type="string"> + <ipxact:name>systemInfos</ipxact:name> + <ipxact:displayName>systemInfos</ipxact:displayName> + <ipxact:value><systemInfosDefinition> + <connPtSystemInfos/> +</systemInfosDefinition></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_system_parameters> + <altera:altera_interface_boundary> + <altera:interface_mapping altera:name="address_a" altera:internal="ram_2port_0.address_a" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="address_a" altera:internal="address_a"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="address_b" altera:internal="ram_2port_0.address_b" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="address_b" altera:internal="address_b"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="clock" altera:internal="ram_2port_0.clock"></altera:interface_mapping> + <altera:interface_mapping altera:name="clock_a" altera:internal="ram_2port_0.clock_a" altera:type="clock" altera:dir="end"> + <altera:port_mapping altera:name="clock_a" altera:internal="clock_a"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="clock_b" altera:internal="ram_2port_0.clock_b" altera:type="clock" altera:dir="end"> + <altera:port_mapping altera:name="clock_b" altera:internal="clock_b"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="data" altera:internal="ram_2port_0.data"></altera:interface_mapping> + <altera:interface_mapping altera:name="data_a" altera:internal="ram_2port_0.data_a" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="data_a" altera:internal="data_a"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="data_b" altera:internal="ram_2port_0.data_b" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="data_b" altera:internal="data_b"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="q" altera:internal="ram_2port_0.q"></altera:interface_mapping> + <altera:interface_mapping altera:name="q_a" altera:internal="ram_2port_0.q_a" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="q_a" altera:internal="q_a"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="q_b" altera:internal="ram_2port_0.q_b" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="q_b" altera:internal="q_b"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rdaddress" altera:internal="ram_2port_0.rdaddress"></altera:interface_mapping> + <altera:interface_mapping altera:name="wraddress" altera:internal="ram_2port_0.wraddress"></altera:interface_mapping> + <altera:interface_mapping altera:name="wren" altera:internal="ram_2port_0.wren"></altera:interface_mapping> + <altera:interface_mapping altera:name="wren_a" altera:internal="ram_2port_0.wren_a" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="wren_a" altera:internal="wren_a"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="wren_b" altera:internal="ram_2port_0.wren_b" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="wren_b" altera:internal="wren_b"></altera:port_mapping> + </altera:interface_mapping> + </altera:altera_interface_boundary> + <altera:altera_has_warnings>false</altera:altera_has_warnings> + <altera:altera_has_errors>false</altera:altera_has_errors> + </ipxact:vendorExtensions> +</ipxact:component> \ No newline at end of file diff --git a/libraries/technology/ip_arria10_e2sg/ram/ip_arria10_e2sg_ram_crw_crw.qsys b/libraries/technology/ip_arria10_e2sg/ram/ip_arria10_e2sg_ram_crw_crw.qsys deleted file mode 100644 index caf4c88826..0000000000 --- a/libraries/technology/ip_arria10_e2sg/ram/ip_arria10_e2sg_ram_crw_crw.qsys +++ /dev/null @@ -1,154 +0,0 @@ -<?xml version="1.0" encoding="UTF-8"?> -<system name="ip_arria10_e2sg_ram_crw_crw"> - <component - name="$${FILENAME}" - displayName="$${FILENAME}" - version="1.0" - description="" - tags="AUTHORSHIP=Intel Corporation /// INTERNAL_COMPONENT=true" - categories="System" - tool="QsysStandard" /> - <parameter name="bonusData"><![CDATA[bonusData -{ - element $system - { - } - element ram_2port_0 - { - datum _sortIndex - { - value = "0"; - type = "int"; - } - } -} -]]></parameter> - <parameter name="device" value="10AX115U3F45E2SG" /> - <parameter name="deviceFamily" value="Arria 10" /> - <parameter name="deviceSpeedGrade" value="2" /> - <parameter name="fabricMode" value="QSYS" /> - <parameter name="generateLegacySim" value="false" /> - <parameter name="generationId" value="0" /> - <parameter name="globalResetBus" value="false" /> - <parameter name="hdlLanguage" value="VERILOG" /> - <parameter name="hideFromIPCatalog" value="true" /> - <parameter name="lockedInterfaceDefinition" value="" /> - <parameter name="sopcBorderPoints" value="false" /> - <parameter name="systemHash" value="0" /> - <parameter name="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos/> -</systemInfosDefinition>]]></parameter> - <parameter name="systemScripts" value="" /> - <parameter name="testBenchDutName" value="" /> - <parameter name="timeStamp" value="0" /> - <parameter name="useTestBenchNamingPattern" value="false" /> - <instanceScript></instanceScript> - <interface - name="address_a" - internal="ram_2port_0.address_a" - type="conduit" - dir="end"> - <port name="address_a" internal="address_a" /> - </interface> - <interface - name="address_b" - internal="ram_2port_0.address_b" - type="conduit" - dir="end"> - <port name="address_b" internal="address_b" /> - </interface> - <interface name="clock_a" internal="ram_2port_0.clock_a" type="clock" dir="end"> - <port name="clock_a" internal="clock_a" /> - </interface> - <interface name="clock_b" internal="ram_2port_0.clock_b" type="clock" dir="end"> - <port name="clock_b" internal="clock_b" /> - </interface> - <interface name="data_a" internal="ram_2port_0.data_a" type="conduit" dir="end"> - <port name="data_a" internal="data_a" /> - </interface> - <interface name="data_b" internal="ram_2port_0.data_b" type="conduit" dir="end"> - <port name="data_b" internal="data_b" /> - </interface> - <interface name="q_a" internal="ram_2port_0.q_a" type="conduit" dir="end"> - <port name="q_a" internal="q_a" /> - </interface> - <interface name="q_b" internal="ram_2port_0.q_b" type="conduit" dir="end"> - <port name="q_b" internal="q_b" /> - </interface> - <interface name="ram_input" internal="ram_2port_0.ram_input" /> - <interface name="ram_output" internal="ram_2port_0.ram_output" /> - <interface name="wren_a" internal="ram_2port_0.wren_a" type="conduit" dir="end"> - <port name="wren_a" internal="wren_a" /> - </interface> - <interface name="wren_b" internal="ram_2port_0.wren_b" type="conduit" dir="end"> - <port name="wren_b" internal="wren_b" /> - </interface> - <module - name="ram_2port_0" - kind="ram_2port" - version="20.0.0" - enabled="1" - autoexport="1"> - <parameter name="DEVICE_FAMILY" value="Arria 10" /> - <parameter name="GUI_ACLR_READ_INPUT_RDADDRESS" value="false" /> - <parameter name="GUI_ACLR_READ_OUTPUT_QA" value="false" /> - <parameter name="GUI_ACLR_READ_OUTPUT_QB" value="false" /> - <parameter name="GUI_BLANK_MEMORY" value="1" /> - <parameter name="GUI_BYTE_ENABLE_A" value="false" /> - <parameter name="GUI_BYTE_ENABLE_B" value="false" /> - <parameter name="GUI_BYTE_ENABLE_WIDTH" value="8" /> - <parameter name="GUI_CLKEN_ADDRESS_STALL_A" value="false" /> - <parameter name="GUI_CLKEN_ADDRESS_STALL_B" value="false" /> - <parameter name="GUI_CLKEN_INPUT_REG_A" value="false" /> - <parameter name="GUI_CLKEN_INPUT_REG_B" value="false" /> - <parameter name="GUI_CLKEN_OUTPUT_REG_A" value="false" /> - <parameter name="GUI_CLKEN_OUTPUT_REG_B" value="false" /> - <parameter name="GUI_CLKEN_RDADDRESSSTALL" value="false" /> - <parameter name="GUI_CLKEN_READ_INPUT_REG" value="false" /> - <parameter name="GUI_CLKEN_READ_OUTPUT_REG" value="false" /> - <parameter name="GUI_CLKEN_WRADDRESSSTALL" value="false" /> - <parameter name="GUI_CLKEN_WRITE_INPUT_REG" value="false" /> - <parameter name="GUI_CLOCK_TYPE" value="4" /> - <parameter name="GUI_COHERENT_READ" value="false" /> - <parameter name="GUI_CONSTRAINED_DONT_CARE" value="true" /> - <parameter name="GUI_DATAA_WIDTH" value="8" /> - <parameter name="GUI_DIFFERENT_CLKENS" value="false" /> - <parameter name="GUI_ECCENCBYPASS" value="false" /> - <parameter name="GUI_ECC_DOUBLE" value="false" /> - <parameter name="GUI_ECC_PIPELINE" value="false" /> - <parameter name="GUI_ECC_TRIPLE" value="false" /> - <parameter name="GUI_FILE_REFERENCE" value="0" /> - <parameter name="GUI_FORCE_TO_ZERO" value="false" /> - <parameter name="GUI_INIT_FILE_LAYOUT" value="PORT_B" /> - <parameter name="GUI_INIT_SIM_TO_X" value="false" /> - <parameter name="GUI_LC_IMPLEMENTION_OPTIONS" value="0" /> - <parameter name="GUI_MAX_DEPTH" value="Auto" /> - <parameter name="GUI_MEMSIZE_BITS" value="256" /> - <parameter name="GUI_MEMSIZE_WORDS" value="32" /> - <parameter name="GUI_MEM_IN_BITS" value="0" /> - <parameter name="GUI_MIF_FILENAME" value="./ram_1024.hex" /> - <parameter name="GUI_MODE" value="1" /> - <parameter name="GUI_NBE_A" value="true" /> - <parameter name="GUI_NBE_B" value="true" /> - <parameter name="GUI_OPTIMIZATION_OPTION" value="0" /> - <parameter name="GUI_PR" value="false" /> - <parameter name="GUI_QA_WIDTH" value="8" /> - <parameter name="GUI_QB_WIDTH" value="8" /> - <parameter name="GUI_Q_PORT_MODE" value="2" /> - <parameter name="GUI_RAM_BLOCK_TYPE" value="Auto" /> - <parameter name="GUI_RDEN_DOUBLE" value="false" /> - <parameter name="GUI_RDEN_SINGLE" value="false" /> - <parameter name="GUI_RDW_A_MODE" value="New Data" /> - <parameter name="GUI_RDW_B_MODE" value="New Data" /> - <parameter name="GUI_READ_INPUT_RDADDRESS" value="true" /> - <parameter name="GUI_READ_OUTPUT_QA" value="true" /> - <parameter name="GUI_READ_OUTPUT_QB" value="true" /> - <parameter name="GUI_SCLR_READ_OUTPUT_QA" value="false" /> - <parameter name="GUI_SCLR_READ_OUTPUT_QB" value="false" /> - <parameter name="GUI_TBENCH" value="false" /> - <parameter name="GUI_TDP_EMULATE" value="false" /> - <parameter name="GUI_VAR_WIDTH" value="false" /> - <parameter name="GUI_WIDTH_ECCENCPARITY" value="8" /> - <parameter name="GUI_WRITE_INPUT_PORTS" value="true" /> - </module> -</system> diff --git a/libraries/technology/ip_arria10_e2sg/ram/ip_arria10_e2sg_ram_crwk_crw.ip b/libraries/technology/ip_arria10_e2sg/ram/ip_arria10_e2sg_ram_crwk_crw.ip new file mode 100644 index 0000000000..4faca4cc11 --- /dev/null +++ b/libraries/technology/ip_arria10_e2sg/ram/ip_arria10_e2sg_ram_crwk_crw.ip @@ -0,0 +1,1248 @@ +<?xml version="1.0" ?> +<ipxact:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact2014/extensions" xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"> + <ipxact:vendor>Intel Corporation</ipxact:vendor> + <ipxact:library>ip_arria10_e2sg_ram_crwk_crw</ipxact:library> + <ipxact:name>ram_2port_0</ipxact:name> + <ipxact:version>20.0.0</ipxact:version> + <ipxact:busInterfaces> + <ipxact:busInterface> + <ipxact:name>data_a</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>datain_a</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>data_a</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>q_a</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>dataout_a</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>q_a</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>data_b</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>datain_b</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>data_b</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>q_b</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>dataout_b</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>q_b</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>address_a</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>address_a</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>address_a</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>address_b</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>address_b</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>address_b</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>wren_a</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>wren_a</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>wren_a</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>wren_b</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>wren_b</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>wren_b</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>clock_a</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="clock" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>clock_a</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="clockRate" type="longint"> + <ipxact:name>clockRate</ipxact:name> + <ipxact:displayName>Clock rate</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="externallyDriven" type="bit"> + <ipxact:name>externallyDriven</ipxact:name> + <ipxact:displayName>Externally driven</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ptfSchematicName" type="string"> + <ipxact:name>ptfSchematicName</ipxact:name> + <ipxact:displayName>PTF schematic name</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>clock_b</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="clock" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>clock_b</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="clockRate" type="longint"> + <ipxact:name>clockRate</ipxact:name> + <ipxact:displayName>Clock rate</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="externallyDriven" type="bit"> + <ipxact:name>externallyDriven</ipxact:name> + <ipxact:displayName>Externally driven</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ptfSchematicName" type="string"> + <ipxact:name>ptfSchematicName</ipxact:name> + <ipxact:displayName>PTF schematic name</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rden_a</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rden_a</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rden_a</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rden_b</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rden_b</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rden_b</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + </ipxact:busInterfaces> + <ipxact:model> + <ipxact:views> + <ipxact:view> + <ipxact:name>QUARTUS_SYNTH</ipxact:name> + <ipxact:envIdentifier>:quartus.altera.com:</ipxact:envIdentifier> + <ipxact:componentInstantiationRef>QUARTUS_SYNTH</ipxact:componentInstantiationRef> + </ipxact:view> + </ipxact:views> + <ipxact:instantiations> + <ipxact:componentInstantiation> + <ipxact:name>QUARTUS_SYNTH</ipxact:name> + <ipxact:moduleName>ram_2port</ipxact:moduleName> + <ipxact:fileSetRef> + <ipxact:localName>QUARTUS_SYNTH</ipxact:localName> + </ipxact:fileSetRef> + </ipxact:componentInstantiation> + </ipxact:instantiations> + <ipxact:ports> + <ipxact:port> + <ipxact:name>data_a</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>31</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>q_a</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>31</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>data_b</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>7</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>q_b</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>7</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>address_a</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>7</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>address_b</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>9</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>wren_a</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>wren_b</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>clock_a</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>clock_b</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rden_a</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rden_b</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + </ipxact:ports> + </ipxact:model> + <ipxact:vendorExtensions> + <altera:entity_info> + <ipxact:vendor>Intel Corporation</ipxact:vendor> + <ipxact:library>ip_arria10_e2sg_ram_crwk_crw</ipxact:library> + <ipxact:name>ram_2port</ipxact:name> + <ipxact:version>20.0.0</ipxact:version> + </altera:entity_info> + <altera:altera_module_parameters> + <ipxact:parameters> + <ipxact:parameter parameterId="DEVICE_FAMILY" type="string"> + <ipxact:name>DEVICE_FAMILY</ipxact:name> + <ipxact:displayName>Device Family</ipxact:displayName> + <ipxact:value>Arria 10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_MODE" type="int"> + <ipxact:name>GUI_MODE</ipxact:name> + <ipxact:displayName>Operation Mode</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_MEM_IN_BITS" type="int"> + <ipxact:name>GUI_MEM_IN_BITS</ipxact:name> + <ipxact:displayName>Type</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_MEMSIZE_BITS" type="int"> + <ipxact:name>GUI_MEMSIZE_BITS</ipxact:name> + <ipxact:displayName>How many bits of memory?</ipxact:displayName> + <ipxact:value>256</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_MEMSIZE_WORDS" type="int"> + <ipxact:name>GUI_MEMSIZE_WORDS</ipxact:name> + <ipxact:displayName>How many words of memory?</ipxact:displayName> + <ipxact:value>256</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_QA_WIDTH" type="int"> + <ipxact:name>GUI_QA_WIDTH</ipxact:name> + <ipxact:displayName>How wide should the 'q_a' output bus be?</ipxact:displayName> + <ipxact:value>32</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_QB_WIDTH" type="int"> + <ipxact:name>GUI_QB_WIDTH</ipxact:name> + <ipxact:displayName>How wide should the 'q_b' output bus be?</ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_DATAA_WIDTH" type="int"> + <ipxact:name>GUI_DATAA_WIDTH</ipxact:name> + <ipxact:displayName>How wide should the 'data_a' input bus be?</ipxact:displayName> + <ipxact:value>32</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_MAX_DEPTH" type="string"> + <ipxact:name>GUI_MAX_DEPTH</ipxact:name> + <ipxact:displayName>Set the maximum block depth to</ipxact:displayName> + <ipxact:value>Auto</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_WIDTH_ECCENCPARITY" type="int"> + <ipxact:name>GUI_WIDTH_ECCENCPARITY</ipxact:name> + <ipxact:displayName>Set the ecc enc parity width</ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_TBENCH" type="bit"> + <ipxact:name>GUI_TBENCH</ipxact:name> + <ipxact:displayName>TESTING</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_TDP_EMULATE" type="bit"> + <ipxact:name>GUI_TDP_EMULATE</ipxact:name> + <ipxact:displayName>Emulate TDP dual clock mode</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_VAR_WIDTH" type="bit"> + <ipxact:name>GUI_VAR_WIDTH</ipxact:name> + <ipxact:displayName>Use different data widths on different ports</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_RAM_BLOCK_TYPE" type="string"> + <ipxact:name>GUI_RAM_BLOCK_TYPE</ipxact:name> + <ipxact:displayName>Ram Block Type</ipxact:displayName> + <ipxact:value>Auto</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_LC_IMPLEMENTION_OPTIONS" type="int"> + <ipxact:name>GUI_LC_IMPLEMENTION_OPTIONS</ipxact:name> + <ipxact:displayName>How should the memory be implemented?</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_FILE_REFERENCE" type="int"> + <ipxact:name>GUI_FILE_REFERENCE</ipxact:name> + <ipxact:displayName>Initialization File:</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_OPTIMIZATION_OPTION" type="int"> + <ipxact:name>GUI_OPTIMIZATION_OPTION</ipxact:name> + <ipxact:displayName>Which timing/power optimization option do you want to use?</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_CLOCK_TYPE" type="int"> + <ipxact:name>GUI_CLOCK_TYPE</ipxact:name> + <ipxact:displayName>Which clocking method do you want to use?</ipxact:displayName> + <ipxact:value>4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_RDEN_SINGLE" type="bit"> + <ipxact:name>GUI_RDEN_SINGLE</ipxact:name> + <ipxact:displayName>Create a 'rden' read enable signal</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_RDEN_DOUBLE" type="bit"> + <ipxact:name>GUI_RDEN_DOUBLE</ipxact:name> + <ipxact:displayName>Create 'rden_a' and 'rden_b' read enable signals</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_BYTE_ENABLE_A" type="bit"> + <ipxact:name>GUI_BYTE_ENABLE_A</ipxact:name> + <ipxact:displayName>Create byte enable for port A</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_BYTE_ENABLE_B" type="bit"> + <ipxact:name>GUI_BYTE_ENABLE_B</ipxact:name> + <ipxact:displayName>Create byte enable for port B</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_ECC_DOUBLE" type="bit"> + <ipxact:name>GUI_ECC_DOUBLE</ipxact:name> + <ipxact:displayName>Enable Error Correction Check (ECC)</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_ECC_TRIPLE" type="bit"> + <ipxact:name>GUI_ECC_TRIPLE</ipxact:name> + <ipxact:displayName>Enable Error Correction Check (ECC)</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_ECC_PIPELINE" type="bit"> + <ipxact:name>GUI_ECC_PIPELINE</ipxact:name> + <ipxact:displayName>Enable ECC Pipeline Registers</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_ECCENCBYPASS" type="bit"> + <ipxact:name>GUI_ECCENCBYPASS</ipxact:name> + <ipxact:displayName>Enable ECC Encoder Bypass</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_COHERENT_READ" type="bit"> + <ipxact:name>GUI_COHERENT_READ</ipxact:name> + <ipxact:displayName>Enable Coherent Read</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_FORCE_TO_ZERO" type="bit"> + <ipxact:name>GUI_FORCE_TO_ZERO</ipxact:name> + <ipxact:displayName>Enable Force To Zero</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_PR" type="bit"> + <ipxact:name>GUI_PR</ipxact:name> + <ipxact:displayName>Implement clock-enable circuitry for use in a partial reconfiguration region</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_BYTE_ENABLE_WIDTH" type="int"> + <ipxact:name>GUI_BYTE_ENABLE_WIDTH</ipxact:name> + <ipxact:displayName>What is the width of a byte for byte enables?</ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_WRITE_INPUT_PORTS" type="bit"> + <ipxact:name>GUI_WRITE_INPUT_PORTS</ipxact:name> + <ipxact:displayName>All write input ports</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_READ_INPUT_RDADDRESS" type="bit"> + <ipxact:name>GUI_READ_INPUT_RDADDRESS</ipxact:name> + <ipxact:displayName>rdaddress port</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_READ_OUTPUT_QA" type="bit"> + <ipxact:name>GUI_READ_OUTPUT_QA</ipxact:name> + <ipxact:displayName>q_a port</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_READ_OUTPUT_QB" type="bit"> + <ipxact:name>GUI_READ_OUTPUT_QB</ipxact:name> + <ipxact:displayName>q_b port</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_DIFFERENT_CLKENS" type="bit"> + <ipxact:name>GUI_DIFFERENT_CLKENS</ipxact:name> + <ipxact:displayName>Use different clock enables for registers</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_CLKEN_WRITE_INPUT_REG" type="bit"> + <ipxact:name>GUI_CLKEN_WRITE_INPUT_REG</ipxact:name> + <ipxact:displayName>Use clock enable for write input registers</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_CLKEN_READ_INPUT_REG" type="bit"> + <ipxact:name>GUI_CLKEN_READ_INPUT_REG</ipxact:name> + <ipxact:displayName>Use clock enable for read input registers</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_CLKEN_READ_OUTPUT_REG" type="bit"> + <ipxact:name>GUI_CLKEN_READ_OUTPUT_REG</ipxact:name> + <ipxact:displayName>Use clock enable for output registers</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_CLKEN_INPUT_REG_A" type="bit"> + <ipxact:name>GUI_CLKEN_INPUT_REG_A</ipxact:name> + <ipxact:displayName>Use clock enable for port A input registers</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_CLKEN_INPUT_REG_B" type="bit"> + <ipxact:name>GUI_CLKEN_INPUT_REG_B</ipxact:name> + <ipxact:displayName>Use clock enable for port B input registers</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_CLKEN_OUTPUT_REG_A" type="bit"> + <ipxact:name>GUI_CLKEN_OUTPUT_REG_A</ipxact:name> + <ipxact:displayName>Use clock enable for port A output registers</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_CLKEN_OUTPUT_REG_B" type="bit"> + <ipxact:name>GUI_CLKEN_OUTPUT_REG_B</ipxact:name> + <ipxact:displayName>Use clock enable for port B output registers</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_CLKEN_ADDRESS_STALL_A" type="bit"> + <ipxact:name>GUI_CLKEN_ADDRESS_STALL_A</ipxact:name> + <ipxact:displayName>Create an addressstall_a input port</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_CLKEN_ADDRESS_STALL_B" type="bit"> + <ipxact:name>GUI_CLKEN_ADDRESS_STALL_B</ipxact:name> + <ipxact:displayName>Create an addressstall_b input port</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_CLKEN_WRADDRESSSTALL" type="bit"> + <ipxact:name>GUI_CLKEN_WRADDRESSSTALL</ipxact:name> + <ipxact:displayName>Create an wr_addressstall input port</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_CLKEN_RDADDRESSSTALL" type="bit"> + <ipxact:name>GUI_CLKEN_RDADDRESSSTALL</ipxact:name> + <ipxact:displayName>Create an rd_addressstall input port</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_ACLR_READ_INPUT_RDADDRESS" type="bit"> + <ipxact:name>GUI_ACLR_READ_INPUT_RDADDRESS</ipxact:name> + <ipxact:displayName>rdaddress port</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_ACLR_READ_OUTPUT_QA" type="bit"> + <ipxact:name>GUI_ACLR_READ_OUTPUT_QA</ipxact:name> + <ipxact:displayName>q_a port</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_ACLR_READ_OUTPUT_QB" type="bit"> + <ipxact:name>GUI_ACLR_READ_OUTPUT_QB</ipxact:name> + <ipxact:displayName>q_b port</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_SCLR_READ_OUTPUT_QA" type="bit"> + <ipxact:name>GUI_SCLR_READ_OUTPUT_QA</ipxact:name> + <ipxact:displayName>q_a port</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_SCLR_READ_OUTPUT_QB" type="bit"> + <ipxact:name>GUI_SCLR_READ_OUTPUT_QB</ipxact:name> + <ipxact:displayName>q_b port</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_Q_PORT_MODE" type="int"> + <ipxact:name>GUI_Q_PORT_MODE</ipxact:name> + <ipxact:displayName><html>How should the q_a and q_b outputs behave when reading a memory location<br>that is being written from the other port?</html></ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_CONSTRAINED_DONT_CARE" type="bit"> + <ipxact:name>GUI_CONSTRAINED_DONT_CARE</ipxact:name> + <ipxact:displayName><html>Do not analyze the timing between write and read operation. Metastabillity issues are<br>prevented by never writing and reading at the same address at the same time.</html></ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_RDW_A_MODE" type="string"> + <ipxact:name>GUI_RDW_A_MODE</ipxact:name> + <ipxact:displayName>What should the q_a output be when reading from a memory location being written to?</ipxact:displayName> + <ipxact:value>New Data</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_RDW_B_MODE" type="string"> + <ipxact:name>GUI_RDW_B_MODE</ipxact:name> + <ipxact:displayName>What should the q_b output be when reading from a memory location being written to?</ipxact:displayName> + <ipxact:value>New Data</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_NBE_A" type="bit"> + <ipxact:name>GUI_NBE_A</ipxact:name> + <ipxact:displayName>Get x's for write masked bytes instead of old data when byte enable is used</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_NBE_B" type="bit"> + <ipxact:name>GUI_NBE_B</ipxact:name> + <ipxact:displayName>Get x's for write masked bytes instead of old data when byte enable is used</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_BLANK_MEMORY" type="int"> + <ipxact:name>GUI_BLANK_MEMORY</ipxact:name> + <ipxact:displayName>Type</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_INIT_FILE_LAYOUT" type="string"> + <ipxact:name>GUI_INIT_FILE_LAYOUT</ipxact:name> + <ipxact:displayName>The initial content file should conform to which port's dimensions?</ipxact:displayName> + <ipxact:value>PORT_B</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_INIT_SIM_TO_X" type="bit"> + <ipxact:name>GUI_INIT_SIM_TO_X</ipxact:name> + <ipxact:displayName>Initialize memory content data to XX..X on power-up in simulation</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_MIF_FILENAME" type="string"> + <ipxact:name>GUI_MIF_FILENAME</ipxact:name> + <ipxact:displayName>File name</ipxact:displayName> + <ipxact:value>./ram_1024.hex</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_BYTE_WIDTH_A" type="int"> + <ipxact:name>GUI_BYTE_WIDTH_A</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_BYTE_WIDTH_B" type="int"> + <ipxact:name>GUI_BYTE_WIDTH_B</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_MODULE_NAME" type="string"> + <ipxact:name>GUI_MODULE_NAME</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>altera_syncram</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_DATA_WIDTH" type="int"> + <ipxact:name>GUI_DATA_WIDTH</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>32</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_Q_WIDTH" type="int"> + <ipxact:name>GUI_Q_WIDTH</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_WRADDRESS_WIDTH" type="int"> + <ipxact:name>GUI_WRADDRESS_WIDTH</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_RDADDRESS_WIDTH" type="int"> + <ipxact:name>GUI_RDADDRESS_WIDTH</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_WIDTH_ECCSTATUS" type="int"> + <ipxact:name>GUI_WIDTH_ECCSTATUS</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_NUMWORDS_A" type="int"> + <ipxact:name>GUI_NUMWORDS_A</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>256</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_NUMWORDS_B" type="int"> + <ipxact:name>GUI_NUMWORDS_B</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>1024</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_RESOURCE_USAGE" type="string"> + <ipxact:name>GUI_RESOURCE_USAGE</ipxact:name> + <ipxact:displayName>Resource Usage</ipxact:displayName> + <ipxact:value>2 M20K</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_module_parameters> + <altera:altera_system_parameters> + <ipxact:parameters> + <ipxact:parameter parameterId="device" type="string"> + <ipxact:name>device</ipxact:name> + <ipxact:displayName>Device</ipxact:displayName> + <ipxact:value>10AX115U3F45E2SG</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="deviceFamily" type="string"> + <ipxact:name>deviceFamily</ipxact:name> + <ipxact:displayName>Device family</ipxact:displayName> + <ipxact:value>Arria 10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="deviceSpeedGrade" type="string"> + <ipxact:name>deviceSpeedGrade</ipxact:name> + <ipxact:displayName>Device Speed Grade</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="generationId" type="int"> + <ipxact:name>generationId</ipxact:name> + <ipxact:displayName>Generation Id</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="bonusData" type="string"> + <ipxact:name>bonusData</ipxact:name> + <ipxact:displayName>bonusData</ipxact:displayName> + <ipxact:value>bonusData +{ + element ram_2port_0 + { + datum _sortIndex + { + value = "0"; + type = "int"; + } + } +} +</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hideFromIPCatalog" type="bit"> + <ipxact:name>hideFromIPCatalog</ipxact:name> + <ipxact:displayName>Hide from IP Catalog</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="lockedInterfaceDefinition" type="string"> + <ipxact:name>lockedInterfaceDefinition</ipxact:name> + <ipxact:displayName>lockedInterfaceDefinition</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="systemInfos" type="string"> + <ipxact:name>systemInfos</ipxact:name> + <ipxact:displayName>systemInfos</ipxact:displayName> + <ipxact:value><systemInfosDefinition> + <connPtSystemInfos/> +</systemInfosDefinition></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_system_parameters> + <altera:altera_interface_boundary> + <altera:interface_mapping altera:name="address_a" altera:internal="ram_2port_0.address_a" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="address_a" altera:internal="address_a"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="address_b" altera:internal="ram_2port_0.address_b" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="address_b" altera:internal="address_b"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="clock" altera:internal="ram_2port_0.clock"></altera:interface_mapping> + <altera:interface_mapping altera:name="clock_a" altera:internal="ram_2port_0.clock_a" altera:type="clock" altera:dir="end"> + <altera:port_mapping altera:name="clock_a" altera:internal="clock_a"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="clock_b" altera:internal="ram_2port_0.clock_b" altera:type="clock" altera:dir="end"> + <altera:port_mapping altera:name="clock_b" altera:internal="clock_b"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="data" altera:internal="ram_2port_0.data"></altera:interface_mapping> + <altera:interface_mapping altera:name="data_a" altera:internal="ram_2port_0.data_a" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="data_a" altera:internal="data_a"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="data_b" altera:internal="ram_2port_0.data_b" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="data_b" altera:internal="data_b"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="q" altera:internal="ram_2port_0.q"></altera:interface_mapping> + <altera:interface_mapping altera:name="q_a" altera:internal="ram_2port_0.q_a" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="q_a" altera:internal="q_a"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="q_b" altera:internal="ram_2port_0.q_b" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="q_b" altera:internal="q_b"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rdaddress" altera:internal="ram_2port_0.rdaddress"></altera:interface_mapping> + <altera:interface_mapping altera:name="rden_a" altera:internal="ram_2port_0.rden_a" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rden_a" altera:internal="rden_a"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rden_b" altera:internal="ram_2port_0.rden_b" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rden_b" altera:internal="rden_b"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="wraddress" altera:internal="ram_2port_0.wraddress"></altera:interface_mapping> + <altera:interface_mapping altera:name="wren" altera:internal="ram_2port_0.wren"></altera:interface_mapping> + <altera:interface_mapping altera:name="wren_a" altera:internal="ram_2port_0.wren_a" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="wren_a" altera:internal="wren_a"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="wren_b" altera:internal="ram_2port_0.wren_b" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="wren_b" altera:internal="wren_b"></altera:port_mapping> + </altera:interface_mapping> + </altera:altera_interface_boundary> + <altera:altera_has_warnings>false</altera:altera_has_warnings> + <altera:altera_has_errors>false</altera:altera_has_errors> + </ipxact:vendorExtensions> +</ipxact:component> \ No newline at end of file diff --git a/libraries/technology/ip_arria10_e2sg/ram/ip_arria10_e2sg_ram_crwk_crw.qsys b/libraries/technology/ip_arria10_e2sg/ram/ip_arria10_e2sg_ram_crwk_crw.qsys deleted file mode 100644 index 96293db15f..0000000000 --- a/libraries/technology/ip_arria10_e2sg/ram/ip_arria10_e2sg_ram_crwk_crw.qsys +++ /dev/null @@ -1,200 +0,0 @@ -<?xml version="1.0" encoding="UTF-8"?> -<system name="ip_arria10_e2sg_ram_crwk_crw"> - <component - name="$${FILENAME}" - displayName="$${FILENAME}" - version="1.0" - description="" - tags="AUTHORSHIP=Intel Corporation" - categories="System" - tool="QsysPro" /> - <parameter name="bonusData"><![CDATA[bonusData -{ - element $system - { - } - element ip_arria10_ram_crwk_crw - { - datum _sortIndex - { - value = "0"; - type = "int"; - } - } -} -]]></parameter> - <parameter name="device" value="10AX115U3F45E2SG" /> - <parameter name="deviceFamily" value="Arria 10" /> - <parameter name="deviceSpeedGrade" value="2" /> - <parameter name="fabricMode" value="QSYS" /> - <parameter name="generateLegacySim" value="false" /> - <parameter name="generationId" value="0" /> - <parameter name="globalResetBus" value="false" /> - <parameter name="hdlLanguage" value="VERILOG" /> - <parameter name="hideFromIPCatalog" value="false" /> - <parameter name="lockedInterfaceDefinition" value="" /> - <parameter name="sopcBorderPoints" value="false" /> - <parameter name="systemHash" value="0" /> - <parameter name="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos/> -</systemInfosDefinition>]]></parameter> - <parameter name="systemScripts" value="" /> - <parameter name="testBenchDutName" value="" /> - <parameter name="timeStamp" value="0" /> - <parameter name="useTestBenchNamingPattern" value="false" /> - <instanceScript></instanceScript> - <interface - name="address_a" - internal="ip_arria10_ram_crwk_crw.address_a" - type="conduit" - dir="end"> - <port name="address_a" internal="address_a" /> - </interface> - <interface - name="address_b" - internal="ip_arria10_ram_crwk_crw.address_b" - type="conduit" - dir="end"> - <port name="address_b" internal="address_b" /> - </interface> - <interface - name="clock_a" - internal="ip_arria10_ram_crwk_crw.clock_a" - type="clock" - dir="end"> - <port name="clock_a" internal="clock_a" /> - </interface> - <interface - name="clock_b" - internal="ip_arria10_ram_crwk_crw.clock_b" - type="clock" - dir="end"> - <port name="clock_b" internal="clock_b" /> - </interface> - <interface - name="data_a" - internal="ip_arria10_ram_crwk_crw.data_a" - type="conduit" - dir="end"> - <port name="data_a" internal="data_a" /> - </interface> - <interface - name="data_b" - internal="ip_arria10_ram_crwk_crw.data_b" - type="conduit" - dir="end"> - <port name="data_b" internal="data_b" /> - </interface> - <interface - name="q_a" - internal="ip_arria10_ram_crwk_crw.q_a" - type="conduit" - dir="end"> - <port name="q_a" internal="q_a" /> - </interface> - <interface - name="q_b" - internal="ip_arria10_ram_crwk_crw.q_b" - type="conduit" - dir="end"> - <port name="q_b" internal="q_b" /> - </interface> - <interface name="ram_input" internal="ip_arria10_ram_crwk_crw.ram_input" /> - <interface name="ram_output" internal="ip_arria10_ram_crwk_crw.ram_output" /> - <interface - name="rden_a" - internal="ip_arria10_ram_crwk_crw.rden_a" - type="conduit" - dir="end"> - <port name="rden_a" internal="rden_a" /> - </interface> - <interface - name="rden_b" - internal="ip_arria10_ram_crwk_crw.rden_b" - type="conduit" - dir="end"> - <port name="rden_b" internal="rden_b" /> - </interface> - <interface - name="wren_a" - internal="ip_arria10_ram_crwk_crw.wren_a" - type="conduit" - dir="end"> - <port name="wren_a" internal="wren_a" /> - </interface> - <interface - name="wren_b" - internal="ip_arria10_ram_crwk_crw.wren_b" - type="conduit" - dir="end"> - <port name="wren_b" internal="wren_b" /> - </interface> - <module - name="ip_arria10_ram_crwk_crw" - kind="ram_2port" - version="20.0.0" - enabled="1" - autoexport="1"> - <parameter name="DEVICE_FAMILY" value="Arria 10" /> - <parameter name="GUI_ACLR_READ_INPUT_RDADDRESS" value="false" /> - <parameter name="GUI_ACLR_READ_OUTPUT_QA" value="false" /> - <parameter name="GUI_ACLR_READ_OUTPUT_QB" value="false" /> - <parameter name="GUI_BLANK_MEMORY" value="1" /> - <parameter name="GUI_BYTE_ENABLE_A" value="false" /> - <parameter name="GUI_BYTE_ENABLE_B" value="false" /> - <parameter name="GUI_BYTE_ENABLE_WIDTH" value="8" /> - <parameter name="GUI_CLKEN_ADDRESS_STALL_A" value="false" /> - <parameter name="GUI_CLKEN_ADDRESS_STALL_B" value="false" /> - <parameter name="GUI_CLKEN_INPUT_REG_A" value="false" /> - <parameter name="GUI_CLKEN_INPUT_REG_B" value="false" /> - <parameter name="GUI_CLKEN_OUTPUT_REG_A" value="false" /> - <parameter name="GUI_CLKEN_OUTPUT_REG_B" value="false" /> - <parameter name="GUI_CLKEN_RDADDRESSSTALL" value="false" /> - <parameter name="GUI_CLKEN_READ_INPUT_REG" value="true" /> - <parameter name="GUI_CLKEN_READ_OUTPUT_REG" value="true" /> - <parameter name="GUI_CLKEN_WRADDRESSSTALL" value="false" /> - <parameter name="GUI_CLKEN_WRITE_INPUT_REG" value="true" /> - <parameter name="GUI_CLOCK_TYPE" value="4" /> - <parameter name="GUI_COHERENT_READ" value="false" /> - <parameter name="GUI_CONSTRAINED_DONT_CARE" value="true" /> - <parameter name="GUI_DATAA_WIDTH" value="32" /> - <parameter name="GUI_DIFFERENT_CLKENS" value="false" /> - <parameter name="GUI_ECCENCBYPASS" value="false" /> - <parameter name="GUI_ECC_DOUBLE" value="false" /> - <parameter name="GUI_ECC_PIPELINE" value="false" /> - <parameter name="GUI_ECC_TRIPLE" value="false" /> - <parameter name="GUI_FILE_REFERENCE" value="0" /> - <parameter name="GUI_FORCE_TO_ZERO" value="false" /> - <parameter name="GUI_INIT_FILE_LAYOUT" value="PORT_B" /> - <parameter name="GUI_INIT_SIM_TO_X" value="false" /> - <parameter name="GUI_LC_IMPLEMENTION_OPTIONS" value="0" /> - <parameter name="GUI_MAX_DEPTH" value="Auto" /> - <parameter name="GUI_MEMSIZE_BITS" value="256" /> - <parameter name="GUI_MEMSIZE_WORDS" value="256" /> - <parameter name="GUI_MEM_IN_BITS" value="0" /> - <parameter name="GUI_MIF_FILENAME" value="./ram_1024.hex" /> - <parameter name="GUI_MODE" value="1" /> - <parameter name="GUI_NBE_A" value="true" /> - <parameter name="GUI_NBE_B" value="true" /> - <parameter name="GUI_OPTIMIZATION_OPTION" value="0" /> - <parameter name="GUI_PR" value="false" /> - <parameter name="GUI_QA_WIDTH" value="32" /> - <parameter name="GUI_QB_WIDTH" value="8" /> - <parameter name="GUI_Q_PORT_MODE" value="2" /> - <parameter name="GUI_RAM_BLOCK_TYPE" value="Auto" /> - <parameter name="GUI_RDEN_DOUBLE" value="true" /> - <parameter name="GUI_RDEN_SINGLE" value="true" /> - <parameter name="GUI_RDW_A_MODE" value="New Data" /> - <parameter name="GUI_RDW_B_MODE" value="New Data" /> - <parameter name="GUI_READ_INPUT_RDADDRESS" value="true" /> - <parameter name="GUI_READ_OUTPUT_QA" value="true" /> - <parameter name="GUI_READ_OUTPUT_QB" value="true" /> - <parameter name="GUI_SCLR_READ_OUTPUT_QA" value="false" /> - <parameter name="GUI_SCLR_READ_OUTPUT_QB" value="false" /> - <parameter name="GUI_TBENCH" value="false" /> - <parameter name="GUI_TDP_EMULATE" value="false" /> - <parameter name="GUI_VAR_WIDTH" value="true" /> - <parameter name="GUI_WIDTH_ECCENCPARITY" value="8" /> - <parameter name="GUI_WRITE_INPUT_PORTS" value="true" /> - </module> -</system> diff --git a/libraries/technology/ip_arria10_e2sg/ram/ip_arria10_e2sg_ram_r_w.ip b/libraries/technology/ip_arria10_e2sg/ram/ip_arria10_e2sg_ram_r_w.ip new file mode 100644 index 0000000000..0ac9b13088 --- /dev/null +++ b/libraries/technology/ip_arria10_e2sg/ram/ip_arria10_e2sg_ram_r_w.ip @@ -0,0 +1,858 @@ +<?xml version="1.0" ?> +<ipxact:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact2014/extensions" xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"> + <ipxact:vendor>Intel Corporation</ipxact:vendor> + <ipxact:library>ip_arria10_e2sg_ram_r_w</ipxact:library> + <ipxact:name>ram_2port_0</ipxact:name> + <ipxact:version>20.0.0</ipxact:version> + <ipxact:busInterfaces> + <ipxact:busInterface> + <ipxact:name>data</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>datain</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>data</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>q</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>dataout</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>q</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>wraddress</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>wraddress</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>wraddress</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rdaddress</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rdaddress</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rdaddress</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>wren</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>wren</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>wren</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>clock</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="clock" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>clock</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="clockRate" type="longint"> + <ipxact:name>clockRate</ipxact:name> + <ipxact:displayName>Clock rate</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="externallyDriven" type="bit"> + <ipxact:name>externallyDriven</ipxact:name> + <ipxact:displayName>Externally driven</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ptfSchematicName" type="string"> + <ipxact:name>ptfSchematicName</ipxact:name> + <ipxact:displayName>PTF schematic name</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + </ipxact:busInterfaces> + <ipxact:model> + <ipxact:views> + <ipxact:view> + <ipxact:name>QUARTUS_SYNTH</ipxact:name> + <ipxact:envIdentifier>:quartus.altera.com:</ipxact:envIdentifier> + <ipxact:componentInstantiationRef>QUARTUS_SYNTH</ipxact:componentInstantiationRef> + </ipxact:view> + </ipxact:views> + <ipxact:instantiations> + <ipxact:componentInstantiation> + <ipxact:name>QUARTUS_SYNTH</ipxact:name> + <ipxact:moduleName>ram_2port</ipxact:moduleName> + <ipxact:fileSetRef> + <ipxact:localName>QUARTUS_SYNTH</ipxact:localName> + </ipxact:fileSetRef> + </ipxact:componentInstantiation> + </ipxact:instantiations> + <ipxact:ports> + <ipxact:port> + <ipxact:name>data</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>7</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>q</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>7</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>wraddress</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>4</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rdaddress</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>4</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>wren</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>clock</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + </ipxact:ports> + </ipxact:model> + <ipxact:vendorExtensions> + <altera:entity_info> + <ipxact:vendor>Intel Corporation</ipxact:vendor> + <ipxact:library>ip_arria10_e2sg_ram_r_w</ipxact:library> + <ipxact:name>ram_2port</ipxact:name> + <ipxact:version>20.0.0</ipxact:version> + </altera:entity_info> + <altera:altera_module_parameters> + <ipxact:parameters> + <ipxact:parameter parameterId="DEVICE_FAMILY" type="string"> + <ipxact:name>DEVICE_FAMILY</ipxact:name> + <ipxact:displayName>Device Family</ipxact:displayName> + <ipxact:value>Arria 10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_MODE" type="int"> + <ipxact:name>GUI_MODE</ipxact:name> + <ipxact:displayName>Operation Mode</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_MEM_IN_BITS" type="int"> + <ipxact:name>GUI_MEM_IN_BITS</ipxact:name> + <ipxact:displayName>Type</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_MEMSIZE_BITS" type="int"> + <ipxact:name>GUI_MEMSIZE_BITS</ipxact:name> + <ipxact:displayName>How many bits of memory?</ipxact:displayName> + <ipxact:value>256</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_MEMSIZE_WORDS" type="int"> + <ipxact:name>GUI_MEMSIZE_WORDS</ipxact:name> + <ipxact:displayName>How many words of memory?</ipxact:displayName> + <ipxact:value>32</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_QA_WIDTH" type="int"> + <ipxact:name>GUI_QA_WIDTH</ipxact:name> + <ipxact:displayName>How wide should the 'q_a' output bus be?</ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_QB_WIDTH" type="int"> + <ipxact:name>GUI_QB_WIDTH</ipxact:name> + <ipxact:displayName>How wide should the 'q_b' output bus be?</ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_DATAA_WIDTH" type="int"> + <ipxact:name>GUI_DATAA_WIDTH</ipxact:name> + <ipxact:displayName>How wide should the 'data_a' input bus be?</ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_MAX_DEPTH" type="string"> + <ipxact:name>GUI_MAX_DEPTH</ipxact:name> + <ipxact:displayName>Set the maximum block depth to</ipxact:displayName> + <ipxact:value>Auto</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_WIDTH_ECCENCPARITY" type="int"> + <ipxact:name>GUI_WIDTH_ECCENCPARITY</ipxact:name> + <ipxact:displayName>Set the ecc enc parity width</ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_TBENCH" type="bit"> + <ipxact:name>GUI_TBENCH</ipxact:name> + <ipxact:displayName>TESTING</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_TDP_EMULATE" type="bit"> + <ipxact:name>GUI_TDP_EMULATE</ipxact:name> + <ipxact:displayName>Emulate TDP dual clock mode</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_VAR_WIDTH" type="bit"> + <ipxact:name>GUI_VAR_WIDTH</ipxact:name> + <ipxact:displayName>Use different data widths on different ports</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_RAM_BLOCK_TYPE" type="string"> + <ipxact:name>GUI_RAM_BLOCK_TYPE</ipxact:name> + <ipxact:displayName>Ram Block Type</ipxact:displayName> + <ipxact:value>Auto</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_LC_IMPLEMENTION_OPTIONS" type="int"> + <ipxact:name>GUI_LC_IMPLEMENTION_OPTIONS</ipxact:name> + <ipxact:displayName>How should the memory be implemented?</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_FILE_REFERENCE" type="int"> + <ipxact:name>GUI_FILE_REFERENCE</ipxact:name> + <ipxact:displayName>Initialization File:</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_OPTIMIZATION_OPTION" type="int"> + <ipxact:name>GUI_OPTIMIZATION_OPTION</ipxact:name> + <ipxact:displayName>Which timing/power optimization option do you want to use?</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_CLOCK_TYPE" type="int"> + <ipxact:name>GUI_CLOCK_TYPE</ipxact:name> + <ipxact:displayName>Which clocking method do you want to use?</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_RDEN_SINGLE" type="bit"> + <ipxact:name>GUI_RDEN_SINGLE</ipxact:name> + <ipxact:displayName>Create a 'rden' read enable signal</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_RDEN_DOUBLE" type="bit"> + <ipxact:name>GUI_RDEN_DOUBLE</ipxact:name> + <ipxact:displayName>Create 'rden_a' and 'rden_b' read enable signals</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_BYTE_ENABLE_A" type="bit"> + <ipxact:name>GUI_BYTE_ENABLE_A</ipxact:name> + <ipxact:displayName>Create byte enable for port A</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_BYTE_ENABLE_B" type="bit"> + <ipxact:name>GUI_BYTE_ENABLE_B</ipxact:name> + <ipxact:displayName>Create byte enable for port B</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_ECC_DOUBLE" type="bit"> + <ipxact:name>GUI_ECC_DOUBLE</ipxact:name> + <ipxact:displayName>Enable Error Correction Check (ECC)</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_ECC_TRIPLE" type="bit"> + <ipxact:name>GUI_ECC_TRIPLE</ipxact:name> + <ipxact:displayName>Enable Error Correction Check (ECC)</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_ECC_PIPELINE" type="bit"> + <ipxact:name>GUI_ECC_PIPELINE</ipxact:name> + <ipxact:displayName>Enable ECC Pipeline Registers</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_ECCENCBYPASS" type="bit"> + <ipxact:name>GUI_ECCENCBYPASS</ipxact:name> + <ipxact:displayName>Enable ECC Encoder Bypass</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_COHERENT_READ" type="bit"> + <ipxact:name>GUI_COHERENT_READ</ipxact:name> + <ipxact:displayName>Enable Coherent Read</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_FORCE_TO_ZERO" type="bit"> + <ipxact:name>GUI_FORCE_TO_ZERO</ipxact:name> + <ipxact:displayName>Enable Force To Zero</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_PR" type="bit"> + <ipxact:name>GUI_PR</ipxact:name> + <ipxact:displayName>Implement clock-enable circuitry for use in a partial reconfiguration region</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_BYTE_ENABLE_WIDTH" type="int"> + <ipxact:name>GUI_BYTE_ENABLE_WIDTH</ipxact:name> + <ipxact:displayName>What is the width of a byte for byte enables?</ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_WRITE_INPUT_PORTS" type="bit"> + <ipxact:name>GUI_WRITE_INPUT_PORTS</ipxact:name> + <ipxact:displayName>All write input ports</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_READ_INPUT_RDADDRESS" type="bit"> + <ipxact:name>GUI_READ_INPUT_RDADDRESS</ipxact:name> + <ipxact:displayName>rdaddress port</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_READ_OUTPUT_QA" type="bit"> + <ipxact:name>GUI_READ_OUTPUT_QA</ipxact:name> + <ipxact:displayName>q_a port</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_READ_OUTPUT_QB" type="bit"> + <ipxact:name>GUI_READ_OUTPUT_QB</ipxact:name> + <ipxact:displayName>q_b port</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_DIFFERENT_CLKENS" type="bit"> + <ipxact:name>GUI_DIFFERENT_CLKENS</ipxact:name> + <ipxact:displayName>Use different clock enables for registers</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_CLKEN_WRITE_INPUT_REG" type="bit"> + <ipxact:name>GUI_CLKEN_WRITE_INPUT_REG</ipxact:name> + <ipxact:displayName>Use clock enable for write input registers</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_CLKEN_READ_INPUT_REG" type="bit"> + <ipxact:name>GUI_CLKEN_READ_INPUT_REG</ipxact:name> + <ipxact:displayName>Use clock enable for read input registers</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_CLKEN_READ_OUTPUT_REG" type="bit"> + <ipxact:name>GUI_CLKEN_READ_OUTPUT_REG</ipxact:name> + <ipxact:displayName>Use clock enable for output registers</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_CLKEN_INPUT_REG_A" type="bit"> + <ipxact:name>GUI_CLKEN_INPUT_REG_A</ipxact:name> + <ipxact:displayName>Use clock enable for port A input registers</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_CLKEN_INPUT_REG_B" type="bit"> + <ipxact:name>GUI_CLKEN_INPUT_REG_B</ipxact:name> + <ipxact:displayName>Use clock enable for port B input registers</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_CLKEN_OUTPUT_REG_A" type="bit"> + <ipxact:name>GUI_CLKEN_OUTPUT_REG_A</ipxact:name> + <ipxact:displayName>Use clock enable for port A output registers</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_CLKEN_OUTPUT_REG_B" type="bit"> + <ipxact:name>GUI_CLKEN_OUTPUT_REG_B</ipxact:name> + <ipxact:displayName>Use clock enable for port B output registers</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_CLKEN_ADDRESS_STALL_A" type="bit"> + <ipxact:name>GUI_CLKEN_ADDRESS_STALL_A</ipxact:name> + <ipxact:displayName>Create an addressstall_a input port</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_CLKEN_ADDRESS_STALL_B" type="bit"> + <ipxact:name>GUI_CLKEN_ADDRESS_STALL_B</ipxact:name> + <ipxact:displayName>Create an addressstall_b input port</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_CLKEN_WRADDRESSSTALL" type="bit"> + <ipxact:name>GUI_CLKEN_WRADDRESSSTALL</ipxact:name> + <ipxact:displayName>Create an wr_addressstall input port</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_CLKEN_RDADDRESSSTALL" type="bit"> + <ipxact:name>GUI_CLKEN_RDADDRESSSTALL</ipxact:name> + <ipxact:displayName>Create an rd_addressstall input port</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_ACLR_READ_INPUT_RDADDRESS" type="bit"> + <ipxact:name>GUI_ACLR_READ_INPUT_RDADDRESS</ipxact:name> + <ipxact:displayName>rdaddress port</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_ACLR_READ_OUTPUT_QA" type="bit"> + <ipxact:name>GUI_ACLR_READ_OUTPUT_QA</ipxact:name> + <ipxact:displayName>q_a port</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_ACLR_READ_OUTPUT_QB" type="bit"> + <ipxact:name>GUI_ACLR_READ_OUTPUT_QB</ipxact:name> + <ipxact:displayName>q_b port</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_SCLR_READ_OUTPUT_QA" type="bit"> + <ipxact:name>GUI_SCLR_READ_OUTPUT_QA</ipxact:name> + <ipxact:displayName>q_a port</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_SCLR_READ_OUTPUT_QB" type="bit"> + <ipxact:name>GUI_SCLR_READ_OUTPUT_QB</ipxact:name> + <ipxact:displayName>q_b port</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_Q_PORT_MODE" type="int"> + <ipxact:name>GUI_Q_PORT_MODE</ipxact:name> + <ipxact:displayName><html>How should the q_a and q_b outputs behave when reading a memory location<br>that is being written from the other port?</html></ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_CONSTRAINED_DONT_CARE" type="bit"> + <ipxact:name>GUI_CONSTRAINED_DONT_CARE</ipxact:name> + <ipxact:displayName><html>Do not analyze the timing between write and read operation. Metastabillity issues are<br>prevented by never writing and reading at the same address at the same time.</html></ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_RDW_A_MODE" type="string"> + <ipxact:name>GUI_RDW_A_MODE</ipxact:name> + <ipxact:displayName>What should the q_a output be when reading from a memory location being written to?</ipxact:displayName> + <ipxact:value>New Data</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_RDW_B_MODE" type="string"> + <ipxact:name>GUI_RDW_B_MODE</ipxact:name> + <ipxact:displayName>What should the q_b output be when reading from a memory location being written to?</ipxact:displayName> + <ipxact:value>New Data</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_NBE_A" type="bit"> + <ipxact:name>GUI_NBE_A</ipxact:name> + <ipxact:displayName>Get x's for write masked bytes instead of old data when byte enable is used</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_NBE_B" type="bit"> + <ipxact:name>GUI_NBE_B</ipxact:name> + <ipxact:displayName>Get x's for write masked bytes instead of old data when byte enable is used</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_BLANK_MEMORY" type="int"> + <ipxact:name>GUI_BLANK_MEMORY</ipxact:name> + <ipxact:displayName>Type</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_INIT_FILE_LAYOUT" type="string"> + <ipxact:name>GUI_INIT_FILE_LAYOUT</ipxact:name> + <ipxact:displayName>The initial content file should conform to which port's dimensions?</ipxact:displayName> + <ipxact:value>PORT_B</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_INIT_SIM_TO_X" type="bit"> + <ipxact:name>GUI_INIT_SIM_TO_X</ipxact:name> + <ipxact:displayName>Initialize memory content data to XX..X on power-up in simulation</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_MIF_FILENAME" type="string"> + <ipxact:name>GUI_MIF_FILENAME</ipxact:name> + <ipxact:displayName>File name</ipxact:displayName> + <ipxact:value>./ram_1024.hex</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_BYTE_WIDTH_A" type="int"> + <ipxact:name>GUI_BYTE_WIDTH_A</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_BYTE_WIDTH_B" type="int"> + <ipxact:name>GUI_BYTE_WIDTH_B</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_MODULE_NAME" type="string"> + <ipxact:name>GUI_MODULE_NAME</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>altera_syncram</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_DATA_WIDTH" type="int"> + <ipxact:name>GUI_DATA_WIDTH</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_Q_WIDTH" type="int"> + <ipxact:name>GUI_Q_WIDTH</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_WRADDRESS_WIDTH" type="int"> + <ipxact:name>GUI_WRADDRESS_WIDTH</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_RDADDRESS_WIDTH" type="int"> + <ipxact:name>GUI_RDADDRESS_WIDTH</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_WIDTH_ECCSTATUS" type="int"> + <ipxact:name>GUI_WIDTH_ECCSTATUS</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_NUMWORDS_A" type="int"> + <ipxact:name>GUI_NUMWORDS_A</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>32</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_NUMWORDS_B" type="int"> + <ipxact:name>GUI_NUMWORDS_B</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>32</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="GUI_RESOURCE_USAGE" type="string"> + <ipxact:name>GUI_RESOURCE_USAGE</ipxact:name> + <ipxact:displayName>Resource Usage</ipxact:displayName> + <ipxact:value>1 M20K</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_module_parameters> + <altera:altera_system_parameters> + <ipxact:parameters> + <ipxact:parameter parameterId="device" type="string"> + <ipxact:name>device</ipxact:name> + <ipxact:displayName>Device</ipxact:displayName> + <ipxact:value>10AX115U3F45E2SG</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="deviceFamily" type="string"> + <ipxact:name>deviceFamily</ipxact:name> + <ipxact:displayName>Device family</ipxact:displayName> + <ipxact:value>Arria 10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="deviceSpeedGrade" type="string"> + <ipxact:name>deviceSpeedGrade</ipxact:name> + <ipxact:displayName>Device Speed Grade</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="generationId" type="int"> + <ipxact:name>generationId</ipxact:name> + <ipxact:displayName>Generation Id</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="bonusData" type="string"> + <ipxact:name>bonusData</ipxact:name> + <ipxact:displayName>bonusData</ipxact:displayName> + <ipxact:value>bonusData +{ + element ram_2port_0 + { + datum _sortIndex + { + value = "0"; + type = "int"; + } + } +} +</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hideFromIPCatalog" type="bit"> + <ipxact:name>hideFromIPCatalog</ipxact:name> + <ipxact:displayName>Hide from IP Catalog</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="lockedInterfaceDefinition" type="string"> + <ipxact:name>lockedInterfaceDefinition</ipxact:name> + <ipxact:displayName>lockedInterfaceDefinition</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="systemInfos" type="string"> + <ipxact:name>systemInfos</ipxact:name> + <ipxact:displayName>systemInfos</ipxact:displayName> + <ipxact:value><systemInfosDefinition> + <connPtSystemInfos/> +</systemInfosDefinition></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_system_parameters> + <altera:altera_interface_boundary> + <altera:interface_mapping altera:name="clock" altera:internal="ram_2port_0.clock" altera:type="clock" altera:dir="end"> + <altera:port_mapping altera:name="clock" altera:internal="clock"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="data" altera:internal="ram_2port_0.data" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="data" altera:internal="data"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="q" altera:internal="ram_2port_0.q" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="q" altera:internal="q"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rdaddress" altera:internal="ram_2port_0.rdaddress" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rdaddress" altera:internal="rdaddress"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="wraddress" altera:internal="ram_2port_0.wraddress" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="wraddress" altera:internal="wraddress"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="wren" altera:internal="ram_2port_0.wren" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="wren" altera:internal="wren"></altera:port_mapping> + </altera:interface_mapping> + </altera:altera_interface_boundary> + <altera:altera_has_warnings>false</altera:altera_has_warnings> + <altera:altera_has_errors>false</altera:altera_has_errors> + </ipxact:vendorExtensions> +</ipxact:component> \ No newline at end of file diff --git a/libraries/technology/ip_arria10_e2sg/ram/ip_arria10_e2sg_ram_r_w.qsys b/libraries/technology/ip_arria10_e2sg/ram/ip_arria10_e2sg_ram_r_w.qsys deleted file mode 100644 index 5d2254302d..0000000000 --- a/libraries/technology/ip_arria10_e2sg/ram/ip_arria10_e2sg_ram_r_w.qsys +++ /dev/null @@ -1,142 +0,0 @@ -<?xml version="1.0" encoding="UTF-8"?> -<system name="ip_arria10_e2sg_ram_r_w"> - <component - name="$${FILENAME}" - displayName="$${FILENAME}" - version="1.0" - description="" - tags="AUTHORSHIP=Intel Corporation /// INTERNAL_COMPONENT=true" - categories="System" - tool="QsysStandard" /> - <parameter name="bonusData"><![CDATA[bonusData -{ - element $system - { - } - element ram_2port_0 - { - datum _sortIndex - { - value = "0"; - type = "int"; - } - } -} -]]></parameter> - <parameter name="device" value="10AX115U3F45E2SG" /> - <parameter name="deviceFamily" value="Arria 10" /> - <parameter name="deviceSpeedGrade" value="2" /> - <parameter name="fabricMode" value="QSYS" /> - <parameter name="generateLegacySim" value="false" /> - <parameter name="generationId" value="0" /> - <parameter name="globalResetBus" value="false" /> - <parameter name="hdlLanguage" value="VERILOG" /> - <parameter name="hideFromIPCatalog" value="true" /> - <parameter name="lockedInterfaceDefinition" value="" /> - <parameter name="sopcBorderPoints" value="false" /> - <parameter name="systemHash" value="0" /> - <parameter name="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos/> -</systemInfosDefinition>]]></parameter> - <parameter name="systemScripts" value="" /> - <parameter name="testBenchDutName" value="" /> - <parameter name="timeStamp" value="0" /> - <parameter name="useTestBenchNamingPattern" value="false" /> - <instanceScript></instanceScript> - <interface name="clock" internal="ram_2port_0.clock" type="clock" dir="end"> - <port name="clock" internal="clock" /> - </interface> - <interface name="data" internal="ram_2port_0.data" type="conduit" dir="end"> - <port name="data" internal="data" /> - </interface> - <interface name="q" internal="ram_2port_0.q" type="conduit" dir="end"> - <port name="q" internal="q" /> - </interface> - <interface name="ram_input" internal="ram_2port_0.ram_input" /> - <interface name="ram_output" internal="ram_2port_0.ram_output" /> - <interface - name="rdaddress" - internal="ram_2port_0.rdaddress" - type="conduit" - dir="end"> - <port name="rdaddress" internal="rdaddress" /> - </interface> - <interface - name="wraddress" - internal="ram_2port_0.wraddress" - type="conduit" - dir="end"> - <port name="wraddress" internal="wraddress" /> - </interface> - <interface name="wren" internal="ram_2port_0.wren" type="conduit" dir="end"> - <port name="wren" internal="wren" /> - </interface> - <module - name="ram_2port_0" - kind="ram_2port" - version="20.0.0" - enabled="1" - autoexport="1"> - <parameter name="DEVICE_FAMILY" value="Arria 10" /> - <parameter name="GUI_ACLR_READ_INPUT_RDADDRESS" value="false" /> - <parameter name="GUI_ACLR_READ_OUTPUT_QA" value="false" /> - <parameter name="GUI_ACLR_READ_OUTPUT_QB" value="false" /> - <parameter name="GUI_BLANK_MEMORY" value="1" /> - <parameter name="GUI_BYTE_ENABLE_A" value="false" /> - <parameter name="GUI_BYTE_ENABLE_B" value="false" /> - <parameter name="GUI_BYTE_ENABLE_WIDTH" value="8" /> - <parameter name="GUI_CLKEN_ADDRESS_STALL_A" value="false" /> - <parameter name="GUI_CLKEN_ADDRESS_STALL_B" value="false" /> - <parameter name="GUI_CLKEN_INPUT_REG_A" value="false" /> - <parameter name="GUI_CLKEN_INPUT_REG_B" value="false" /> - <parameter name="GUI_CLKEN_OUTPUT_REG_A" value="false" /> - <parameter name="GUI_CLKEN_OUTPUT_REG_B" value="false" /> - <parameter name="GUI_CLKEN_RDADDRESSSTALL" value="false" /> - <parameter name="GUI_CLKEN_READ_INPUT_REG" value="false" /> - <parameter name="GUI_CLKEN_READ_OUTPUT_REG" value="false" /> - <parameter name="GUI_CLKEN_WRADDRESSSTALL" value="false" /> - <parameter name="GUI_CLKEN_WRITE_INPUT_REG" value="false" /> - <parameter name="GUI_CLOCK_TYPE" value="0" /> - <parameter name="GUI_COHERENT_READ" value="false" /> - <parameter name="GUI_CONSTRAINED_DONT_CARE" value="true" /> - <parameter name="GUI_DATAA_WIDTH" value="8" /> - <parameter name="GUI_DIFFERENT_CLKENS" value="false" /> - <parameter name="GUI_ECCENCBYPASS" value="false" /> - <parameter name="GUI_ECC_DOUBLE" value="false" /> - <parameter name="GUI_ECC_PIPELINE" value="false" /> - <parameter name="GUI_ECC_TRIPLE" value="false" /> - <parameter name="GUI_FILE_REFERENCE" value="0" /> - <parameter name="GUI_FORCE_TO_ZERO" value="false" /> - <parameter name="GUI_INIT_FILE_LAYOUT" value="PORT_B" /> - <parameter name="GUI_INIT_SIM_TO_X" value="false" /> - <parameter name="GUI_LC_IMPLEMENTION_OPTIONS" value="0" /> - <parameter name="GUI_MAX_DEPTH" value="Auto" /> - <parameter name="GUI_MEMSIZE_BITS" value="256" /> - <parameter name="GUI_MEMSIZE_WORDS" value="32" /> - <parameter name="GUI_MEM_IN_BITS" value="0" /> - <parameter name="GUI_MIF_FILENAME" value="./ram_1024.hex" /> - <parameter name="GUI_MODE" value="0" /> - <parameter name="GUI_NBE_A" value="false" /> - <parameter name="GUI_NBE_B" value="false" /> - <parameter name="GUI_OPTIMIZATION_OPTION" value="0" /> - <parameter name="GUI_PR" value="false" /> - <parameter name="GUI_QA_WIDTH" value="8" /> - <parameter name="GUI_QB_WIDTH" value="8" /> - <parameter name="GUI_Q_PORT_MODE" value="2" /> - <parameter name="GUI_RAM_BLOCK_TYPE" value="Auto" /> - <parameter name="GUI_RDEN_DOUBLE" value="false" /> - <parameter name="GUI_RDEN_SINGLE" value="false" /> - <parameter name="GUI_RDW_A_MODE" value="New Data" /> - <parameter name="GUI_RDW_B_MODE" value="New Data" /> - <parameter name="GUI_READ_INPUT_RDADDRESS" value="true" /> - <parameter name="GUI_READ_OUTPUT_QA" value="true" /> - <parameter name="GUI_READ_OUTPUT_QB" value="true" /> - <parameter name="GUI_SCLR_READ_OUTPUT_QA" value="false" /> - <parameter name="GUI_SCLR_READ_OUTPUT_QB" value="false" /> - <parameter name="GUI_TBENCH" value="false" /> - <parameter name="GUI_TDP_EMULATE" value="false" /> - <parameter name="GUI_VAR_WIDTH" value="false" /> - <parameter name="GUI_WIDTH_ECCENCPARITY" value="8" /> - <parameter name="GUI_WRITE_INPUT_PORTS" value="true" /> - </module> -</system> diff --git a/libraries/technology/ip_arria10_e2sg/temp_sense/hdllib.cfg b/libraries/technology/ip_arria10_e2sg/temp_sense/hdllib.cfg index f09c783550..4d5f22f8e1 100644 --- a/libraries/technology/ip_arria10_e2sg/temp_sense/hdllib.cfg +++ b/libraries/technology/ip_arria10_e2sg/temp_sense/hdllib.cfg @@ -20,4 +20,4 @@ quartus_qip_files = [generate_ip_libs] qsys-generate_ip_files = - ip_arria10_e2sg_temp_sense.qsys \ No newline at end of file + ip_arria10_e2sg_temp_sense.ip diff --git a/libraries/technology/ip_arria10_e2sg/temp_sense/ip_arria10_e2sg_temp_sense.ip b/libraries/technology/ip_arria10_e2sg/temp_sense/ip_arria10_e2sg_temp_sense.ip new file mode 100644 index 0000000000..bf7dd78eaf --- /dev/null +++ b/libraries/technology/ip_arria10_e2sg/temp_sense/ip_arria10_e2sg_temp_sense.ip @@ -0,0 +1,396 @@ +<?xml version="1.0" ?> +<ipxact:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact2014/extensions" xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"> + <ipxact:vendor>Intel Corporation</ipxact:vendor> + <ipxact:library>ip_arria10_e2sg_temp_sense</ipxact:library> + <ipxact:name>temp_sense_0</ipxact:name> + <ipxact:version>19.1.0</ipxact:version> + <ipxact:busInterfaces> + <ipxact:busInterface> + <ipxact:name>corectl</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>corectl</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>corectl</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>reset</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>reset</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>reset</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tempout</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>tempout</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tempout</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>OUTPUT</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>eoc</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>eoc</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>eoc</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>OUTPUT</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + </ipxact:busInterfaces> + <ipxact:model> + <ipxact:views> + <ipxact:view> + <ipxact:name>QUARTUS_SYNTH</ipxact:name> + <ipxact:envIdentifier>:quartus.altera.com:</ipxact:envIdentifier> + <ipxact:componentInstantiationRef>QUARTUS_SYNTH</ipxact:componentInstantiationRef> + </ipxact:view> + </ipxact:views> + <ipxact:instantiations> + <ipxact:componentInstantiation> + <ipxact:name>QUARTUS_SYNTH</ipxact:name> + <ipxact:moduleName>altera_temp_sense</ipxact:moduleName> + <ipxact:fileSetRef> + <ipxact:localName>QUARTUS_SYNTH</ipxact:localName> + </ipxact:fileSetRef> + </ipxact:componentInstantiation> + </ipxact:instantiations> + <ipxact:ports> + <ipxact:port> + <ipxact:name>corectl</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>reset</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tempout</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>9</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>eoc</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + </ipxact:ports> + </ipxact:model> + <ipxact:vendorExtensions> + <altera:entity_info> + <ipxact:vendor>Intel Corporation</ipxact:vendor> + <ipxact:library>ip_arria10_e2sg_temp_sense</ipxact:library> + <ipxact:name>altera_temp_sense</ipxact:name> + <ipxact:version>19.1.0</ipxact:version> + </altera:entity_info> + <altera:altera_module_parameters> + <ipxact:parameters> + <ipxact:parameter parameterId="DEVICE_FAMILY" type="string"> + <ipxact:name>DEVICE_FAMILY</ipxact:name> + <ipxact:displayName>DEVICE_FAMILY</ipxact:displayName> + <ipxact:value>Arria 10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CBX_AUTO_BLACKBOX" type="string"> + <ipxact:name>CBX_AUTO_BLACKBOX</ipxact:name> + <ipxact:displayName>CBX_AUTO_BLACKBOX</ipxact:displayName> + <ipxact:value>ALL</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CLK_FREQUENCY" type="real"> + <ipxact:name>CLK_FREQUENCY</ipxact:name> + <ipxact:displayName>What is the input frequency? </ipxact:displayName> + <ipxact:value>1.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CLOCK_DIVIDER_VALUE" type="int"> + <ipxact:name>CLOCK_DIVIDER_VALUE</ipxact:name> + <ipxact:displayName>What is the clock divider value?</ipxact:displayName> + <ipxact:value>40</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CLOCK_DIVIDER_ENABLE" type="string"> + <ipxact:name>CLOCK_DIVIDER_ENABLE</ipxact:name> + <ipxact:displayName>CLOCK_DIVIDER_ENABLE</ipxact:displayName> + <ipxact:value>off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CE_CHECK" type="bit"> + <ipxact:name>CE_CHECK</ipxact:name> + <ipxact:displayName>Create a clock enable port</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CLR_CHECK" type="bit"> + <ipxact:name>CLR_CHECK</ipxact:name> + <ipxact:displayName>Create an asynchronous clear port</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="NUMBER_OF_SAMPLES" type="int"> + <ipxact:name>NUMBER_OF_SAMPLES</ipxact:name> + <ipxact:displayName>NUMBER_OF_SAMPLES</ipxact:displayName> + <ipxact:value>128</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="POI_CAL_TEMPERATURE" type="int"> + <ipxact:name>POI_CAL_TEMPERATURE</ipxact:name> + <ipxact:displayName>POI_CAL_TEMPERATURE</ipxact:displayName> + <ipxact:value>85</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="SIM_TSDCALO" type="int"> + <ipxact:name>SIM_TSDCALO</ipxact:name> + <ipxact:displayName>SIM_TSDCALO</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="USE_WYS" type="string"> + <ipxact:name>USE_WYS</ipxact:name> + <ipxact:displayName>USE_WYS</ipxact:displayName> + <ipxact:value>on</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="USER_OFFSET_ENABLE" type="string"> + <ipxact:name>USER_OFFSET_ENABLE</ipxact:name> + <ipxact:displayName>USER_OFFSET_ENABLE</ipxact:displayName> + <ipxact:value>off</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_module_parameters> + <altera:altera_system_parameters> + <ipxact:parameters> + <ipxact:parameter parameterId="device" type="string"> + <ipxact:name>device</ipxact:name> + <ipxact:displayName>Device</ipxact:displayName> + <ipxact:value>10AX115U3F45E2SG</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="deviceFamily" type="string"> + <ipxact:name>deviceFamily</ipxact:name> + <ipxact:displayName>Device family</ipxact:displayName> + <ipxact:value>Arria 10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="deviceSpeedGrade" type="string"> + <ipxact:name>deviceSpeedGrade</ipxact:name> + <ipxact:displayName>Device Speed Grade</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="generationId" type="int"> + <ipxact:name>generationId</ipxact:name> + <ipxact:displayName>Generation Id</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="bonusData" type="string"> + <ipxact:name>bonusData</ipxact:name> + <ipxact:displayName>bonusData</ipxact:displayName> + <ipxact:value>bonusData +{ + element temp_sense_0 + { + datum _sortIndex + { + value = "0"; + type = "int"; + } + } +} +</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hideFromIPCatalog" type="bit"> + <ipxact:name>hideFromIPCatalog</ipxact:name> + <ipxact:displayName>Hide from IP Catalog</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="lockedInterfaceDefinition" type="string"> + <ipxact:name>lockedInterfaceDefinition</ipxact:name> + <ipxact:displayName>lockedInterfaceDefinition</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="systemInfos" type="string"> + <ipxact:name>systemInfos</ipxact:name> + <ipxact:displayName>systemInfos</ipxact:displayName> + <ipxact:value><systemInfosDefinition> + <connPtSystemInfos/> +</systemInfosDefinition></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_system_parameters> + <altera:altera_interface_boundary> + <altera:interface_mapping altera:name="corectl" altera:internal="temp_sense_0.corectl" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="corectl" altera:internal="corectl"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="eoc" altera:internal="temp_sense_0.eoc" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="eoc" altera:internal="eoc"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="reset" altera:internal="temp_sense_0.reset" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="reset" altera:internal="reset"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tempout" altera:internal="temp_sense_0.tempout" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tempout" altera:internal="tempout"></altera:port_mapping> + </altera:interface_mapping> + </altera:altera_interface_boundary> + <altera:altera_has_warnings>false</altera:altera_has_warnings> + <altera:altera_has_errors>false</altera:altera_has_errors> + </ipxact:vendorExtensions> +</ipxact:component> \ No newline at end of file diff --git a/libraries/technology/ip_arria10_e2sg/temp_sense/ip_arria10_e2sg_temp_sense.qsys b/libraries/technology/ip_arria10_e2sg/temp_sense/ip_arria10_e2sg_temp_sense.qsys deleted file mode 100644 index 7a0d713c54..0000000000 --- a/libraries/technology/ip_arria10_e2sg/temp_sense/ip_arria10_e2sg_temp_sense.qsys +++ /dev/null @@ -1,87 +0,0 @@ -<?xml version="1.0" encoding="UTF-8"?> -<system name="ip_arria10_e2sg_temp_sense"> - <component - name="$${FILENAME}" - displayName="$${FILENAME}" - version="1.0" - description="" - tags="AUTHORSHIP=Intel Corporation /// INTERNAL_COMPONENT=true" - categories="System" - tool="QsysStandard" /> - <parameter name="bonusData"><![CDATA[bonusData -{ - element $system - { - } - element temp_sense_0 - { - datum _sortIndex - { - value = "0"; - type = "int"; - } - } -} -]]></parameter> - <parameter name="device" value="10AX115U3F45E2SG" /> - <parameter name="deviceFamily" value="Arria 10" /> - <parameter name="deviceSpeedGrade" value="2" /> - <parameter name="fabricMode" value="QSYS" /> - <parameter name="generateLegacySim" value="false" /> - <parameter name="generationId" value="0" /> - <parameter name="globalResetBus" value="false" /> - <parameter name="hdlLanguage" value="VERILOG" /> - <parameter name="hideFromIPCatalog" value="true" /> - <parameter name="lockedInterfaceDefinition" value="" /> - <parameter name="sopcBorderPoints" value="false" /> - <parameter name="systemHash" value="0" /> - <parameter name="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos/> -</systemInfosDefinition>]]></parameter> - <parameter name="systemScripts" value="" /> - <parameter name="testBenchDutName" value="" /> - <parameter name="timeStamp" value="0" /> - <parameter name="useTestBenchNamingPattern" value="false" /> - <instanceScript></instanceScript> - <interface name="clk" internal="temp_sense_0.clk" /> - <interface - name="corectl" - internal="temp_sense_0.corectl" - type="conduit" - dir="end"> - <port name="corectl" internal="corectl" /> - </interface> - <interface name="eoc" internal="temp_sense_0.eoc" type="conduit" dir="end"> - <port name="eoc" internal="eoc" /> - </interface> - <interface name="reset" internal="temp_sense_0.reset" type="conduit" dir="end"> - <port name="reset" internal="reset" /> - </interface> - <interface - name="tempout" - internal="temp_sense_0.tempout" - type="conduit" - dir="end"> - <port name="tempout" internal="tempout" /> - </interface> - <interface name="tsdcaldone" internal="temp_sense_0.tsdcaldone" /> - <interface name="tsdcalo" internal="temp_sense_0.tsdcalo" /> - <module - name="temp_sense_0" - kind="altera_temp_sense" - version="19.1.0" - enabled="1" - autoexport="1"> - <parameter name="CBX_AUTO_BLACKBOX" value="ALL" /> - <parameter name="CE_CHECK" value="false" /> - <parameter name="CLK_FREQUENCY" value="1.0" /> - <parameter name="CLOCK_DIVIDER_VALUE" value="40" /> - <parameter name="CLR_CHECK" value="false" /> - <parameter name="DEVICE_FAMILY" value="Arria 10" /> - <parameter name="NUMBER_OF_SAMPLES" value="128" /> - <parameter name="POI_CAL_TEMPERATURE" value="85" /> - <parameter name="SIM_TSDCALO" value="0" /> - <parameter name="USER_OFFSET_ENABLE" value="off" /> - <parameter name="USE_WYS" value="on" /> - </module> -</system> diff --git a/libraries/technology/ip_arria10_e2sg/transceiver_pll_10g/hdllib.cfg b/libraries/technology/ip_arria10_e2sg/transceiver_pll_10g/hdllib.cfg index b4ecca03eb..b4ca19e5e6 100644 --- a/libraries/technology/ip_arria10_e2sg/transceiver_pll_10g/hdllib.cfg +++ b/libraries/technology/ip_arria10_e2sg/transceiver_pll_10g/hdllib.cfg @@ -21,4 +21,4 @@ quartus_qip_files = [generate_ip_libs] qsys-generate_ip_files = - ip_arria10_e2sg_transceiver_pll_10g.qsys \ No newline at end of file + ip_arria10_e2sg_transceiver_pll_10g.ip diff --git a/libraries/technology/ip_arria10_e2sg/transceiver_pll_10g/ip_arria10_e2sg_transceiver_pll_10g.ip b/libraries/technology/ip_arria10_e2sg/transceiver_pll_10g/ip_arria10_e2sg_transceiver_pll_10g.ip new file mode 100644 index 0000000000..940e86e5ef --- /dev/null +++ b/libraries/technology/ip_arria10_e2sg/transceiver_pll_10g/ip_arria10_e2sg_transceiver_pll_10g.ip @@ -0,0 +1,2521 @@ +<?xml version="1.0" ?> +<ipxact:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact2014/extensions" xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"> + <ipxact:vendor>Intel Corporation</ipxact:vendor> + <ipxact:library>ip_arria10_e2sg_transceiver_pll_10g</ipxact:library> + <ipxact:name>xcvr_atx_pll_a10_0</ipxact:name> + <ipxact:version>19.1</ipxact:version> + <ipxact:busInterfaces> + <ipxact:busInterface> + <ipxact:name>pll_powerdown</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>pll_powerdown</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>pll_powerdown</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>pll_refclk0</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="clock" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>pll_refclk0</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="clockRate" type="longint"> + <ipxact:name>clockRate</ipxact:name> + <ipxact:displayName>Clock rate</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="externallyDriven" type="bit"> + <ipxact:name>externallyDriven</ipxact:name> + <ipxact:displayName>Externally driven</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ptfSchematicName" type="string"> + <ipxact:name>ptfSchematicName</ipxact:name> + <ipxact:displayName>PTF schematic name</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_serial_clk</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="hssi_serial_clock" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="hssi_serial_clock" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_serial_clk</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:master></ipxact:master> + <ipxact:parameters> + <ipxact:parameter parameterId="clockRate" type="longint"> + <ipxact:name>clockRate</ipxact:name> + <ipxact:displayName>Clock rate</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>pll_locked</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>pll_locked</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>pll_locked</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>reconfig_clk0</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="clock" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>reconfig_clk0</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="clockRate" type="longint"> + <ipxact:name>clockRate</ipxact:name> + <ipxact:displayName>Clock rate</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="externallyDriven" type="bit"> + <ipxact:name>externallyDriven</ipxact:name> + <ipxact:displayName>Externally driven</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ptfSchematicName" type="string"> + <ipxact:name>ptfSchematicName</ipxact:name> + <ipxact:displayName>PTF schematic name</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>reconfig_reset0</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="reset" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="reset" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>reset</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>reconfig_reset0</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>Associated clock</ipxact:displayName> + <ipxact:value>reconfig_clk0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="synchronousEdges" type="string"> + <ipxact:name>synchronousEdges</ipxact:name> + <ipxact:displayName>Synchronous edges</ipxact:displayName> + <ipxact:value>DEASSERT</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>reconfig_avmm0</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="avalon" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="avalon" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>write</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>reconfig_write0</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>read</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>reconfig_read0</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>address</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>reconfig_address0</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>writedata</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>reconfig_writedata0</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>readdata</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>reconfig_readdata0</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>waitrequest</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>reconfig_waitrequest0</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="addressAlignment" type="string"> + <ipxact:name>addressAlignment</ipxact:name> + <ipxact:displayName>Slave addressing</ipxact:displayName> + <ipxact:value>DYNAMIC</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="addressGroup" type="int"> + <ipxact:name>addressGroup</ipxact:name> + <ipxact:displayName>Address group</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="addressSpan" type="string"> + <ipxact:name>addressSpan</ipxact:name> + <ipxact:displayName>Address span</ipxact:displayName> + <ipxact:value>4096</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="addressUnits" type="string"> + <ipxact:name>addressUnits</ipxact:name> + <ipxact:displayName>Address units</ipxact:displayName> + <ipxact:value>WORDS</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="alwaysBurstMaxBurst" type="bit"> + <ipxact:name>alwaysBurstMaxBurst</ipxact:name> + <ipxact:displayName>Always burst maximum burst</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>Associated clock</ipxact:displayName> + <ipxact:value>reconfig_clk0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>Associated reset</ipxact:displayName> + <ipxact:value>reconfig_reset0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="bitsPerSymbol" type="int"> + <ipxact:name>bitsPerSymbol</ipxact:name> + <ipxact:displayName>Bits per symbol</ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="bridgedAddressOffset" type="string"> + <ipxact:name>bridgedAddressOffset</ipxact:name> + <ipxact:displayName>Bridged Address Offset</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="bridgesToMaster" type="string"> + <ipxact:name>bridgesToMaster</ipxact:name> + <ipxact:displayName>Bridges to master</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="burstOnBurstBoundariesOnly" type="bit"> + <ipxact:name>burstOnBurstBoundariesOnly</ipxact:name> + <ipxact:displayName>Burst on burst boundaries only</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="burstcountUnits" type="string"> + <ipxact:name>burstcountUnits</ipxact:name> + <ipxact:displayName>Burstcount units</ipxact:displayName> + <ipxact:value>WORDS</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="constantBurstBehavior" type="bit"> + <ipxact:name>constantBurstBehavior</ipxact:name> + <ipxact:displayName>Constant burst behavior</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="explicitAddressSpan" type="string"> + <ipxact:name>explicitAddressSpan</ipxact:name> + <ipxact:displayName>Explicit address span</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="holdTime" type="int"> + <ipxact:name>holdTime</ipxact:name> + <ipxact:displayName>Hold</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="interleaveBursts" type="bit"> + <ipxact:name>interleaveBursts</ipxact:name> + <ipxact:displayName>Interleave bursts</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="isBigEndian" type="bit"> + <ipxact:name>isBigEndian</ipxact:name> + <ipxact:displayName>Big endian</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="isFlash" type="bit"> + <ipxact:name>isFlash</ipxact:name> + <ipxact:displayName>Flash memory</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="isMemoryDevice" type="bit"> + <ipxact:name>isMemoryDevice</ipxact:name> + <ipxact:displayName>Memory device</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="isNonVolatileStorage" type="bit"> + <ipxact:name>isNonVolatileStorage</ipxact:name> + <ipxact:displayName>Non-volatile storage</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="linewrapBursts" type="bit"> + <ipxact:name>linewrapBursts</ipxact:name> + <ipxact:displayName>Linewrap bursts</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="maximumPendingReadTransactions" type="int"> + <ipxact:name>maximumPendingReadTransactions</ipxact:name> + <ipxact:displayName>Maximum pending read transactions</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="maximumPendingWriteTransactions" type="int"> + <ipxact:name>maximumPendingWriteTransactions</ipxact:name> + <ipxact:displayName>Maximum pending write transactions</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="minimumReadLatency" type="int"> + <ipxact:name>minimumReadLatency</ipxact:name> + <ipxact:displayName>minimumReadLatency</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="minimumResponseLatency" type="int"> + <ipxact:name>minimumResponseLatency</ipxact:name> + <ipxact:displayName>Minimum response latency</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="minimumUninterruptedRunLength" type="int"> + <ipxact:name>minimumUninterruptedRunLength</ipxact:name> + <ipxact:displayName>Minimum uninterrupted run length</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="printableDevice" type="bit"> + <ipxact:name>printableDevice</ipxact:name> + <ipxact:displayName>Can receive stdout/stderr</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="readLatency" type="int"> + <ipxact:name>readLatency</ipxact:name> + <ipxact:displayName>Read latency</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="readWaitStates" type="int"> + <ipxact:name>readWaitStates</ipxact:name> + <ipxact:displayName>Read wait states</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="readWaitTime" type="int"> + <ipxact:name>readWaitTime</ipxact:name> + <ipxact:displayName>Read wait</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="registerIncomingSignals" type="bit"> + <ipxact:name>registerIncomingSignals</ipxact:name> + <ipxact:displayName>Register incoming signals</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="registerOutgoingSignals" type="bit"> + <ipxact:name>registerOutgoingSignals</ipxact:name> + <ipxact:displayName>Register outgoing signals</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="setupTime" type="int"> + <ipxact:name>setupTime</ipxact:name> + <ipxact:displayName>Setup</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="timingUnits" type="string"> + <ipxact:name>timingUnits</ipxact:name> + <ipxact:displayName>Timing units</ipxact:displayName> + <ipxact:value>Cycles</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="transparentBridge" type="bit"> + <ipxact:name>transparentBridge</ipxact:name> + <ipxact:displayName>Transparent bridge</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="waitrequestAllowance" type="int"> + <ipxact:name>waitrequestAllowance</ipxact:name> + <ipxact:displayName>Waitrequest allowance</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="wellBehavedWaitrequest" type="bit"> + <ipxact:name>wellBehavedWaitrequest</ipxact:name> + <ipxact:displayName>Well-behaved waitrequest</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="writeLatency" type="int"> + <ipxact:name>writeLatency</ipxact:name> + <ipxact:displayName>Write latency</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="writeWaitStates" type="int"> + <ipxact:name>writeWaitStates</ipxact:name> + <ipxact:displayName>Write wait states</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="writeWaitTime" type="int"> + <ipxact:name>writeWaitTime</ipxact:name> + <ipxact:displayName>Write wait</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="debug.param.device_revision" type="string"> + <ipxact:name>debug.param.device_revision</ipxact:name> + <ipxact:value>20nm5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="debug.typeName" type="string"> + <ipxact:name>debug.typeName</ipxact:name> + <ipxact:value>altera_xcvr_atx_pll_a10.slave</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="embeddedsw.configuration.isFlash" type="string"> + <ipxact:name>embeddedsw.configuration.isFlash</ipxact:name> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="embeddedsw.configuration.isMemoryDevice" type="string"> + <ipxact:name>embeddedsw.configuration.isMemoryDevice</ipxact:name> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="embeddedsw.configuration.isNonVolatileStorage" type="string"> + <ipxact:name>embeddedsw.configuration.isNonVolatileStorage</ipxact:name> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="embeddedsw.configuration.isPrintableDevice" type="string"> + <ipxact:name>embeddedsw.configuration.isPrintableDevice</ipxact:name> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>pll_cal_busy</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>pll_cal_busy</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>pll_cal_busy</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>mcgb_rst</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>mcgb_rst</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>mcgb_rst</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>mcgb_serial_clk</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="hssi_serial_clock" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="hssi_serial_clock" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>mcgb_serial_clk</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:master></ipxact:master> + <ipxact:parameters> + <ipxact:parameter parameterId="clockRate" type="longint"> + <ipxact:name>clockRate</ipxact:name> + <ipxact:displayName>Clock rate</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + </ipxact:busInterfaces> + <ipxact:model> + <ipxact:views> + <ipxact:view> + <ipxact:name>QUARTUS_SYNTH</ipxact:name> + <ipxact:envIdentifier>:quartus.altera.com:</ipxact:envIdentifier> + <ipxact:componentInstantiationRef>QUARTUS_SYNTH</ipxact:componentInstantiationRef> + </ipxact:view> + </ipxact:views> + <ipxact:instantiations> + <ipxact:componentInstantiation> + <ipxact:name>QUARTUS_SYNTH</ipxact:name> + <ipxact:moduleName>altera_xcvr_atx_pll_a10</ipxact:moduleName> + <ipxact:fileSetRef> + <ipxact:localName>QUARTUS_SYNTH</ipxact:localName> + </ipxact:fileSetRef> + </ipxact:componentInstantiation> + </ipxact:instantiations> + <ipxact:ports> + <ipxact:port> + <ipxact:name>pll_powerdown</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>pll_refclk0</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_serial_clk</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>pll_locked</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>reconfig_clk0</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>reconfig_reset0</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>reconfig_write0</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>reconfig_read0</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>reconfig_address0</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>9</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>reconfig_writedata0</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>31</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>reconfig_readdata0</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>31</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>reconfig_waitrequest0</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>pll_cal_busy</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>mcgb_rst</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>mcgb_serial_clk</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + </ipxact:ports> + </ipxact:model> + <ipxact:vendorExtensions> + <altera:entity_info> + <ipxact:vendor>Intel Corporation</ipxact:vendor> + <ipxact:library>ip_arria10_e2sg_transceiver_pll_10g</ipxact:library> + <ipxact:name>altera_xcvr_atx_pll_a10</ipxact:name> + <ipxact:version>19.1</ipxact:version> + </altera:entity_info> + <altera:altera_module_parameters> + <ipxact:parameters> + <ipxact:parameter parameterId="rcfg_debug" type="int"> + <ipxact:name>rcfg_debug</ipxact:name> + <ipxact:displayName>rcfg_debug</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_pll_reconfig" type="int"> + <ipxact:name>enable_pll_reconfig</ipxact:name> + <ipxact:displayName>Enable dynamic reconfiguration</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_advanced_avmm_options" type="int"> + <ipxact:name>enable_advanced_avmm_options</ipxact:name> + <ipxact:displayName>enable_advanced_avmm_options</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_jtag_enable" type="int"> + <ipxact:name>rcfg_jtag_enable</ipxact:name> + <ipxact:displayName>Enable Native PHY Debug Master Endpoint</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_separate_avmm_busy" type="int"> + <ipxact:name>rcfg_separate_avmm_busy</ipxact:name> + <ipxact:displayName>Separate reconfig_waitrequest from the status of AVMM arbitration with PreSICE</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_enable_avmm_busy_port" type="int"> + <ipxact:name>rcfg_enable_avmm_busy_port</ipxact:name> + <ipxact:displayName>Enable avmm_busy port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_capability_reg_enable" type="int"> + <ipxact:name>set_capability_reg_enable</ipxact:name> + <ipxact:displayName>Enable capability registers</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_user_identifier" type="int"> + <ipxact:name>set_user_identifier</ipxact:name> + <ipxact:displayName>Set user-defined IP identifier</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_csr_soft_logic_enable" type="int"> + <ipxact:name>set_csr_soft_logic_enable</ipxact:name> + <ipxact:displayName>Enable control and status registers</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="dbg_embedded_debug_enable" type="int"> + <ipxact:name>dbg_embedded_debug_enable</ipxact:name> + <ipxact:displayName>dbg_embedded_debug_enable</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="dbg_capability_reg_enable" type="int"> + <ipxact:name>dbg_capability_reg_enable</ipxact:name> + <ipxact:displayName>dbg_capability_reg_enable</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="dbg_user_identifier" type="int"> + <ipxact:name>dbg_user_identifier</ipxact:name> + <ipxact:displayName>dbg_user_identifier</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="dbg_stat_soft_logic_enable" type="int"> + <ipxact:name>dbg_stat_soft_logic_enable</ipxact:name> + <ipxact:displayName>dbg_stat_soft_logic_enable</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="dbg_ctrl_soft_logic_enable" type="int"> + <ipxact:name>dbg_ctrl_soft_logic_enable</ipxact:name> + <ipxact:displayName>dbg_ctrl_soft_logic_enable</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_file_prefix" type="string"> + <ipxact:name>rcfg_file_prefix</ipxact:name> + <ipxact:displayName>Configuration file prefix</ipxact:displayName> + <ipxact:value>altera_xcvr_atx_pll_a10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_sv_file_enable" type="int"> + <ipxact:name>rcfg_sv_file_enable</ipxact:name> + <ipxact:displayName>Generate SystemVerilog package file</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_h_file_enable" type="int"> + <ipxact:name>rcfg_h_file_enable</ipxact:name> + <ipxact:displayName>Generate C header file</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_txt_file_enable" type="int"> + <ipxact:name>rcfg_txt_file_enable</ipxact:name> + <ipxact:displayName>Generate text file</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_mif_file_enable" type="int"> + <ipxact:name>rcfg_mif_file_enable</ipxact:name> + <ipxact:displayName>Generate MIF (Memory Initialize File)</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_multi_enable" type="int"> + <ipxact:name>rcfg_multi_enable</ipxact:name> + <ipxact:displayName>Enable multiple reconfiguration profiles</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_rcfg_emb_strm_enable" type="int"> + <ipxact:name>set_rcfg_emb_strm_enable</ipxact:name> + <ipxact:displayName>Enable embedded reconfiguration streamer</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_emb_strm_enable" type="int"> + <ipxact:name>rcfg_emb_strm_enable</ipxact:name> + <ipxact:displayName>rcfg_emb_strm_enable</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_reduced_files_enable" type="int"> + <ipxact:name>rcfg_reduced_files_enable</ipxact:name> + <ipxact:displayName>Generate reduced reconfiguration files</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_profile_cnt" type="int"> + <ipxact:name>rcfg_profile_cnt</ipxact:name> + <ipxact:displayName>Number of reconfiguration profiles</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_profile_select" type="int"> + <ipxact:name>rcfg_profile_select</ipxact:name> + <ipxact:displayName>Store current configuration to profile:</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_profile_data0" type="string"> + <ipxact:name>rcfg_profile_data0</ipxact:name> + <ipxact:displayName>rcfg_profile_data0</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_profile_data1" type="string"> + <ipxact:name>rcfg_profile_data1</ipxact:name> + <ipxact:displayName>rcfg_profile_data1</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_profile_data2" type="string"> + <ipxact:name>rcfg_profile_data2</ipxact:name> + <ipxact:displayName>rcfg_profile_data2</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_profile_data3" type="string"> + <ipxact:name>rcfg_profile_data3</ipxact:name> + <ipxact:displayName>rcfg_profile_data3</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_profile_data4" type="string"> + <ipxact:name>rcfg_profile_data4</ipxact:name> + <ipxact:displayName>rcfg_profile_data4</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_profile_data5" type="string"> + <ipxact:name>rcfg_profile_data5</ipxact:name> + <ipxact:displayName>rcfg_profile_data5</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_profile_data6" type="string"> + <ipxact:name>rcfg_profile_data6</ipxact:name> + <ipxact:displayName>rcfg_profile_data6</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_profile_data7" type="string"> + <ipxact:name>rcfg_profile_data7</ipxact:name> + <ipxact:displayName>rcfg_profile_data7</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_params" type="string"> + <ipxact:name>rcfg_params</ipxact:name> + <ipxact:displayName>rcfg_params</ipxact:displayName> + <ipxact:value>rcfg_debug,enable_pll_reconfig,rcfg_jtag_enable,rcfg_separate_avmm_busy,rcfg_enable_avmm_busy_port,set_capability_reg_enable,set_user_identifier,set_csr_soft_logic_enable,enable_pld_atx_cal_busy_port,support_mode,prot_mode,bw_sel,refclk_cnt,refclk_index,primary_pll_buffer,enable_8G_path,enable_16G_path,enable_pcie_clk,enable_cascade_out,enable_hip_cal_done_port,set_hip_cal_en,set_output_clock_frequency,set_auto_reference_clock_frequency,set_manual_reference_clock_frequency,set_fref_clock_frequency,set_m_counter,set_ref_clk_div,set_l_counter,set_l_cascade_counter,set_l_cascade_predivider,set_k_counter,enable_mcgb,mcgb_div,enable_hfreq_clk,enable_mcgb_pcie_clksw,mcgb_aux_clkin_cnt,enable_bonding_clks,enable_fb_comp_bonding,pma_width,enable_pld_mcgb_cal_busy_port</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_param_labels" type="string"> + <ipxact:name>rcfg_param_labels</ipxact:name> + <ipxact:displayName>IP Parameters</ipxact:displayName> + <ipxact:value>rcfg_debug,Enable dynamic reconfiguration,Enable Native PHY Debug Master Endpoint,Separate reconfig_waitrequest from the status of AVMM arbitration with PreSICE,Enable avmm_busy port,Enable capability registers,Set user-defined IP identifier,Enable control and status registers,enable_pld_atx_cal_busy_port,Support mode,Protocol mode,Bandwidth,Number of PLL reference clocks,Selected reference clock source,Primary PLL clock output buffer,Enable PLL GX clock output port,Enable PLL GT clock output port,Enable PCIe clock output port,Enable cascade clock output port,Enable calibration status ports for HIP,Enable PCIe hard IP calibration,PLL output frequency,PLL integer reference clock frequency,PLL fractional reference clock frequency,PLL fractional reference clock frequency,Multiply factor (M-Counter),Divide factor (N-Counter),Divide factor (L-Counter),Divide factor (L-Cascade Counter),predivide factor (L-Cascade Predivider),Fractional multiply factor (K),Include Master Clock Generation Block,Clock division factor,Enable x6/xN non-bonded high-speed clock output port,Enable PCIe clock switch interface,Number of auxiliary MCGB clock input ports.,Enable bonding clock output ports,Enable feedback compensation bonding,PMA interface width,enable_pld_mcgb_cal_busy_port</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_param_vals0" type="string"> + <ipxact:name>rcfg_param_vals0</ipxact:name> + <ipxact:displayName>Profile 0</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_param_vals1" type="string"> + <ipxact:name>rcfg_param_vals1</ipxact:name> + <ipxact:displayName>Profile 1</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_param_vals2" type="string"> + <ipxact:name>rcfg_param_vals2</ipxact:name> + <ipxact:displayName>Profile 2</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_param_vals3" type="string"> + <ipxact:name>rcfg_param_vals3</ipxact:name> + <ipxact:displayName>Profile 3</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_param_vals4" type="string"> + <ipxact:name>rcfg_param_vals4</ipxact:name> + <ipxact:displayName>Profile 4</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_param_vals5" type="string"> + <ipxact:name>rcfg_param_vals5</ipxact:name> + <ipxact:displayName>Profile 5</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_param_vals6" type="string"> + <ipxact:name>rcfg_param_vals6</ipxact:name> + <ipxact:displayName>Profile 6</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcfg_param_vals7" type="string"> + <ipxact:name>rcfg_param_vals7</ipxact:name> + <ipxact:displayName>Profile 7</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pma_lc_refclk_select_mux_powerdown_mode" type="string"> + <ipxact:name>hssi_pma_lc_refclk_select_mux_powerdown_mode</ipxact:name> + <ipxact:displayName>hssi_pma_lc_refclk_select_mux_powerdown_mode</ipxact:displayName> + <ipxact:value>powerup</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pma_lc_refclk_select_mux_xmux_lc_scratch0_src" type="string"> + <ipxact:name>hssi_pma_lc_refclk_select_mux_xmux_lc_scratch0_src</ipxact:name> + <ipxact:displayName>hssi_pma_lc_refclk_select_mux_xmux_lc_scratch0_src</ipxact:displayName> + <ipxact:value>scratch0_src_lvpecl</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pma_lc_refclk_select_mux_xmux_lc_scratch1_src" type="string"> + <ipxact:name>hssi_pma_lc_refclk_select_mux_xmux_lc_scratch1_src</ipxact:name> + <ipxact:displayName>hssi_pma_lc_refclk_select_mux_xmux_lc_scratch1_src</ipxact:displayName> + <ipxact:value>scratch1_src_lvpecl</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pma_lc_refclk_select_mux_xmux_lc_scratch2_src" type="string"> + <ipxact:name>hssi_pma_lc_refclk_select_mux_xmux_lc_scratch2_src</ipxact:name> + <ipxact:displayName>hssi_pma_lc_refclk_select_mux_xmux_lc_scratch2_src</ipxact:displayName> + <ipxact:value>scratch2_src_lvpecl</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pma_lc_refclk_select_mux_xmux_lc_scratch3_src" type="string"> + <ipxact:name>hssi_pma_lc_refclk_select_mux_xmux_lc_scratch3_src</ipxact:name> + <ipxact:displayName>hssi_pma_lc_refclk_select_mux_xmux_lc_scratch3_src</ipxact:displayName> + <ipxact:value>scratch3_src_lvpecl</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pma_lc_refclk_select_mux_xmux_lc_scratch4_src" type="string"> + <ipxact:name>hssi_pma_lc_refclk_select_mux_xmux_lc_scratch4_src</ipxact:name> + <ipxact:displayName>hssi_pma_lc_refclk_select_mux_xmux_lc_scratch4_src</ipxact:displayName> + <ipxact:value>scratch4_src_lvpecl</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pma_lc_refclk_select_mux_xmux_refclk_src" type="string"> + <ipxact:name>hssi_pma_lc_refclk_select_mux_xmux_refclk_src</ipxact:name> + <ipxact:displayName>hssi_pma_lc_refclk_select_mux_xmux_refclk_src</ipxact:displayName> + <ipxact:value>src_lvpecl</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pma_lc_refclk_select_mux_xpm_iqref_mux_iqclk_sel" type="string"> + <ipxact:name>hssi_pma_lc_refclk_select_mux_xpm_iqref_mux_iqclk_sel</ipxact:name> + <ipxact:displayName>hssi_pma_lc_refclk_select_mux_xpm_iqref_mux_iqclk_sel</ipxact:displayName> + <ipxact:value>power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pma_lc_refclk_select_mux_xpm_iqref_mux_scratch0_src" type="string"> + <ipxact:name>hssi_pma_lc_refclk_select_mux_xpm_iqref_mux_scratch0_src</ipxact:name> + <ipxact:displayName>hssi_pma_lc_refclk_select_mux_xpm_iqref_mux_scratch0_src</ipxact:displayName> + <ipxact:value>scratch0_power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pma_lc_refclk_select_mux_xpm_iqref_mux_scratch1_src" type="string"> + <ipxact:name>hssi_pma_lc_refclk_select_mux_xpm_iqref_mux_scratch1_src</ipxact:name> + <ipxact:displayName>hssi_pma_lc_refclk_select_mux_xpm_iqref_mux_scratch1_src</ipxact:displayName> + <ipxact:value>scratch1_power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pma_lc_refclk_select_mux_xpm_iqref_mux_scratch2_src" type="string"> + <ipxact:name>hssi_pma_lc_refclk_select_mux_xpm_iqref_mux_scratch2_src</ipxact:name> + <ipxact:displayName>hssi_pma_lc_refclk_select_mux_xpm_iqref_mux_scratch2_src</ipxact:displayName> + <ipxact:value>scratch2_power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pma_lc_refclk_select_mux_xpm_iqref_mux_scratch3_src" type="string"> + <ipxact:name>hssi_pma_lc_refclk_select_mux_xpm_iqref_mux_scratch3_src</ipxact:name> + <ipxact:displayName>hssi_pma_lc_refclk_select_mux_xpm_iqref_mux_scratch3_src</ipxact:displayName> + <ipxact:value>scratch3_power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pma_lc_refclk_select_mux_xpm_iqref_mux_scratch4_src" type="string"> + <ipxact:name>hssi_pma_lc_refclk_select_mux_xpm_iqref_mux_scratch4_src</ipxact:name> + <ipxact:displayName>hssi_pma_lc_refclk_select_mux_xpm_iqref_mux_scratch4_src</ipxact:displayName> + <ipxact:value>scratch4_power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pma_lc_refclk_select_mux_refclk_select" type="string"> + <ipxact:name>hssi_pma_lc_refclk_select_mux_refclk_select</ipxact:name> + <ipxact:displayName>hssi_pma_lc_refclk_select_mux_refclk_select</ipxact:displayName> + <ipxact:value>ref_iqclk0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pma_lc_refclk_select_mux_silicon_rev" type="string"> + <ipxact:name>hssi_pma_lc_refclk_select_mux_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_pma_lc_refclk_select_mux_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pma_lc_refclk_select_mux_inclk0_logical_to_physical_mapping" type="string"> + <ipxact:name>hssi_pma_lc_refclk_select_mux_inclk0_logical_to_physical_mapping</ipxact:name> + <ipxact:displayName>hssi_pma_lc_refclk_select_mux_inclk0_logical_to_physical_mapping</ipxact:displayName> + <ipxact:value>ref_iqclk0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pma_lc_refclk_select_mux_inclk1_logical_to_physical_mapping" type="string"> + <ipxact:name>hssi_pma_lc_refclk_select_mux_inclk1_logical_to_physical_mapping</ipxact:name> + <ipxact:displayName>hssi_pma_lc_refclk_select_mux_inclk1_logical_to_physical_mapping</ipxact:displayName> + <ipxact:value>power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pma_lc_refclk_select_mux_inclk2_logical_to_physical_mapping" type="string"> + <ipxact:name>hssi_pma_lc_refclk_select_mux_inclk2_logical_to_physical_mapping</ipxact:name> + <ipxact:displayName>hssi_pma_lc_refclk_select_mux_inclk2_logical_to_physical_mapping</ipxact:displayName> + <ipxact:value>power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pma_lc_refclk_select_mux_inclk3_logical_to_physical_mapping" type="string"> + <ipxact:name>hssi_pma_lc_refclk_select_mux_inclk3_logical_to_physical_mapping</ipxact:name> + <ipxact:displayName>hssi_pma_lc_refclk_select_mux_inclk3_logical_to_physical_mapping</ipxact:displayName> + <ipxact:value>power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pma_lc_refclk_select_mux_inclk4_logical_to_physical_mapping" type="string"> + <ipxact:name>hssi_pma_lc_refclk_select_mux_inclk4_logical_to_physical_mapping</ipxact:name> + <ipxact:displayName>hssi_pma_lc_refclk_select_mux_inclk4_logical_to_physical_mapping</ipxact:displayName> + <ipxact:value>power_down</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_refclk_divider_silicon_rev" type="string"> + <ipxact:name>hssi_refclk_divider_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_refclk_divider_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_refclk_divider_clk_divider" type="string"> + <ipxact:name>hssi_refclk_divider_clk_divider</ipxact:name> + <ipxact:displayName>hssi_refclk_divider_clk_divider</ipxact:displayName> + <ipxact:value>div2_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_refclk_divider_core_clk_lvpecl" type="string"> + <ipxact:name>hssi_refclk_divider_core_clk_lvpecl</ipxact:name> + <ipxact:displayName>hssi_refclk_divider_core_clk_lvpecl</ipxact:displayName> + <ipxact:value>core_clk_lvpecl_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_refclk_divider_enable_lvpecl" type="string"> + <ipxact:name>hssi_refclk_divider_enable_lvpecl</ipxact:name> + <ipxact:displayName>hssi_refclk_divider_enable_lvpecl</ipxact:displayName> + <ipxact:value>lvpecl_enable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_refclk_divider_optimal" type="string"> + <ipxact:name>hssi_refclk_divider_optimal</ipxact:name> + <ipxact:displayName>hssi_refclk_divider_optimal</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_refclk_divider_powerdown_mode" type="string"> + <ipxact:name>hssi_refclk_divider_powerdown_mode</ipxact:name> + <ipxact:displayName>hssi_refclk_divider_powerdown_mode</ipxact:displayName> + <ipxact:value>powerup</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_refclk_divider_sel_pldclk" type="string"> + <ipxact:name>hssi_refclk_divider_sel_pldclk</ipxact:name> + <ipxact:displayName>hssi_refclk_divider_sel_pldclk</ipxact:displayName> + <ipxact:value>iqclk_sel_lvpecl</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_refclk_divider_sup_mode" type="string"> + <ipxact:name>hssi_refclk_divider_sup_mode</ipxact:name> + <ipxact:displayName>hssi_refclk_divider_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_refclk_divider_term_tristate" type="string"> + <ipxact:name>hssi_refclk_divider_term_tristate</ipxact:name> + <ipxact:displayName>hssi_refclk_divider_term_tristate</ipxact:displayName> + <ipxact:value>tristate_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_refclk_divider_vcm_pup" type="string"> + <ipxact:name>hssi_refclk_divider_vcm_pup</ipxact:name> + <ipxact:displayName>hssi_refclk_divider_vcm_pup</ipxact:displayName> + <ipxact:value>pup_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_refclk_divider_clkbuf_sel" type="string"> + <ipxact:name>hssi_refclk_divider_clkbuf_sel</ipxact:name> + <ipxact:displayName>hssi_refclk_divider_clkbuf_sel</ipxact:displayName> + <ipxact:value>high_vcm</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_refclk_divider_iostandard" type="string"> + <ipxact:name>hssi_refclk_divider_iostandard</ipxact:name> + <ipxact:displayName>hssi_refclk_divider_iostandard</ipxact:displayName> + <ipxact:value>lvpecl</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="atx_pll_silicon_rev" type="string"> + <ipxact:name>atx_pll_silicon_rev</ipxact:name> + <ipxact:displayName>atx_pll_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="atx_pll_is_cascaded_pll" type="string"> + <ipxact:name>atx_pll_is_cascaded_pll</ipxact:name> + <ipxact:displayName>atx_pll_is_cascaded_pll</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="atx_pll_cgb_div" type="int"> + <ipxact:name>atx_pll_cgb_div</ipxact:name> + <ipxact:displayName>atx_pll_cgb_div</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="atx_pll_pma_width" type="int"> + <ipxact:name>atx_pll_pma_width</ipxact:name> + <ipxact:displayName>atx_pll_pma_width</ipxact:displayName> + <ipxact:value>64</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="atx_pll_lc_atb" type="string"> + <ipxact:name>atx_pll_lc_atb</ipxact:name> + <ipxact:displayName>atx_pll_lc_atb</ipxact:displayName> + <ipxact:value>atb_selectdisable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="atx_pll_cp_compensation_enable" type="string"> + <ipxact:name>atx_pll_cp_compensation_enable</ipxact:name> + <ipxact:displayName>atx_pll_cp_compensation_enable</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="atx_pll_cp_current_setting" type="string"> + <ipxact:name>atx_pll_cp_current_setting</ipxact:name> + <ipxact:displayName>atx_pll_cp_current_setting</ipxact:displayName> + <ipxact:value>cp_current_setting23</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="atx_pll_cp_testmode" type="string"> + <ipxact:name>atx_pll_cp_testmode</ipxact:name> + <ipxact:displayName>atx_pll_cp_testmode</ipxact:displayName> + <ipxact:value>cp_normal</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="atx_pll_cp_lf_3rd_pole_freq" type="string"> + <ipxact:name>atx_pll_cp_lf_3rd_pole_freq</ipxact:name> + <ipxact:displayName>atx_pll_cp_lf_3rd_pole_freq</ipxact:displayName> + <ipxact:value>lf_3rd_pole_setting2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="atx_pll_lf_cbig_size" type="string"> + <ipxact:name>atx_pll_lf_cbig_size</ipxact:name> + <ipxact:displayName>atx_pll_lf_cbig_size</ipxact:displayName> + <ipxact:value>lf_cbig_setting4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="atx_pll_cp_lf_order" type="string"> + <ipxact:name>atx_pll_cp_lf_order</ipxact:name> + <ipxact:displayName>atx_pll_cp_lf_order</ipxact:displayName> + <ipxact:value>lf_3rd_order</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="atx_pll_lf_resistance" type="string"> + <ipxact:name>atx_pll_lf_resistance</ipxact:name> + <ipxact:displayName>atx_pll_lf_resistance</ipxact:displayName> + <ipxact:value>lf_setting1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="atx_pll_lf_ripplecap" type="string"> + <ipxact:name>atx_pll_lf_ripplecap</ipxact:name> + <ipxact:displayName>atx_pll_lf_ripplecap</ipxact:displayName> + <ipxact:value>lf_ripple_cap_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="atx_pll_cal_status" type="string"> + <ipxact:name>atx_pll_cal_status</ipxact:name> + <ipxact:displayName>atx_pll_cal_status</ipxact:displayName> + <ipxact:value>cal_in_progress</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="atx_pll_bonding" type="string"> + <ipxact:name>atx_pll_bonding</ipxact:name> + <ipxact:displayName>atx_pll_bonding</ipxact:displayName> + <ipxact:value>pll_bonding</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="atx_pll_expected_lc_boost_voltage" type="int"> + <ipxact:name>atx_pll_expected_lc_boost_voltage</ipxact:name> + <ipxact:displayName>atx_pll_expected_lc_boost_voltage</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="atx_pll_power_rail_et" type="int"> + <ipxact:name>atx_pll_power_rail_et</ipxact:name> + <ipxact:displayName>atx_pll_power_rail_et</ipxact:displayName> + <ipxact:value>950</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="atx_pll_dprio_lc_vreg_boost_scratch" type="int"> + <ipxact:name>atx_pll_dprio_lc_vreg_boost_scratch</ipxact:name> + <ipxact:displayName>atx_pll_dprio_lc_vreg_boost_scratch</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="atx_pll_dprio_lc_vreg1_boost_scratch" type="int"> + <ipxact:name>atx_pll_dprio_lc_vreg1_boost_scratch</ipxact:name> + <ipxact:displayName>atx_pll_dprio_lc_vreg1_boost_scratch</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="atx_pll_dprio_clk_vreg_boost_scratch" type="int"> + <ipxact:name>atx_pll_dprio_clk_vreg_boost_scratch</ipxact:name> + <ipxact:displayName>atx_pll_dprio_clk_vreg_boost_scratch</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="atx_pll_dprio_mcgb_vreg_boost_scratch" type="int"> + <ipxact:name>atx_pll_dprio_mcgb_vreg_boost_scratch</ipxact:name> + <ipxact:displayName>atx_pll_dprio_mcgb_vreg_boost_scratch</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="atx_pll_dprio_vreg_boost_step_size" type="int"> + <ipxact:name>atx_pll_dprio_vreg_boost_step_size</ipxact:name> + <ipxact:displayName>atx_pll_dprio_vreg_boost_step_size</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="atx_pll_dprio_vreg1_boost_step_size" type="int"> + <ipxact:name>atx_pll_dprio_vreg1_boost_step_size</ipxact:name> + <ipxact:displayName>atx_pll_dprio_vreg1_boost_step_size</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="atx_pll_dprio_clk_vreg_boost_step_size" type="int"> + <ipxact:name>atx_pll_dprio_clk_vreg_boost_step_size</ipxact:name> + <ipxact:displayName>atx_pll_dprio_clk_vreg_boost_step_size</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="atx_pll_dprio_mcgb_vreg_boost_step_size" type="int"> + <ipxact:name>atx_pll_dprio_mcgb_vreg_boost_step_size</ipxact:name> + <ipxact:displayName>atx_pll_dprio_mcgb_vreg_boost_step_size</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="atx_pll_dprio_lc_vreg_boost_expected_voltage" type="int"> + <ipxact:name>atx_pll_dprio_lc_vreg_boost_expected_voltage</ipxact:name> + <ipxact:displayName>atx_pll_dprio_lc_vreg_boost_expected_voltage</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="atx_pll_dprio_lc_vreg1_boost_expected_voltage" type="int"> + <ipxact:name>atx_pll_dprio_lc_vreg1_boost_expected_voltage</ipxact:name> + <ipxact:displayName>atx_pll_dprio_lc_vreg1_boost_expected_voltage</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="atx_pll_dprio_clk_vreg_boost_expected_voltage" type="int"> + <ipxact:name>atx_pll_dprio_clk_vreg_boost_expected_voltage</ipxact:name> + <ipxact:displayName>atx_pll_dprio_clk_vreg_boost_expected_voltage</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="atx_pll_dprio_mcgb_vreg_boost_expected_voltage" type="int"> + <ipxact:name>atx_pll_dprio_mcgb_vreg_boost_expected_voltage</ipxact:name> + <ipxact:displayName>atx_pll_dprio_mcgb_vreg_boost_expected_voltage</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="atx_pll_clk_high_perf_voltage" type="int"> + <ipxact:name>atx_pll_clk_high_perf_voltage</ipxact:name> + <ipxact:displayName>atx_pll_clk_high_perf_voltage</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="atx_pll_clk_mid_power_voltage" type="int"> + <ipxact:name>atx_pll_clk_mid_power_voltage</ipxact:name> + <ipxact:displayName>atx_pll_clk_mid_power_voltage</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="atx_pll_clk_low_power_voltage" type="int"> + <ipxact:name>atx_pll_clk_low_power_voltage</ipxact:name> + <ipxact:displayName>atx_pll_clk_low_power_voltage</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="atx_pll_tank_sel" type="string"> + <ipxact:name>atx_pll_tank_sel</ipxact:name> + <ipxact:displayName>atx_pll_tank_sel</ipxact:displayName> + <ipxact:value>lctank1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="atx_pll_tank_band" type="string"> + <ipxact:name>atx_pll_tank_band</ipxact:name> + <ipxact:displayName>atx_pll_tank_band</ipxact:displayName> + <ipxact:value>lc_band4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="atx_pll_tank_voltage_coarse" type="string"> + <ipxact:name>atx_pll_tank_voltage_coarse</ipxact:name> + <ipxact:displayName>atx_pll_tank_voltage_coarse</ipxact:displayName> + <ipxact:value>vreg_setting_coarse0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="atx_pll_tank_voltage_fine" type="string"> + <ipxact:name>atx_pll_tank_voltage_fine</ipxact:name> + <ipxact:displayName>atx_pll_tank_voltage_fine</ipxact:displayName> + <ipxact:value>vreg_setting5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="atx_pll_output_regulator_supply" type="string"> + <ipxact:name>atx_pll_output_regulator_supply</ipxact:name> + <ipxact:displayName>atx_pll_output_regulator_supply</ipxact:displayName> + <ipxact:value>vreg1v_setting0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="atx_pll_overrange_voltage" type="string"> + <ipxact:name>atx_pll_overrange_voltage</ipxact:name> + <ipxact:displayName>atx_pll_overrange_voltage</ipxact:displayName> + <ipxact:value>over_setting0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="atx_pll_underrange_voltage" type="string"> + <ipxact:name>atx_pll_underrange_voltage</ipxact:name> + <ipxact:displayName>atx_pll_underrange_voltage</ipxact:displayName> + <ipxact:value>under_setting4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="atx_pll_fb_select" type="string"> + <ipxact:name>atx_pll_fb_select</ipxact:name> + <ipxact:displayName>atx_pll_fb_select</ipxact:displayName> + <ipxact:value>direct_fb</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="atx_pll_d2a_voltage" type="string"> + <ipxact:name>atx_pll_d2a_voltage</ipxact:name> + <ipxact:displayName>atx_pll_d2a_voltage</ipxact:displayName> + <ipxact:value>d2a_setting_4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="atx_pll_dsm_mode" type="string"> + <ipxact:name>atx_pll_dsm_mode</ipxact:name> + <ipxact:displayName>atx_pll_dsm_mode</ipxact:displayName> + <ipxact:value>dsm_mode_integer</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="atx_pll_dsm_out_sel" type="string"> + <ipxact:name>atx_pll_dsm_out_sel</ipxact:name> + <ipxact:displayName>atx_pll_dsm_out_sel</ipxact:displayName> + <ipxact:value>pll_dsm_disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="atx_pll_dsm_ecn_bypass" type="string"> + <ipxact:name>atx_pll_dsm_ecn_bypass</ipxact:name> + <ipxact:displayName>atx_pll_dsm_ecn_bypass</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="atx_pll_dsm_ecn_test_en" type="string"> + <ipxact:name>atx_pll_dsm_ecn_test_en</ipxact:name> + <ipxact:displayName>atx_pll_dsm_ecn_test_en</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="atx_pll_dsm_fractional_division" type="string"> + <ipxact:name>atx_pll_dsm_fractional_division</ipxact:name> + <ipxact:displayName>K counter (valid in fractional mode)</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="atx_pll_dsm_fractional_value_ready" type="string"> + <ipxact:name>atx_pll_dsm_fractional_value_ready</ipxact:name> + <ipxact:displayName>atx_pll_dsm_fractional_value_ready</ipxact:displayName> + <ipxact:value>pll_k_ready</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="atx_pll_enable_lc_calibration" type="string"> + <ipxact:name>atx_pll_enable_lc_calibration</ipxact:name> + <ipxact:displayName>atx_pll_enable_lc_calibration</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="atx_pll_enable_lc_vreg_calibration" type="string"> + <ipxact:name>atx_pll_enable_lc_vreg_calibration</ipxact:name> + <ipxact:displayName>atx_pll_enable_lc_vreg_calibration</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="atx_pll_iqclk_mux_sel" type="string"> + <ipxact:name>atx_pll_iqclk_mux_sel</ipxact:name> + <ipxact:displayName>atx_pll_iqclk_mux_sel</ipxact:displayName> + <ipxact:value>iqtxrxclk0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="atx_pll_vco_bypass_enable" type="string"> + <ipxact:name>atx_pll_vco_bypass_enable</ipxact:name> + <ipxact:displayName>atx_pll_vco_bypass_enable</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="atx_pll_l_counter" type="int"> + <ipxact:name>atx_pll_l_counter</ipxact:name> + <ipxact:displayName>L counter (valid in non-cascade mode)</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="atx_pll_l_counter_enable" type="string"> + <ipxact:name>atx_pll_l_counter_enable</ipxact:name> + <ipxact:displayName>atx_pll_l_counter_enable</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="atx_pll_cascadeclk_test" type="string"> + <ipxact:name>atx_pll_cascadeclk_test</ipxact:name> + <ipxact:displayName>atx_pll_cascadeclk_test</ipxact:displayName> + <ipxact:value>cascadetest_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="atx_pll_hclk_divide" type="int"> + <ipxact:name>atx_pll_hclk_divide</ipxact:name> + <ipxact:displayName>atx_pll_hclk_divide</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="atx_pll_enable_hclk" type="string"> + <ipxact:name>atx_pll_enable_hclk</ipxact:name> + <ipxact:displayName>atx_pll_enable_hclk</ipxact:displayName> + <ipxact:value>hclk_disabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="atx_pll_m_counter" type="int"> + <ipxact:name>atx_pll_m_counter</ipxact:name> + <ipxact:displayName>M counter</ipxact:displayName> + <ipxact:value>64</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="atx_pll_ref_clk_div" type="int"> + <ipxact:name>atx_pll_ref_clk_div</ipxact:name> + <ipxact:displayName>N counter</ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="atx_pll_bandwidth_range_high" type="string"> + <ipxact:name>atx_pll_bandwidth_range_high</ipxact:name> + <ipxact:displayName>atx_pll_bandwidth_range_high</ipxact:displayName> + <ipxact:value>0 hz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="atx_pll_bandwidth_range_low" type="string"> + <ipxact:name>atx_pll_bandwidth_range_low</ipxact:name> + <ipxact:displayName>atx_pll_bandwidth_range_low</ipxact:displayName> + <ipxact:value>0 hz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="atx_pll_bw_sel" type="string"> + <ipxact:name>atx_pll_bw_sel</ipxact:name> + <ipxact:displayName>atx_pll_bw_sel</ipxact:displayName> + <ipxact:value>low</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="atx_pll_calibration_mode" type="string"> + <ipxact:name>atx_pll_calibration_mode</ipxact:name> + <ipxact:displayName>atx_pll_calibration_mode</ipxact:displayName> + <ipxact:value>cal_off</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="atx_pll_datarate" type="string"> + <ipxact:name>atx_pll_datarate</ipxact:name> + <ipxact:displayName>Datarate</ipxact:displayName> + <ipxact:value>10312500000 bps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="atx_pll_device_variant" type="string"> + <ipxact:name>atx_pll_device_variant</ipxact:name> + <ipxact:displayName>atx_pll_device_variant</ipxact:displayName> + <ipxact:value>device1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="atx_pll_f_max_pfd" type="string"> + <ipxact:name>atx_pll_f_max_pfd</ipxact:name> + <ipxact:displayName>atx_pll_f_max_pfd</ipxact:displayName> + <ipxact:value>160000000 Hz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="atx_pll_f_max_ref" type="string"> + <ipxact:name>atx_pll_f_max_ref</ipxact:name> + <ipxact:displayName>atx_pll_f_max_ref</ipxact:displayName> + <ipxact:value>800000000 Hz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="atx_pll_f_max_tank_0" type="string"> + <ipxact:name>atx_pll_f_max_tank_0</ipxact:name> + <ipxact:displayName>atx_pll_f_max_tank_0</ipxact:displayName> + <ipxact:value>8800000000 Hz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="atx_pll_f_max_tank_1" type="string"> + <ipxact:name>atx_pll_f_max_tank_1</ipxact:name> + <ipxact:displayName>atx_pll_f_max_tank_1</ipxact:displayName> + <ipxact:value>11400000000 Hz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="atx_pll_f_max_tank_2" type="string"> + <ipxact:name>atx_pll_f_max_tank_2</ipxact:name> + <ipxact:displayName>atx_pll_f_max_tank_2</ipxact:displayName> + <ipxact:value>14400000000 Hz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="atx_pll_f_max_vco" type="string"> + <ipxact:name>atx_pll_f_max_vco</ipxact:name> + <ipxact:displayName>atx_pll_f_max_vco</ipxact:displayName> + <ipxact:value>14400000000 Hz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="atx_pll_f_max_x1" type="string"> + <ipxact:name>atx_pll_f_max_x1</ipxact:name> + <ipxact:displayName>atx_pll_f_max_x1</ipxact:displayName> + <ipxact:value>8700000000 Hz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="atx_pll_f_min_pfd" type="string"> + <ipxact:name>atx_pll_f_min_pfd</ipxact:name> + <ipxact:displayName>atx_pll_f_min_pfd</ipxact:displayName> + <ipxact:value>61440000 Hz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="atx_pll_f_min_ref" type="string"> + <ipxact:name>atx_pll_f_min_ref</ipxact:name> + <ipxact:displayName>atx_pll_f_min_ref</ipxact:displayName> + <ipxact:value>61440000 Hz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="atx_pll_f_min_tank_0" type="string"> + <ipxact:name>atx_pll_f_min_tank_0</ipxact:name> + <ipxact:displayName>atx_pll_f_min_tank_0</ipxact:displayName> + <ipxact:value>6500000000 Hz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="atx_pll_f_min_tank_1" type="string"> + <ipxact:name>atx_pll_f_min_tank_1</ipxact:name> + <ipxact:displayName>atx_pll_f_min_tank_1</ipxact:displayName> + <ipxact:value>8800000000 Hz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="atx_pll_f_min_tank_2" type="string"> + <ipxact:name>atx_pll_f_min_tank_2</ipxact:name> + <ipxact:displayName>atx_pll_f_min_tank_2</ipxact:displayName> + <ipxact:value>11400000000 Hz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="atx_pll_f_min_vco" type="string"> + <ipxact:name>atx_pll_f_min_vco</ipxact:name> + <ipxact:displayName>atx_pll_f_min_vco</ipxact:displayName> + <ipxact:value>7200000000 Hz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="atx_pll_initial_settings" type="string"> + <ipxact:name>atx_pll_initial_settings</ipxact:name> + <ipxact:displayName>atx_pll_initial_settings</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="atx_pll_l_counter_scratch" type="int"> + <ipxact:name>atx_pll_l_counter_scratch</ipxact:name> + <ipxact:displayName>atx_pll_l_counter_scratch</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="atx_pll_lc_mode" type="string"> + <ipxact:name>atx_pll_lc_mode</ipxact:name> + <ipxact:displayName>atx_pll_lc_mode</ipxact:displayName> + <ipxact:value>lccmu_normal</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="atx_pll_n_counter_scratch" type="int"> + <ipxact:name>atx_pll_n_counter_scratch</ipxact:name> + <ipxact:displayName>atx_pll_n_counter_scratch</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="atx_pll_output_clock_frequency" type="string"> + <ipxact:name>atx_pll_output_clock_frequency</ipxact:name> + <ipxact:displayName>atx_pll_output_clock_frequency</ipxact:displayName> + <ipxact:value>5156250000 Hz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="atx_pll_power_mode" type="string"> + <ipxact:name>atx_pll_power_mode</ipxact:name> + <ipxact:displayName>atx_pll_power_mode</ipxact:displayName> + <ipxact:value>low_power</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="atx_pll_powerdown_mode" type="string"> + <ipxact:name>atx_pll_powerdown_mode</ipxact:name> + <ipxact:displayName>atx_pll_powerdown_mode</ipxact:displayName> + <ipxact:value>powerup</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="atx_pll_prot_mode" type="string"> + <ipxact:name>atx_pll_prot_mode</ipxact:name> + <ipxact:displayName>atx_pll_prot_mode</ipxact:displayName> + <ipxact:value>basic_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="atx_pll_reference_clock_frequency" type="string"> + <ipxact:name>atx_pll_reference_clock_frequency</ipxact:name> + <ipxact:displayName>atx_pll_reference_clock_frequency</ipxact:displayName> + <ipxact:value>644531250 Hz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="atx_pll_side" type="string"> + <ipxact:name>atx_pll_side</ipxact:name> + <ipxact:displayName>atx_pll_side</ipxact:displayName> + <ipxact:value>side_unknown</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="atx_pll_pm_speed_grade" type="string"> + <ipxact:name>atx_pll_pm_speed_grade</ipxact:name> + <ipxact:displayName>atx_pll_pm_speed_grade</ipxact:displayName> + <ipxact:value>e3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="atx_pll_sup_mode" type="string"> + <ipxact:name>atx_pll_sup_mode</ipxact:name> + <ipxact:displayName>atx_pll_sup_mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="atx_pll_top_or_bottom" type="string"> + <ipxact:name>atx_pll_top_or_bottom</ipxact:name> + <ipxact:displayName>atx_pll_top_or_bottom</ipxact:displayName> + <ipxact:value>tb_unknown</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="atx_pll_vccdreg_clk" type="string"> + <ipxact:name>atx_pll_vccdreg_clk</ipxact:name> + <ipxact:displayName>atx_pll_vccdreg_clk</ipxact:displayName> + <ipxact:value>vreg_clk5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="atx_pll_vccdreg_fb" type="string"> + <ipxact:name>atx_pll_vccdreg_fb</ipxact:name> + <ipxact:displayName>atx_pll_vccdreg_fb</ipxact:displayName> + <ipxact:value>vreg_fb8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="atx_pll_vccdreg_fw" type="string"> + <ipxact:name>atx_pll_vccdreg_fw</ipxact:name> + <ipxact:displayName>atx_pll_vccdreg_fw</ipxact:displayName> + <ipxact:value>vreg_fw5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="atx_pll_regulator_bypass" type="string"> + <ipxact:name>atx_pll_regulator_bypass</ipxact:name> + <ipxact:displayName>atx_pll_regulator_bypass</ipxact:displayName> + <ipxact:value>reg_enable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="atx_pll_vco_freq" type="string"> + <ipxact:name>atx_pll_vco_freq</ipxact:name> + <ipxact:displayName>VCO Frequency</ipxact:displayName> + <ipxact:value>10312500000 Hz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="atx_pll_f_max_vco_fractional" type="string"> + <ipxact:name>atx_pll_f_max_vco_fractional</ipxact:name> + <ipxact:displayName>atx_pll_f_max_vco_fractional</ipxact:displayName> + <ipxact:value>0 hz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="atx_pll_f_max_pfd_fractional" type="string"> + <ipxact:name>atx_pll_f_max_pfd_fractional</ipxact:name> + <ipxact:displayName>atx_pll_f_max_pfd_fractional</ipxact:displayName> + <ipxact:value>0 hz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="atx_pll_min_fractional_percentage" type="int"> + <ipxact:name>atx_pll_min_fractional_percentage</ipxact:name> + <ipxact:displayName>atx_pll_min_fractional_percentage</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="atx_pll_max_fractional_percentage" type="int"> + <ipxact:name>atx_pll_max_fractional_percentage</ipxact:name> + <ipxact:displayName>atx_pll_max_fractional_percentage</ipxact:displayName> + <ipxact:value>100</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="atx_pll_analog_mode" type="string"> + <ipxact:name>atx_pll_analog_mode</ipxact:name> + <ipxact:displayName>atx_pll_analog_mode</ipxact:displayName> + <ipxact:value>user_custom</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="atx_pll_is_otn" type="string"> + <ipxact:name>atx_pll_is_otn</ipxact:name> + <ipxact:displayName>atx_pll_is_otn</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="atx_pll_is_sdi" type="string"> + <ipxact:name>atx_pll_is_sdi</ipxact:name> + <ipxact:displayName>atx_pll_is_sdi</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="atx_pll_primary_use" type="string"> + <ipxact:name>atx_pll_primary_use</ipxact:name> + <ipxact:displayName>atx_pll_primary_use</ipxact:displayName> + <ipxact:value>hssi_x1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="atx_pll_fpll_refclk_selection" type="string"> + <ipxact:name>atx_pll_fpll_refclk_selection</ipxact:name> + <ipxact:displayName>L cascade predivider/VCO divider(valid in cascade mode) </ipxact:displayName> + <ipxact:value>select_vco_output</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="atx_pll_lc_to_fpll_l_counter_scratch" type="int"> + <ipxact:name>atx_pll_lc_to_fpll_l_counter_scratch</ipxact:name> + <ipxact:displayName>L cascade counter (valid in cascade mode)</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="atx_pll_lc_to_fpll_l_counter" type="string"> + <ipxact:name>atx_pll_lc_to_fpll_l_counter</ipxact:name> + <ipxact:displayName>atx_pll_lc_to_fpll_l_counter</ipxact:displayName> + <ipxact:value>lcounter_setting0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="atx_pll_pfd_delay_compensation" type="string"> + <ipxact:name>atx_pll_pfd_delay_compensation</ipxact:name> + <ipxact:displayName>atx_pll_pfd_delay_compensation</ipxact:displayName> + <ipxact:value>normal_delay</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="atx_pll_xcpvco_xchgpmplf_cp_current_boost" type="string"> + <ipxact:name>atx_pll_xcpvco_xchgpmplf_cp_current_boost</ipxact:name> + <ipxact:displayName>atx_pll_xcpvco_xchgpmplf_cp_current_boost</ipxact:displayName> + <ipxact:value>normal_setting</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="atx_pll_f_max_lcnt_fpll_cascading" type="string"> + <ipxact:name>atx_pll_f_max_lcnt_fpll_cascading</ipxact:name> + <ipxact:displayName>atx_pll_f_max_lcnt_fpll_cascading</ipxact:displayName> + <ipxact:value>1200000000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="atx_pll_pfd_pulse_width" type="string"> + <ipxact:name>atx_pll_pfd_pulse_width</ipxact:name> + <ipxact:displayName>atx_pll_pfd_pulse_width</ipxact:displayName> + <ipxact:value>pulse_width_setting0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="atx_pll_enable_idle_atx_pll_support" type="string"> + <ipxact:name>atx_pll_enable_idle_atx_pll_support</ipxact:name> + <ipxact:displayName>atx_pll_enable_idle_atx_pll_support</ipxact:displayName> + <ipxact:value>idle_none</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_advanced_options" type="int"> + <ipxact:name>enable_advanced_options</ipxact:name> + <ipxact:displayName>enable_advanced_options</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_hip_options" type="int"> + <ipxact:name>enable_hip_options</ipxact:name> + <ipxact:displayName>enable_hip_options</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_manual_configuration" type="int"> + <ipxact:name>enable_manual_configuration</ipxact:name> + <ipxact:displayName>enable_manual_configuration</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="generate_docs" type="int"> + <ipxact:name>generate_docs</ipxact:name> + <ipxact:displayName>Generate parameter documentation file</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="generate_add_hdl_instance_example" type="int"> + <ipxact:name>generate_add_hdl_instance_example</ipxact:name> + <ipxact:displayName>Generate '_hw.tcl' 'add_hdl_instance' example file</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="device_family" type="string"> + <ipxact:name>device_family</ipxact:name> + <ipxact:displayName>device_family</ipxact:displayName> + <ipxact:value>Arria 10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="device" type="string"> + <ipxact:name>device</ipxact:name> + <ipxact:displayName>device</ipxact:displayName> + <ipxact:value>10AX115U3F45E2SG</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="base_device" type="string"> + <ipxact:name>base_device</ipxact:name> + <ipxact:displayName>base_device</ipxact:displayName> + <ipxact:value>NIGHTFURY5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="test_mode" type="int"> + <ipxact:name>test_mode</ipxact:name> + <ipxact:displayName>Enable Test Mode</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_pld_atx_cal_busy_port" type="int"> + <ipxact:name>enable_pld_atx_cal_busy_port</ipxact:name> + <ipxact:displayName>enable_pld_atx_cal_busy_port</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_debug_ports_parameters" type="int"> + <ipxact:name>enable_debug_ports_parameters</ipxact:name> + <ipxact:displayName>Enable debug ports & parameters</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="support_mode" type="string"> + <ipxact:name>support_mode</ipxact:name> + <ipxact:displayName>Support mode</ipxact:displayName> + <ipxact:value>user_mode</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="message_level" type="string"> + <ipxact:name>message_level</ipxact:name> + <ipxact:displayName>Message level for rule violations</ipxact:displayName> + <ipxact:value>error</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_speedgrade" type="string"> + <ipxact:name>pma_speedgrade</ipxact:name> + <ipxact:displayName>pma_speedgrade</ipxact:displayName> + <ipxact:value>e3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="device_revision" type="string"> + <ipxact:name>device_revision</ipxact:name> + <ipxact:displayName>device_revision</ipxact:displayName> + <ipxact:value>20nm5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prot_mode" type="string"> + <ipxact:name>prot_mode</ipxact:name> + <ipxact:displayName>Protocol mode</ipxact:displayName> + <ipxact:value>Basic</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prot_mode_fnl" type="string"> + <ipxact:name>prot_mode_fnl</ipxact:name> + <ipxact:displayName>prot_mode_fnl</ipxact:displayName> + <ipxact:value>basic_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="primary_use" type="string"> + <ipxact:name>primary_use</ipxact:name> + <ipxact:displayName>primary_use</ipxact:displayName> + <ipxact:value>hssi_x1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="bw_sel" type="string"> + <ipxact:name>bw_sel</ipxact:name> + <ipxact:displayName>Bandwidth</ipxact:displayName> + <ipxact:value>low</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="refclk_cnt" type="int"> + <ipxact:name>refclk_cnt</ipxact:name> + <ipxact:displayName>Number of PLL reference clocks</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="refclk_index" type="int"> + <ipxact:name>refclk_index</ipxact:name> + <ipxact:displayName>Selected reference clock source</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="silicon_rev" type="bit"> + <ipxact:name>silicon_rev</ipxact:name> + <ipxact:displayName>Silicon revision ES</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="fb_select_fnl" type="string"> + <ipxact:name>fb_select_fnl</ipxact:name> + <ipxact:displayName>fb_select_fnl</ipxact:displayName> + <ipxact:value>direct_fb</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="primary_pll_buffer" type="string"> + <ipxact:name>primary_pll_buffer</ipxact:name> + <ipxact:displayName>Primary PLL clock output buffer</ipxact:displayName> + <ipxact:value>GX clock output buffer</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_8G_buffer_fnl" type="string"> + <ipxact:name>enable_8G_buffer_fnl</ipxact:name> + <ipxact:displayName>enable_8G_buffer_fnl</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_16G_buffer_fnl" type="string"> + <ipxact:name>enable_16G_buffer_fnl</ipxact:name> + <ipxact:displayName>enable_16G_buffer_fnl</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_8G_path" type="int"> + <ipxact:name>enable_8G_path</ipxact:name> + <ipxact:displayName>Enable PLL GX clock output port</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_16G_path" type="int"> + <ipxact:name>enable_16G_path</ipxact:name> + <ipxact:displayName>Enable PLL GT clock output port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_pcie_clk" type="int"> + <ipxact:name>enable_pcie_clk</ipxact:name> + <ipxact:displayName>Enable PCIe clock output port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_cascade_out" type="int"> + <ipxact:name>enable_cascade_out</ipxact:name> + <ipxact:displayName>Enable cascade clock output port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_atx_to_fpll_cascade_out" type="int"> + <ipxact:name>enable_atx_to_fpll_cascade_out</ipxact:name> + <ipxact:displayName>Enable ATX to FPLL cascade clock output port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_hip_cal_done_port" type="int"> + <ipxact:name>enable_hip_cal_done_port</ipxact:name> + <ipxact:displayName>Enable calibration status ports for HIP</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_hip_cal_en" type="int"> + <ipxact:name>set_hip_cal_en</ipxact:name> + <ipxact:displayName>Enable PCIe hard IP calibration</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hip_cal_en" type="string"> + <ipxact:name>hip_cal_en</ipxact:name> + <ipxact:displayName>hip_cal_en</ipxact:displayName> + <ipxact:value>disable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="dsm_mode" type="string"> + <ipxact:name>dsm_mode</ipxact:name> + <ipxact:displayName>dsm_mode</ipxact:displayName> + <ipxact:value>dsm_mode_integer</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_output_clock_frequency" type="real"> + <ipxact:name>set_output_clock_frequency</ipxact:name> + <ipxact:displayName>PLL output frequency</ipxact:displayName> + <ipxact:value>5156.25</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="output_clock_datarate" type="real"> + <ipxact:name>output_clock_datarate</ipxact:name> + <ipxact:displayName>PLL output datarate</ipxact:displayName> + <ipxact:value>10312.5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="output_clock_frequency" type="string"> + <ipxact:name>output_clock_frequency</ipxact:name> + <ipxact:displayName>PLL output frequency</ipxact:displayName> + <ipxact:value>5156.25 MHz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="vco_freq" type="string"> + <ipxact:name>vco_freq</ipxact:name> + <ipxact:displayName>vco_freq</ipxact:displayName> + <ipxact:value>10312.5 MHz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="datarate" type="string"> + <ipxact:name>datarate</ipxact:name> + <ipxact:displayName>datarate</ipxact:displayName> + <ipxact:value>10312.5 Mbps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_fractional" type="int"> + <ipxact:name>enable_fractional</ipxact:name> + <ipxact:displayName>enable_fractional</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_auto_reference_clock_frequency" type="real"> + <ipxact:name>set_auto_reference_clock_frequency</ipxact:name> + <ipxact:displayName>PLL integer reference clock frequency</ipxact:displayName> + <ipxact:value>644.53125</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_manual_reference_clock_frequency" type="real"> + <ipxact:name>set_manual_reference_clock_frequency</ipxact:name> + <ipxact:displayName>PLL fractional reference clock frequency</ipxact:displayName> + <ipxact:value>200.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="reference_clock_frequency_fnl" type="string"> + <ipxact:name>reference_clock_frequency_fnl</ipxact:name> + <ipxact:displayName>reference_clock_frequency_fnl</ipxact:displayName> + <ipxact:value>644.531250 MHz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_fref_clock_frequency" type="real"> + <ipxact:name>set_fref_clock_frequency</ipxact:name> + <ipxact:displayName>PLL fractional reference clock frequency</ipxact:displayName> + <ipxact:value>156.25</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="feedback_clock_frequency_fnl" type="real"> + <ipxact:name>feedback_clock_frequency_fnl</ipxact:name> + <ipxact:displayName>External feedback frequency</ipxact:displayName> + <ipxact:value>156.25</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="select_manual_config" type="bit"> + <ipxact:name>select_manual_config</ipxact:name> + <ipxact:displayName>Configure counters manually</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="m_counter" type="int"> + <ipxact:name>m_counter</ipxact:name> + <ipxact:displayName>Multiply factor (M-Counter)</ipxact:displayName> + <ipxact:value>64</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="effective_m_counter" type="int"> + <ipxact:name>effective_m_counter</ipxact:name> + <ipxact:displayName>Effective M-Counter</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_m_counter" type="int"> + <ipxact:name>set_m_counter</ipxact:name> + <ipxact:displayName>Multiply factor (M-Counter)</ipxact:displayName> + <ipxact:value>24</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ref_clk_div" type="int"> + <ipxact:name>ref_clk_div</ipxact:name> + <ipxact:displayName>Divide factor (N-Counter)</ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_ref_clk_div" type="int"> + <ipxact:name>set_ref_clk_div</ipxact:name> + <ipxact:displayName>Divide factor (N-Counter)</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_counter" type="int"> + <ipxact:name>l_counter</ipxact:name> + <ipxact:displayName>Divide factor (L-Counter)</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_l_counter" type="int"> + <ipxact:name>set_l_counter</ipxact:name> + <ipxact:displayName>Divide factor (L-Counter)</ipxact:displayName> + <ipxact:value>16</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_cascade_counter" type="int"> + <ipxact:name>l_cascade_counter</ipxact:name> + <ipxact:displayName>Divide factor (L-Cascade-Counter)</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_l_cascade_counter" type="int"> + <ipxact:name>set_l_cascade_counter</ipxact:name> + <ipxact:displayName>Divide factor (L-Cascade Counter)</ipxact:displayName> + <ipxact:value>15</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_cascade_predivider" type="int"> + <ipxact:name>l_cascade_predivider</ipxact:name> + <ipxact:displayName>Divide factor (L-Cascade-Predivider)</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_l_cascade_predivider" type="int"> + <ipxact:name>set_l_cascade_predivider</ipxact:name> + <ipxact:displayName>predivide factor (L-Cascade Predivider)</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="k_counter" type="longint"> + <ipxact:name>k_counter</ipxact:name> + <ipxact:displayName>Fractional multiply factor (K)</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_k_counter" type="longint"> + <ipxact:name>set_k_counter</ipxact:name> + <ipxact:displayName>Fractional multiply factor (K)</ipxact:displayName> + <ipxact:value>2000000000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="auto_list" type="string"> + <ipxact:name>auto_list</ipxact:name> + <ipxact:displayName>auto_list</ipxact:displayName> + <ipxact:value>62.123494 {m 83 effective_m 83 n 1 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 62.881098 {m 82 effective_m 82 n 1 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 63.657407 {m 81 effective_m 81 n 1 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 64.453125 {m 80 effective_m 80 n 1 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 65.268987 {m 79 effective_m 79 n 1 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 66.105769 {m 78 effective_m 78 n 1 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 66.964286 {m 77 effective_m 77 n 1 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 67.845395 {m 76 effective_m 76 n 1 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 68.750000 {m 75 effective_m 75 n 1 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 69.679054 {m 74 effective_m 74 n 1 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 70.633562 {m 73 effective_m 73 n 1 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 71.614583 {m 72 effective_m 72 n 1 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 72.623239 {m 71 effective_m 71 n 1 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 73.660714 {m 70 effective_m 70 n 1 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 74.728261 {m 69 effective_m 69 n 1 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 75.827206 {m 68 effective_m 68 n 1 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 76.958955 {m 67 effective_m 67 n 1 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 78.125000 {m 66 effective_m 66 n 1 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 79.326923 {m 65 effective_m 65 n 1 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 80.566406 {m 64 effective_m 64 n 1 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 81.845238 {m 63 effective_m 63 n 1 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 83.165323 {m 62 effective_m 62 n 1 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 84.528689 {m 61 effective_m 61 n 1 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 85.937500 {m 60 effective_m 60 n 1 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 87.394068 {m 59 effective_m 59 n 1 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 88.900862 {m 58 effective_m 58 n 1 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 90.460526 {m 57 effective_m 57 n 1 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 92.075893 {m 56 effective_m 56 n 1 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 93.750000 {m 55 effective_m 55 n 1 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 95.486111 {m 54 effective_m 54 n 1 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 97.287736 {m 53 effective_m 53 n 1 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 99.158654 {m 52 effective_m 52 n 1 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 101.102941 {m 51 effective_m 51 n 1 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 103.125000 {m 50 effective_m 50 n 1 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 105.229592 {m 49 effective_m 49 n 1 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 107.421875 {m 48 effective_m 48 n 1 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 109.707447 {m 47 effective_m 47 n 1 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 112.092391 {m 46 effective_m 46 n 1 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 114.583333 {m 45 effective_m 45 n 1 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 117.187500 {m 44 effective_m 44 n 1 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 119.912791 {m 43 effective_m 43 n 1 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 122.767857 {m 42 effective_m 42 n 1 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 124.246988 {m 83 effective_m 83 n 2 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 125.762195 {m 41 effective_m 41 n 1 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 127.314815 {m 81 effective_m 81 n 2 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 128.906250 {m 40 effective_m 40 n 1 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 130.537975 {m 79 effective_m 79 n 2 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 132.211538 {m 39 effective_m 39 n 1 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 133.928571 {m 77 effective_m 77 n 2 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 135.690789 {m 38 effective_m 38 n 1 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 137.500000 {m 75 effective_m 75 n 2 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 139.358108 {m 37 effective_m 37 n 1 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 141.267123 {m 73 effective_m 73 n 2 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 143.229167 {m 36 effective_m 36 n 1 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 145.246479 {m 71 effective_m 71 n 2 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 147.321429 {m 35 effective_m 35 n 1 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 149.456522 {m 69 effective_m 69 n 2 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 151.654412 {m 34 effective_m 34 n 1 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 153.917910 {m 67 effective_m 67 n 2 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 156.250000 {m 33 effective_m 33 n 1 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 158.653846 {m 65 effective_m 65 n 2 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 161.132812 {m 64 effective_m 64 n 2 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 163.690476 {m 63 effective_m 63 n 2 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 166.330645 {m 62 effective_m 62 n 2 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 169.057377 {m 61 effective_m 61 n 2 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 171.875000 {m 60 effective_m 60 n 2 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 174.788136 {m 59 effective_m 59 n 2 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 177.801724 {m 58 effective_m 58 n 2 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 180.921053 {m 57 effective_m 57 n 2 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 184.151786 {m 56 effective_m 56 n 2 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 187.500000 {m 55 effective_m 55 n 2 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 190.972222 {m 54 effective_m 54 n 2 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 194.575472 {m 53 effective_m 53 n 2 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 198.317308 {m 52 effective_m 52 n 2 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 202.205882 {m 51 effective_m 51 n 2 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 206.250000 {m 50 effective_m 50 n 2 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 210.459184 {m 49 effective_m 49 n 2 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 214.843750 {m 48 effective_m 48 n 2 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 219.414894 {m 47 effective_m 47 n 2 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 224.184783 {m 46 effective_m 46 n 2 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 229.166667 {m 45 effective_m 45 n 2 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 234.375000 {m 44 effective_m 44 n 2 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 239.825581 {m 43 effective_m 43 n 2 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 245.535714 {m 42 effective_m 42 n 2 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 248.493976 {m 83 effective_m 83 n 4 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 251.524390 {m 41 effective_m 41 n 2 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 254.629630 {m 81 effective_m 81 n 4 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 257.812500 {m 40 effective_m 40 n 2 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 261.075949 {m 79 effective_m 79 n 4 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 264.423077 {m 39 effective_m 39 n 2 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 267.857143 {m 77 effective_m 77 n 4 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 271.381579 {m 38 effective_m 38 n 2 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 275.000000 {m 75 effective_m 75 n 4 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 278.716216 {m 37 effective_m 37 n 2 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 282.534247 {m 73 effective_m 73 n 4 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 286.458333 {m 36 effective_m 36 n 2 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 290.492958 {m 71 effective_m 71 n 4 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 294.642857 {m 35 effective_m 35 n 2 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 298.913043 {m 69 effective_m 69 n 4 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 303.308824 {m 34 effective_m 34 n 2 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 307.835821 {m 67 effective_m 67 n 4 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 312.500000 {m 33 effective_m 33 n 2 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 317.307692 {m 65 effective_m 65 n 4 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 322.265625 {m 64 effective_m 64 n 4 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 327.380952 {m 63 effective_m 63 n 4 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 332.661290 {m 62 effective_m 62 n 4 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 338.114754 {m 61 effective_m 61 n 4 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 343.750000 {m 60 effective_m 60 n 4 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 349.576271 {m 59 effective_m 59 n 4 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 355.603448 {m 58 effective_m 58 n 4 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 361.842105 {m 57 effective_m 57 n 4 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 368.303571 {m 56 effective_m 56 n 4 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 375.000000 {m 55 effective_m 55 n 4 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 381.944444 {m 54 effective_m 54 n 4 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 389.150943 {m 53 effective_m 53 n 4 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 396.634615 {m 52 effective_m 52 n 4 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 404.411765 {m 51 effective_m 51 n 4 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 412.500000 {m 50 effective_m 50 n 4 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 420.918367 {m 49 effective_m 49 n 4 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 429.687500 {m 48 effective_m 48 n 4 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 438.829787 {m 47 effective_m 47 n 4 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 448.369565 {m 46 effective_m 46 n 4 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 458.333333 {m 45 effective_m 45 n 4 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 468.750000 {m 44 effective_m 44 n 4 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 479.651163 {m 43 effective_m 43 n 4 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 491.071429 {m 42 effective_m 42 n 4 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 496.987952 {m 83 effective_m 83 n 8 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 503.048780 {m 41 effective_m 41 n 4 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 509.259259 {m 81 effective_m 81 n 8 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 515.625000 {m 40 effective_m 40 n 4 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 522.151899 {m 79 effective_m 79 n 8 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 528.846154 {m 39 effective_m 39 n 4 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 535.714286 {m 77 effective_m 77 n 8 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 542.763158 {m 38 effective_m 38 n 4 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 550.000000 {m 75 effective_m 75 n 8 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 557.432432 {m 37 effective_m 37 n 4 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 565.068493 {m 73 effective_m 73 n 8 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 572.916667 {m 36 effective_m 36 n 4 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 580.985915 {m 71 effective_m 71 n 8 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 589.285714 {m 35 effective_m 35 n 4 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 597.826087 {m 69 effective_m 69 n 8 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 606.617647 {m 34 effective_m 34 n 4 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 615.671642 {m 67 effective_m 67 n 8 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 625.000000 {m 33 effective_m 33 n 4 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 634.615385 {m 65 effective_m 65 n 8 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 644.531250 {m 64 effective_m 64 n 8 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 654.761905 {m 63 effective_m 63 n 8 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 665.322581 {m 62 effective_m 62 n 8 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 676.229508 {m 61 effective_m 61 n 8 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 687.500000 {m 60 effective_m 60 n 8 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 699.152542 {m 59 effective_m 59 n 8 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 711.206897 {m 58 effective_m 58 n 8 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 723.684211 {m 57 effective_m 57 n 8 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 736.607143 {m 56 effective_m 56 n 8 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 750.000000 {m 55 effective_m 55 n 8 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 763.888889 {m 54 effective_m 54 n 8 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 778.301887 {m 53 effective_m 53 n 8 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0} 793.269231 {m 52 effective_m 52 n 8 l 2 l_cascade 1 l_cascade_predivider 1 k 1 tank_sel lctank2 tank_band lc_band0}</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="manual_list" type="string"> + <ipxact:name>manual_list</ipxact:name> + <ipxact:displayName>manual_list</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_setting" type="string"> + <ipxact:name>pll_setting</ipxact:name> + <ipxact:displayName>pll_setting</ipxact:displayName> + <ipxact:value>refclk {644.531250 MHz} m_cnt 64 n_cnt 8 l_cnt 2 k_cnt 1 l_cascade 1 l_cascade_predivider 1 outclk {5156.25 MHz}</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_fb_comp_bonding_fnl" type="int"> + <ipxact:name>enable_fb_comp_bonding_fnl</ipxact:name> + <ipxact:displayName>enable_fb_comp_bonding_fnl</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="check_output_ports_pll" type="int"> + <ipxact:name>check_output_ports_pll</ipxact:name> + <ipxact:displayName>check_output_ports_pll</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="iqclk_mux_sel" type="string"> + <ipxact:name>iqclk_mux_sel</ipxact:name> + <ipxact:displayName>iqclk_mux_sel</ipxact:displayName> + <ipxact:value>iqtxrxclk0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="set_altera_xcvr_atx_pll_a10_calibration_en" type="int"> + <ipxact:name>set_altera_xcvr_atx_pll_a10_calibration_en</ipxact:name> + <ipxact:displayName>Enable calibration</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="calibration_en" type="string"> + <ipxact:name>calibration_en</ipxact:name> + <ipxact:displayName>calibration_en</ipxact:displayName> + <ipxact:value>enable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_analog_resets" type="int"> + <ipxact:name>enable_analog_resets</ipxact:name> + <ipxact:displayName>Enable pll_powerdown and mcgb_rst connections</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_ext_lockdetect_ports" type="int"> + <ipxact:name>enable_ext_lockdetect_ports</ipxact:name> + <ipxact:displayName>Enable clklow and fref ports</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="is_c10" type="int"> + <ipxact:name>is_c10</ipxact:name> + <ipxact:displayName>is_c10</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="atx_pll_bonding_mode" type="string"> + <ipxact:name>atx_pll_bonding_mode</ipxact:name> + <ipxact:displayName>atx_pll_bonding_mode</ipxact:displayName> + <ipxact:value>cpri_bonding</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="lc_refclk_select" type="int"> + <ipxact:name>lc_refclk_select</ipxact:name> + <ipxact:displayName>lc_refclk_select</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_mcgb" type="int"> + <ipxact:name>enable_mcgb</ipxact:name> + <ipxact:displayName>Include Master Clock Generation Block</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="mcgb_div" type="int"> + <ipxact:name>mcgb_div</ipxact:name> + <ipxact:displayName>Clock division factor</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="mcgb_div_fnl" type="int"> + <ipxact:name>mcgb_div_fnl</ipxact:name> + <ipxact:displayName>mcgb_div_fnl</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_hfreq_clk" type="int"> + <ipxact:name>enable_hfreq_clk</ipxact:name> + <ipxact:displayName>Enable x6/xN non-bonded high-speed clock output port</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_mcgb_pcie_clksw" type="int"> + <ipxact:name>enable_mcgb_pcie_clksw</ipxact:name> + <ipxact:displayName>Enable PCIe clock switch interface</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="mcgb_aux_clkin_cnt" type="int"> + <ipxact:name>mcgb_aux_clkin_cnt</ipxact:name> + <ipxact:displayName>Number of auxiliary MCGB clock input ports.</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="mcgb_in_clk_freq" type="real"> + <ipxact:name>mcgb_in_clk_freq</ipxact:name> + <ipxact:displayName>MCGB input clock frequency</ipxact:displayName> + <ipxact:value>5156.25</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="mcgb_out_datarate" type="real"> + <ipxact:name>mcgb_out_datarate</ipxact:name> + <ipxact:displayName>MCGB output data rate</ipxact:displayName> + <ipxact:value>10312.5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_bonding_clks" type="int"> + <ipxact:name>enable_bonding_clks</ipxact:name> + <ipxact:displayName>Enable bonding clock output ports</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_fb_comp_bonding" type="int"> + <ipxact:name>enable_fb_comp_bonding</ipxact:name> + <ipxact:displayName>Enable feedback compensation bonding</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="mcgb_enable_iqtxrxclk" type="string"> + <ipxact:name>mcgb_enable_iqtxrxclk</ipxact:name> + <ipxact:displayName>mcgb_enable_iqtxrxclk</ipxact:displayName> + <ipxact:value>disable_iqtxrxclk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pma_width" type="int"> + <ipxact:name>pma_width</ipxact:name> + <ipxact:displayName>PMA interface width</ipxact:displayName> + <ipxact:value>64</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_mcgb_debug_ports_parameters" type="int"> + <ipxact:name>enable_mcgb_debug_ports_parameters</ipxact:name> + <ipxact:displayName>enable_mcgb_debug_ports_parameters</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_pld_mcgb_cal_busy_port" type="int"> + <ipxact:name>enable_pld_mcgb_cal_busy_port</ipxact:name> + <ipxact:displayName>enable_pld_mcgb_cal_busy_port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="check_output_ports_mcgb" type="int"> + <ipxact:name>check_output_ports_mcgb</ipxact:name> + <ipxact:displayName>check_output_ports_mcgb</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="is_protocol_PCIe" type="int"> + <ipxact:name>is_protocol_PCIe</ipxact:name> + <ipxact:displayName>is_protocol_PCIe</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="mapped_output_clock_frequency" type="string"> + <ipxact:name>mapped_output_clock_frequency</ipxact:name> + <ipxact:displayName>mapped_output_clock_frequency</ipxact:displayName> + <ipxact:value>5156.25 MHz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="mapped_primary_pll_buffer" type="string"> + <ipxact:name>mapped_primary_pll_buffer</ipxact:name> + <ipxact:displayName>mapped_primary_pll_buffer</ipxact:displayName> + <ipxact:value>GX clock output buffer</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="mapped_hip_cal_done_port" type="int"> + <ipxact:name>mapped_hip_cal_done_port</ipxact:name> + <ipxact:displayName>mapped_hip_cal_done_port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pma_cgb_master_prot_mode" type="string"> + <ipxact:name>hssi_pma_cgb_master_prot_mode</ipxact:name> + <ipxact:displayName>hssi_pma_cgb_master_prot_mode</ipxact:displayName> + <ipxact:value>basic_tx</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pma_cgb_master_silicon_rev" type="string"> + <ipxact:name>hssi_pma_cgb_master_silicon_rev</ipxact:name> + <ipxact:displayName>hssi_pma_cgb_master_silicon_rev</ipxact:displayName> + <ipxact:value>20nm5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pma_cgb_master_x1_div_m_sel" type="string"> + <ipxact:name>hssi_pma_cgb_master_x1_div_m_sel</ipxact:name> + <ipxact:displayName>hssi_pma_cgb_master_x1_div_m_sel</ipxact:displayName> + <ipxact:value>divbypass</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pma_cgb_master_cgb_enable_iqtxrxclk" type="string"> + <ipxact:name>hssi_pma_cgb_master_cgb_enable_iqtxrxclk</ipxact:name> + <ipxact:displayName>hssi_pma_cgb_master_cgb_enable_iqtxrxclk</ipxact:displayName> + <ipxact:value>disable_iqtxrxclk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pma_cgb_master_ser_mode" type="string"> + <ipxact:name>hssi_pma_cgb_master_ser_mode</ipxact:name> + <ipxact:displayName>hssi_pma_cgb_master_ser_mode</ipxact:displayName> + <ipxact:value>sixty_four_bit</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pma_cgb_master_datarate" type="string"> + <ipxact:name>hssi_pma_cgb_master_datarate</ipxact:name> + <ipxact:displayName>hssi_pma_cgb_master_datarate</ipxact:displayName> + <ipxact:value>10312500000 bps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pma_cgb_master_cgb_power_down" type="string"> + <ipxact:name>hssi_pma_cgb_master_cgb_power_down</ipxact:name> + <ipxact:displayName>hssi_pma_cgb_master_cgb_power_down</ipxact:displayName> + <ipxact:value>normal_cgb</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pma_cgb_master_observe_cgb_clocks" type="string"> + <ipxact:name>hssi_pma_cgb_master_observe_cgb_clocks</ipxact:name> + <ipxact:displayName>hssi_pma_cgb_master_observe_cgb_clocks</ipxact:displayName> + <ipxact:value>observe_nothing</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pma_cgb_master_op_mode" type="string"> + <ipxact:name>hssi_pma_cgb_master_op_mode</ipxact:name> + <ipxact:displayName>hssi_pma_cgb_master_op_mode</ipxact:displayName> + <ipxact:value>enabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pma_cgb_master_tx_ucontrol_reset_pcie" type="string"> + <ipxact:name>hssi_pma_cgb_master_tx_ucontrol_reset_pcie</ipxact:name> + <ipxact:displayName>hssi_pma_cgb_master_tx_ucontrol_reset_pcie</ipxact:displayName> + <ipxact:value>pcscorehip_controls_mcgb</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pma_cgb_master_vccdreg_output" type="string"> + <ipxact:name>hssi_pma_cgb_master_vccdreg_output</ipxact:name> + <ipxact:displayName>hssi_pma_cgb_master_vccdreg_output</ipxact:displayName> + <ipxact:value>vccdreg_nominal</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pma_cgb_master_input_select" type="string"> + <ipxact:name>hssi_pma_cgb_master_input_select</ipxact:name> + <ipxact:displayName>hssi_pma_cgb_master_input_select</ipxact:displayName> + <ipxact:value>lcpll_top</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hssi_pma_cgb_master_input_select_gen3" type="string"> + <ipxact:name>hssi_pma_cgb_master_input_select_gen3</ipxact:name> + <ipxact:displayName>hssi_pma_cgb_master_input_select_gen3</ipxact:displayName> + <ipxact:value>unused</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_parameter_list" type="string"> + <ipxact:name>gui_parameter_list</ipxact:name> + <ipxact:displayName>Parameter Names</ipxact:displayName> + <ipxact:value>K counter (valid in fractional mode),L counter (valid in non-cascade mode),M counter,N counter,L cascade predivider/VCO divider(valid in cascade mode) ,L cascade counter (valid in cascade mode),PLL output frequency,vco_freq,datarate</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_parameter_values" type="string"> + <ipxact:name>gui_parameter_values</ipxact:name> + <ipxact:displayName>Parameter Values</ipxact:displayName> + <ipxact:value>1,2,64,8,select_vco_output,1,5156.25 MHz,10312.5 MHz,10312.5 Mbps</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_module_parameters> + <altera:altera_system_parameters> + <ipxact:parameters> + <ipxact:parameter parameterId="device" type="string"> + <ipxact:name>device</ipxact:name> + <ipxact:displayName>Device</ipxact:displayName> + <ipxact:value>10AX115U3F45E2SG</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="deviceFamily" type="string"> + <ipxact:name>deviceFamily</ipxact:name> + <ipxact:displayName>Device family</ipxact:displayName> + <ipxact:value>Arria 10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="deviceSpeedGrade" type="string"> + <ipxact:name>deviceSpeedGrade</ipxact:name> + <ipxact:displayName>Device Speed Grade</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="generationId" type="int"> + <ipxact:name>generationId</ipxact:name> + <ipxact:displayName>Generation Id</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="bonusData" type="string"> + <ipxact:name>bonusData</ipxact:name> + <ipxact:displayName>bonusData</ipxact:displayName> + <ipxact:value>bonusData +{ + element xcvr_atx_pll_a10_0 + { + datum _sortIndex + { + value = "0"; + type = "int"; + } + } +} +</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hideFromIPCatalog" type="bit"> + <ipxact:name>hideFromIPCatalog</ipxact:name> + <ipxact:displayName>Hide from IP Catalog</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="lockedInterfaceDefinition" type="string"> + <ipxact:name>lockedInterfaceDefinition</ipxact:name> + <ipxact:displayName>lockedInterfaceDefinition</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="systemInfos" type="string"> + <ipxact:name>systemInfos</ipxact:name> + <ipxact:displayName>systemInfos</ipxact:displayName> + <ipxact:value><systemInfosDefinition> + <connPtSystemInfos> + <entry> + <key>reconfig_avmm0</key> + <value> + <connectionPointName>reconfig_avmm0</connectionPointName> + <suppliedSystemInfos/> + <consumedSystemInfos> + <entry> + <key>ADDRESS_MAP</key> + <value>&lt;address-map&gt;&lt;slave name='reconfig_avmm0' start='0x0' end='0x1000' datawidth='32' /&gt;&lt;/address-map&gt;</value> + </entry> + <entry> + <key>ADDRESS_WIDTH</key> + <value>12</value> + </entry> + <entry> + <key>MAX_SLAVE_DATA_WIDTH</key> + <value>32</value> + </entry> + </consumedSystemInfos> + </value> + </entry> + </connPtSystemInfos> +</systemInfosDefinition></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_system_parameters> + <altera:altera_interface_boundary> + <altera:interface_mapping altera:name="mcgb_rst" altera:internal="xcvr_atx_pll_a10_0.mcgb_rst" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="mcgb_rst" altera:internal="mcgb_rst"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="mcgb_serial_clk" altera:internal="xcvr_atx_pll_a10_0.mcgb_serial_clk" altera:type="hssi_serial_clock" altera:dir="start"> + <altera:port_mapping altera:name="mcgb_serial_clk" altera:internal="mcgb_serial_clk"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="pll_cal_busy" altera:internal="xcvr_atx_pll_a10_0.pll_cal_busy" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="pll_cal_busy" altera:internal="pll_cal_busy"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="pll_locked" altera:internal="xcvr_atx_pll_a10_0.pll_locked" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="pll_locked" altera:internal="pll_locked"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="pll_powerdown" altera:internal="xcvr_atx_pll_a10_0.pll_powerdown" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="pll_powerdown" altera:internal="pll_powerdown"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="pll_refclk0" altera:internal="xcvr_atx_pll_a10_0.pll_refclk0" altera:type="clock" altera:dir="end"> + <altera:port_mapping altera:name="pll_refclk0" altera:internal="pll_refclk0"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="reconfig_avmm0" altera:internal="xcvr_atx_pll_a10_0.reconfig_avmm0" altera:type="avalon" altera:dir="end"> + <altera:port_mapping altera:name="reconfig_address0" altera:internal="reconfig_address0"></altera:port_mapping> + <altera:port_mapping altera:name="reconfig_read0" altera:internal="reconfig_read0"></altera:port_mapping> + <altera:port_mapping altera:name="reconfig_readdata0" altera:internal="reconfig_readdata0"></altera:port_mapping> + <altera:port_mapping altera:name="reconfig_waitrequest0" altera:internal="reconfig_waitrequest0"></altera:port_mapping> + <altera:port_mapping altera:name="reconfig_write0" altera:internal="reconfig_write0"></altera:port_mapping> + <altera:port_mapping altera:name="reconfig_writedata0" altera:internal="reconfig_writedata0"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="reconfig_clk0" altera:internal="xcvr_atx_pll_a10_0.reconfig_clk0" altera:type="clock" altera:dir="end"> + <altera:port_mapping altera:name="reconfig_clk0" altera:internal="reconfig_clk0"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="reconfig_reset0" altera:internal="xcvr_atx_pll_a10_0.reconfig_reset0" altera:type="reset" altera:dir="end"> + <altera:port_mapping altera:name="reconfig_reset0" altera:internal="reconfig_reset0"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_serial_clk" altera:internal="xcvr_atx_pll_a10_0.tx_serial_clk" altera:type="hssi_serial_clock" altera:dir="start"> + <altera:port_mapping altera:name="tx_serial_clk" altera:internal="tx_serial_clk"></altera:port_mapping> + </altera:interface_mapping> + </altera:altera_interface_boundary> + <altera:altera_has_warnings>false</altera:altera_has_warnings> + <altera:altera_has_errors>false</altera:altera_has_errors> + </ipxact:vendorExtensions> +</ipxact:component> \ No newline at end of file diff --git a/libraries/technology/ip_arria10_e2sg/transceiver_pll_10g/ip_arria10_e2sg_transceiver_pll_10g.qsys b/libraries/technology/ip_arria10_e2sg/transceiver_pll_10g/ip_arria10_e2sg_transceiver_pll_10g.qsys deleted file mode 100644 index 3096bce5a3..0000000000 --- a/libraries/technology/ip_arria10_e2sg/transceiver_pll_10g/ip_arria10_e2sg_transceiver_pll_10g.qsys +++ /dev/null @@ -1,221 +0,0 @@ -<?xml version="1.0" encoding="UTF-8"?> -<system name="ip_arria10_e2sg_transceiver_pll_10g"> - <component - name="$${FILENAME}" - displayName="$${FILENAME}" - version="1.0" - description="" - tags="AUTHORSHIP=Intel Corporation /// INTERNAL_COMPONENT=true" - categories="System" - tool="QsysStandard" /> - <parameter name="bonusData"><![CDATA[bonusData -{ - element $system - { - } - element xcvr_atx_pll_a10_0 - { - datum _sortIndex - { - value = "0"; - type = "int"; - } - } -} -]]></parameter> - <parameter name="device" value="10AX115U3F45E2SG" /> - <parameter name="deviceFamily" value="Arria 10" /> - <parameter name="deviceSpeedGrade" value="2" /> - <parameter name="fabricMode" value="QSYS" /> - <parameter name="generateLegacySim" value="false" /> - <parameter name="generationId" value="0" /> - <parameter name="globalResetBus" value="false" /> - <parameter name="hdlLanguage" value="VERILOG" /> - <parameter name="hideFromIPCatalog" value="true" /> - <parameter name="lockedInterfaceDefinition" value="" /> - <parameter name="sopcBorderPoints" value="false" /> - <parameter name="systemHash" value="0" /> - <parameter name="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos> - <entry> - <key>reconfig_avmm0</key> - <value> - <connectionPointName>reconfig_avmm0</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='reconfig_avmm0' start='0x0' end='0x1000' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>12</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> -</systemInfosDefinition>]]></parameter> - <parameter name="systemScripts" value="" /> - <parameter name="testBenchDutName" value="" /> - <parameter name="timeStamp" value="0" /> - <parameter name="useTestBenchNamingPattern" value="false" /> - <instanceScript></instanceScript> - <interface - name="mcgb_rst" - internal="xcvr_atx_pll_a10_0.mcgb_rst" - type="conduit" - dir="end"> - <port name="mcgb_rst" internal="mcgb_rst" /> - </interface> - <interface - name="mcgb_serial_clk" - internal="xcvr_atx_pll_a10_0.mcgb_serial_clk" - type="hssi_serial_clock" - dir="start"> - <port name="mcgb_serial_clk" internal="mcgb_serial_clk" /> - </interface> - <interface - name="pll_cal_busy" - internal="xcvr_atx_pll_a10_0.pll_cal_busy" - type="conduit" - dir="end"> - <port name="pll_cal_busy" internal="pll_cal_busy" /> - </interface> - <interface - name="pll_locked" - internal="xcvr_atx_pll_a10_0.pll_locked" - type="conduit" - dir="end"> - <port name="pll_locked" internal="pll_locked" /> - </interface> - <interface - name="pll_powerdown" - internal="xcvr_atx_pll_a10_0.pll_powerdown" - type="conduit" - dir="end"> - <port name="pll_powerdown" internal="pll_powerdown" /> - </interface> - <interface - name="pll_refclk0" - internal="xcvr_atx_pll_a10_0.pll_refclk0" - type="clock" - dir="end"> - <port name="pll_refclk0" internal="pll_refclk0" /> - </interface> - <interface - name="reconfig_avmm0" - internal="xcvr_atx_pll_a10_0.reconfig_avmm0" - type="avalon" - dir="end"> - <port name="reconfig_address0" internal="reconfig_address0" /> - <port name="reconfig_read0" internal="reconfig_read0" /> - <port name="reconfig_readdata0" internal="reconfig_readdata0" /> - <port name="reconfig_waitrequest0" internal="reconfig_waitrequest0" /> - <port name="reconfig_write0" internal="reconfig_write0" /> - <port name="reconfig_writedata0" internal="reconfig_writedata0" /> - </interface> - <interface - name="reconfig_clk0" - internal="xcvr_atx_pll_a10_0.reconfig_clk0" - type="clock" - dir="end"> - <port name="reconfig_clk0" internal="reconfig_clk0" /> - </interface> - <interface - name="reconfig_reset0" - internal="xcvr_atx_pll_a10_0.reconfig_reset0" - type="reset" - dir="end"> - <port name="reconfig_reset0" internal="reconfig_reset0" /> - </interface> - <interface - name="tx_serial_clk" - internal="xcvr_atx_pll_a10_0.tx_serial_clk" - type="hssi_serial_clock" - dir="start"> - <port name="tx_serial_clk" internal="tx_serial_clk" /> - </interface> - <module - name="xcvr_atx_pll_a10_0" - kind="altera_xcvr_atx_pll_a10" - version="19.1" - enabled="1" - autoexport="1"> - <parameter name="base_device" value="NIGHTFURY5" /> - <parameter name="bw_sel" value="low" /> - <parameter name="device" value="10AX115U3F45E2SG" /> - <parameter name="device_family" value="Arria 10" /> - <parameter name="enable_16G_path" value="0" /> - <parameter name="enable_8G_path" value="1" /> - <parameter name="enable_analog_resets" value="0" /> - <parameter name="enable_bonding_clks" value="0" /> - <parameter name="enable_cascade_out" value="0" /> - <parameter name="enable_debug_ports_parameters" value="0" /> - <parameter name="enable_ext_lockdetect_ports" value="0" /> - <parameter name="enable_fb_comp_bonding" value="0" /> - <parameter name="enable_hfreq_clk" value="1" /> - <parameter name="enable_hip_cal_done_port" value="0" /> - <parameter name="enable_manual_configuration" value="1" /> - <parameter name="enable_mcgb" value="1" /> - <parameter name="enable_mcgb_pcie_clksw" value="0" /> - <parameter name="enable_pcie_clk" value="0" /> - <parameter name="enable_pld_atx_cal_busy_port" value="1" /> - <parameter name="enable_pld_mcgb_cal_busy_port" value="0" /> - <parameter name="enable_pll_reconfig" value="1" /> - <parameter name="generate_add_hdl_instance_example" value="0" /> - <parameter name="generate_docs" value="1" /> - <parameter name="mcgb_aux_clkin_cnt" value="0" /> - <parameter name="mcgb_div" value="1" /> - <parameter name="message_level" value="error" /> - <parameter name="pma_width" value="64" /> - <parameter name="primary_pll_buffer">GX clock output buffer</parameter> - <parameter name="prot_mode" value="Basic" /> - <parameter name="rcfg_debug" value="0" /> - <parameter name="rcfg_enable_avmm_busy_port" value="0" /> - <parameter name="rcfg_file_prefix">altera_xcvr_atx_pll_a10</parameter> - <parameter name="rcfg_h_file_enable" value="1" /> - <parameter name="rcfg_jtag_enable" value="1" /> - <parameter name="rcfg_mif_file_enable" value="1" /> - <parameter name="rcfg_multi_enable" value="0" /> - <parameter name="rcfg_profile_cnt" value="2" /> - <parameter name="rcfg_profile_data0" value="" /> - <parameter name="rcfg_profile_data1" value="" /> - <parameter name="rcfg_profile_data2" value="" /> - <parameter name="rcfg_profile_data3" value="" /> - <parameter name="rcfg_profile_data4" value="" /> - <parameter name="rcfg_profile_data5" value="" /> - <parameter name="rcfg_profile_data6" value="" /> - <parameter name="rcfg_profile_data7" value="" /> - <parameter name="rcfg_profile_select" value="1" /> - <parameter name="rcfg_reduced_files_enable" value="0" /> - <parameter name="rcfg_separate_avmm_busy" value="0" /> - <parameter name="rcfg_sv_file_enable" value="1" /> - <parameter name="rcfg_txt_file_enable" value="0" /> - <parameter name="refclk_cnt" value="1" /> - <parameter name="refclk_index" value="0" /> - <parameter name="set_altera_xcvr_atx_pll_a10_calibration_en" value="1" /> - <parameter name="set_auto_reference_clock_frequency" value="644.53125" /> - <parameter name="set_capability_reg_enable" value="1" /> - <parameter name="set_csr_soft_logic_enable" value="1" /> - <parameter name="set_fref_clock_frequency" value="100.0" /> - <parameter name="set_hip_cal_en" value="0" /> - <parameter name="set_k_counter" value="1" /> - <parameter name="set_l_cascade_counter" value="4" /> - <parameter name="set_l_cascade_predivider" value="1" /> - <parameter name="set_l_counter" value="2" /> - <parameter name="set_m_counter" value="1" /> - <parameter name="set_manual_reference_clock_frequency" value="100.0" /> - <parameter name="set_output_clock_frequency" value="5156.25" /> - <parameter name="set_rcfg_emb_strm_enable" value="0" /> - <parameter name="set_ref_clk_div" value="1" /> - <parameter name="set_user_identifier" value="0" /> - <parameter name="silicon_rev" value="false" /> - <parameter name="support_mode" value="user_mode" /> - <parameter name="test_mode" value="0" /> - </module> -</system> diff --git a/libraries/technology/ip_arria10_e2sg/transceiver_reset_controller_1/hdllib.cfg b/libraries/technology/ip_arria10_e2sg/transceiver_reset_controller_1/hdllib.cfg index 3c49f86f24..ed25b279cd 100644 --- a/libraries/technology/ip_arria10_e2sg/transceiver_reset_controller_1/hdllib.cfg +++ b/libraries/technology/ip_arria10_e2sg/transceiver_reset_controller_1/hdllib.cfg @@ -20,5 +20,5 @@ quartus_qip_files = [generate_ip_libs] qsys-generate_ip_files = - ip_arria10_e2sg_transceiver_reset_controller_1.qsys + ip_arria10_e2sg_transceiver_reset_controller_1.ip diff --git a/libraries/technology/ip_arria10_e2sg/transceiver_reset_controller_1/ip_arria10_e2sg_transceiver_reset_controller_1.ip b/libraries/technology/ip_arria10_e2sg/transceiver_reset_controller_1/ip_arria10_e2sg_transceiver_reset_controller_1.ip new file mode 100644 index 0000000000..bd84585e01 --- /dev/null +++ b/libraries/technology/ip_arria10_e2sg/transceiver_reset_controller_1/ip_arria10_e2sg_transceiver_reset_controller_1.ip @@ -0,0 +1,1110 @@ +<?xml version="1.0" ?> +<ipxact:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact2014/extensions" xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"> + <ipxact:vendor>Intel Corporation</ipxact:vendor> + <ipxact:library>ip_arria10_e2sg_transceiver_reset_controller_1</ipxact:library> + <ipxact:name>xcvr_reset_control_0</ipxact:name> + <ipxact:version>19.1</ipxact:version> + <ipxact:busInterfaces> + <ipxact:busInterface> + <ipxact:name>clock</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="clock" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>clock</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="clockRate" type="longint"> + <ipxact:name>clockRate</ipxact:name> + <ipxact:displayName>Clock rate</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="externallyDriven" type="bit"> + <ipxact:name>externallyDriven</ipxact:name> + <ipxact:displayName>Externally driven</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ptfSchematicName" type="string"> + <ipxact:name>ptfSchematicName</ipxact:name> + <ipxact:displayName>PTF schematic name</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>reset</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="reset" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="reset" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>reset</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>reset</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>Associated clock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="synchronousEdges" type="string"> + <ipxact:name>synchronousEdges</ipxact:name> + <ipxact:displayName>Synchronous edges</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>pll_powerdown</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>pll_powerdown</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>pll_powerdown</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_analogreset</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>tx_analogreset</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_analogreset</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_digitalreset</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>tx_digitalreset</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_digitalreset</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_ready</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>tx_ready</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_ready</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>pll_locked</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>pll_locked</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>pll_locked</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>pll_select</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>pll_select</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>pll_select</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_cal_busy</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>tx_cal_busy</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_cal_busy</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_analogreset</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_analogreset</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_analogreset</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_digitalreset</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_digitalreset</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_digitalreset</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_ready</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_ready</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_ready</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_is_lockedtodata</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_is_lockedtodata</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_is_lockedtodata</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_cal_busy</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_cal_busy</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_cal_busy</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + </ipxact:busInterfaces> + <ipxact:model> + <ipxact:views> + <ipxact:view> + <ipxact:name>QUARTUS_SYNTH</ipxact:name> + <ipxact:envIdentifier>:quartus.altera.com:</ipxact:envIdentifier> + <ipxact:componentInstantiationRef>QUARTUS_SYNTH</ipxact:componentInstantiationRef> + </ipxact:view> + </ipxact:views> + <ipxact:instantiations> + <ipxact:componentInstantiation> + <ipxact:name>QUARTUS_SYNTH</ipxact:name> + <ipxact:moduleName>altera_xcvr_reset_control</ipxact:moduleName> + <ipxact:fileSetRef> + <ipxact:localName>QUARTUS_SYNTH</ipxact:localName> + </ipxact:fileSetRef> + </ipxact:componentInstantiation> + </ipxact:instantiations> + <ipxact:ports> + <ipxact:port> + <ipxact:name>clock</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>reset</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>pll_powerdown</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_analogreset</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_digitalreset</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_ready</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>pll_locked</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>pll_select</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_cal_busy</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_analogreset</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_digitalreset</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_ready</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_is_lockedtodata</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_cal_busy</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + </ipxact:ports> + </ipxact:model> + <ipxact:vendorExtensions> + <altera:entity_info> + <ipxact:vendor>Intel Corporation</ipxact:vendor> + <ipxact:library>ip_arria10_e2sg_transceiver_reset_controller_1</ipxact:library> + <ipxact:name>altera_xcvr_reset_control</ipxact:name> + <ipxact:version>19.1</ipxact:version> + </altera:entity_info> + <altera:altera_module_parameters> + <ipxact:parameters> + <ipxact:parameter parameterId="device_family" type="string"> + <ipxact:name>device_family</ipxact:name> + <ipxact:displayName>device_family</ipxact:displayName> + <ipxact:value>Arria 10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CHANNELS" type="int"> + <ipxact:name>CHANNELS</ipxact:name> + <ipxact:displayName>Number of transceiver channels</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLLS" type="int"> + <ipxact:name>PLLS</ipxact:name> + <ipxact:displayName>Number of TX PLLs</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="SYS_CLK_IN_MHZ" type="int"> + <ipxact:name>SYS_CLK_IN_MHZ</ipxact:name> + <ipxact:displayName>Input clock frequency</ipxact:displayName> + <ipxact:value>156</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="SYNCHRONIZE_RESET" type="int"> + <ipxact:name>SYNCHRONIZE_RESET</ipxact:name> + <ipxact:displayName>Synchronize reset input</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="REDUCED_SIM_TIME" type="int"> + <ipxact:name>REDUCED_SIM_TIME</ipxact:name> + <ipxact:displayName>Use fast reset for simulation</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_split_interfaces" type="int"> + <ipxact:name>gui_split_interfaces</ipxact:name> + <ipxact:displayName>Separate interface per channel/PLL</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="TX_PLL_ENABLE" type="int"> + <ipxact:name>TX_PLL_ENABLE</ipxact:name> + <ipxact:displayName>Enable TX PLL reset control</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="T_PLL_POWERDOWN" type="int"> + <ipxact:name>T_PLL_POWERDOWN</ipxact:name> + <ipxact:displayName>pll_powerdown duration</ipxact:displayName> + <ipxact:value>1000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="SYNCHRONIZE_PLL_RESET" type="int"> + <ipxact:name>SYNCHRONIZE_PLL_RESET</ipxact:name> + <ipxact:displayName>Synchronize reset input for PLL powerdown</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="TX_ENABLE" type="int"> + <ipxact:name>TX_ENABLE</ipxact:name> + <ipxact:displayName>Enable TX channel reset control</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="TX_PER_CHANNEL" type="int"> + <ipxact:name>TX_PER_CHANNEL</ipxact:name> + <ipxact:displayName>Use separate TX reset per channel</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_tx_auto_reset" type="int"> + <ipxact:name>gui_tx_auto_reset</ipxact:name> + <ipxact:displayName>TX digital reset mode</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="T_TX_ANALOGRESET" type="int"> + <ipxact:name>T_TX_ANALOGRESET</ipxact:name> + <ipxact:displayName>tx_analogreset duration</ipxact:displayName> + <ipxact:value>70000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="T_TX_DIGITALRESET" type="int"> + <ipxact:name>T_TX_DIGITALRESET</ipxact:name> + <ipxact:displayName>tx_digitalreset duration</ipxact:displayName> + <ipxact:value>70000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="T_PLL_LOCK_HYST" type="int"> + <ipxact:name>T_PLL_LOCK_HYST</ipxact:name> + <ipxact:displayName>pll_locked input hysteresis</ipxact:displayName> + <ipxact:value>60</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_pll_cal_busy" type="int"> + <ipxact:name>gui_pll_cal_busy</ipxact:name> + <ipxact:displayName>Enable pll_cal_busy input port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="EN_PLL_CAL_BUSY" type="int"> + <ipxact:name>EN_PLL_CAL_BUSY</ipxact:name> + <ipxact:displayName>EN_PLL_CAL_BUSY</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="RX_ENABLE" type="int"> + <ipxact:name>RX_ENABLE</ipxact:name> + <ipxact:displayName>Enable RX channel reset control</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="RX_PER_CHANNEL" type="int"> + <ipxact:name>RX_PER_CHANNEL</ipxact:name> + <ipxact:displayName>Use separate RX reset per channel</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_rx_auto_reset" type="int"> + <ipxact:name>gui_rx_auto_reset</ipxact:name> + <ipxact:displayName>RX digital reset mode</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="T_RX_ANALOGRESET" type="int"> + <ipxact:name>T_RX_ANALOGRESET</ipxact:name> + <ipxact:displayName>rx_analogreset duration</ipxact:displayName> + <ipxact:value>70000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="T_RX_DIGITALRESET" type="int"> + <ipxact:name>T_RX_DIGITALRESET</ipxact:name> + <ipxact:displayName>rx_digitalreset duration</ipxact:displayName> + <ipxact:value>4000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_terminate_pll" type="int"> + <ipxact:name>l_terminate_pll</ipxact:name> + <ipxact:displayName>l_terminate_pll</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_terminate_tx" type="int"> + <ipxact:name>l_terminate_tx</ipxact:name> + <ipxact:displayName>l_terminate_tx</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_terminate_rx" type="int"> + <ipxact:name>l_terminate_rx</ipxact:name> + <ipxact:displayName>l_terminate_rx</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_terminate_tx_manual" type="int"> + <ipxact:name>l_terminate_tx_manual</ipxact:name> + <ipxact:displayName>l_terminate_tx_manual</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_terminate_rx_manual" type="int"> + <ipxact:name>l_terminate_rx_manual</ipxact:name> + <ipxact:displayName>l_terminate_rx_manual</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_tx_manual_term" type="int"> + <ipxact:name>l_tx_manual_term</ipxact:name> + <ipxact:displayName>l_tx_manual_term</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_rx_manual_term" type="int"> + <ipxact:name>l_rx_manual_term</ipxact:name> + <ipxact:displayName>l_rx_manual_term</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_pll_select_split" type="int"> + <ipxact:name>l_pll_select_split</ipxact:name> + <ipxact:displayName>l_pll_select_split</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_pll_select_width" type="int"> + <ipxact:name>l_pll_select_width</ipxact:name> + <ipxact:displayName>l_pll_select_width</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_pll_select_base" type="int"> + <ipxact:name>l_pll_select_base</ipxact:name> + <ipxact:displayName>l_pll_select_base</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_module_parameters> + <altera:altera_system_parameters> + <ipxact:parameters> + <ipxact:parameter parameterId="device" type="string"> + <ipxact:name>device</ipxact:name> + <ipxact:displayName>Device</ipxact:displayName> + <ipxact:value>10AX115U3F45E2SG</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="deviceFamily" type="string"> + <ipxact:name>deviceFamily</ipxact:name> + <ipxact:displayName>Device family</ipxact:displayName> + <ipxact:value>Arria 10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="deviceSpeedGrade" type="string"> + <ipxact:name>deviceSpeedGrade</ipxact:name> + <ipxact:displayName>Device Speed Grade</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="generationId" type="int"> + <ipxact:name>generationId</ipxact:name> + <ipxact:displayName>Generation Id</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="bonusData" type="string"> + <ipxact:name>bonusData</ipxact:name> + <ipxact:displayName>bonusData</ipxact:displayName> + <ipxact:value>bonusData +{ + element xcvr_reset_control_0 + { + datum _sortIndex + { + value = "0"; + type = "int"; + } + } +} +</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hideFromIPCatalog" type="bit"> + <ipxact:name>hideFromIPCatalog</ipxact:name> + <ipxact:displayName>Hide from IP Catalog</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="lockedInterfaceDefinition" type="string"> + <ipxact:name>lockedInterfaceDefinition</ipxact:name> + <ipxact:displayName>lockedInterfaceDefinition</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="systemInfos" type="string"> + <ipxact:name>systemInfos</ipxact:name> + <ipxact:displayName>systemInfos</ipxact:displayName> + <ipxact:value><systemInfosDefinition> + <connPtSystemInfos/> +</systemInfosDefinition></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_system_parameters> + <altera:altera_interface_boundary> + <altera:interface_mapping altera:name="clock" altera:internal="xcvr_reset_control_0.clock" altera:type="clock" altera:dir="end"> + <altera:port_mapping altera:name="clock" altera:internal="clock"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="pll_locked" altera:internal="xcvr_reset_control_0.pll_locked" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="pll_locked" altera:internal="pll_locked"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="pll_powerdown" altera:internal="xcvr_reset_control_0.pll_powerdown" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="pll_powerdown" altera:internal="pll_powerdown"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="pll_select" altera:internal="xcvr_reset_control_0.pll_select" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="pll_select" altera:internal="pll_select"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="reset" altera:internal="xcvr_reset_control_0.reset" altera:type="reset" altera:dir="end"> + <altera:port_mapping altera:name="reset" altera:internal="reset"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_analogreset" altera:internal="xcvr_reset_control_0.rx_analogreset" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_analogreset" altera:internal="rx_analogreset"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_cal_busy" altera:internal="xcvr_reset_control_0.rx_cal_busy" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_cal_busy" altera:internal="rx_cal_busy"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_digitalreset" altera:internal="xcvr_reset_control_0.rx_digitalreset" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_digitalreset" altera:internal="rx_digitalreset"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_is_lockedtodata" altera:internal="xcvr_reset_control_0.rx_is_lockedtodata" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_is_lockedtodata" altera:internal="rx_is_lockedtodata"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_ready" altera:internal="xcvr_reset_control_0.rx_ready" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_ready" altera:internal="rx_ready"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_analogreset" altera:internal="xcvr_reset_control_0.tx_analogreset" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_analogreset" altera:internal="tx_analogreset"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_cal_busy" altera:internal="xcvr_reset_control_0.tx_cal_busy" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_cal_busy" altera:internal="tx_cal_busy"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_digitalreset" altera:internal="xcvr_reset_control_0.tx_digitalreset" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_digitalreset" altera:internal="tx_digitalreset"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_ready" altera:internal="xcvr_reset_control_0.tx_ready" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_ready" altera:internal="tx_ready"></altera:port_mapping> + </altera:interface_mapping> + </altera:altera_interface_boundary> + <altera:altera_has_warnings>false</altera:altera_has_warnings> + <altera:altera_has_errors>false</altera:altera_has_errors> + </ipxact:vendorExtensions> +</ipxact:component> \ No newline at end of file diff --git a/libraries/technology/ip_arria10_e2sg/transceiver_reset_controller_1/ip_arria10_e2sg_transceiver_reset_controller_1.qsys b/libraries/technology/ip_arria10_e2sg/transceiver_reset_controller_1/ip_arria10_e2sg_transceiver_reset_controller_1.qsys deleted file mode 100644 index 9f64271e08..0000000000 --- a/libraries/technology/ip_arria10_e2sg/transceiver_reset_controller_1/ip_arria10_e2sg_transceiver_reset_controller_1.qsys +++ /dev/null @@ -1,173 +0,0 @@ -<?xml version="1.0" encoding="UTF-8"?> -<system name="ip_arria10_e2sg_transceiver_reset_controller_1"> - <component - name="$${FILENAME}" - displayName="$${FILENAME}" - version="1.0" - description="" - tags="AUTHORSHIP=Intel Corporation /// INTERNAL_COMPONENT=true" - categories="System" - tool="QsysStandard" /> - <parameter name="bonusData"><![CDATA[bonusData -{ - element $system - { - } - element xcvr_reset_control_0 - { - datum _sortIndex - { - value = "0"; - type = "int"; - } - } -} -]]></parameter> - <parameter name="device" value="10AX115U3F45E2SG" /> - <parameter name="deviceFamily" value="Arria 10" /> - <parameter name="deviceSpeedGrade" value="2" /> - <parameter name="fabricMode" value="QSYS" /> - <parameter name="generateLegacySim" value="false" /> - <parameter name="generationId" value="0" /> - <parameter name="globalResetBus" value="false" /> - <parameter name="hdlLanguage" value="VERILOG" /> - <parameter name="hideFromIPCatalog" value="true" /> - <parameter name="lockedInterfaceDefinition" value="" /> - <parameter name="sopcBorderPoints" value="false" /> - <parameter name="systemHash" value="0" /> - <parameter name="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos/> -</systemInfosDefinition>]]></parameter> - <parameter name="systemScripts" value="" /> - <parameter name="testBenchDutName" value="" /> - <parameter name="timeStamp" value="0" /> - <parameter name="useTestBenchNamingPattern" value="false" /> - <instanceScript></instanceScript> - <interface - name="clock" - internal="xcvr_reset_control_0.clock" - type="clock" - dir="end"> - <port name="clock" internal="clock" /> - </interface> - <interface - name="pll_locked" - internal="xcvr_reset_control_0.pll_locked" - type="conduit" - dir="end"> - <port name="pll_locked" internal="pll_locked" /> - </interface> - <interface - name="pll_powerdown" - internal="xcvr_reset_control_0.pll_powerdown" - type="conduit" - dir="end"> - <port name="pll_powerdown" internal="pll_powerdown" /> - </interface> - <interface - name="pll_select" - internal="xcvr_reset_control_0.pll_select" - type="conduit" - dir="end"> - <port name="pll_select" internal="pll_select" /> - </interface> - <interface - name="reset" - internal="xcvr_reset_control_0.reset" - type="reset" - dir="end"> - <port name="reset" internal="reset" /> - </interface> - <interface - name="rx_analogreset" - internal="xcvr_reset_control_0.rx_analogreset" - type="conduit" - dir="end"> - <port name="rx_analogreset" internal="rx_analogreset" /> - </interface> - <interface - name="rx_cal_busy" - internal="xcvr_reset_control_0.rx_cal_busy" - type="conduit" - dir="end"> - <port name="rx_cal_busy" internal="rx_cal_busy" /> - </interface> - <interface - name="rx_digitalreset" - internal="xcvr_reset_control_0.rx_digitalreset" - type="conduit" - dir="end"> - <port name="rx_digitalreset" internal="rx_digitalreset" /> - </interface> - <interface - name="rx_is_lockedtodata" - internal="xcvr_reset_control_0.rx_is_lockedtodata" - type="conduit" - dir="end"> - <port name="rx_is_lockedtodata" internal="rx_is_lockedtodata" /> - </interface> - <interface - name="rx_ready" - internal="xcvr_reset_control_0.rx_ready" - type="conduit" - dir="end"> - <port name="rx_ready" internal="rx_ready" /> - </interface> - <interface - name="tx_analogreset" - internal="xcvr_reset_control_0.tx_analogreset" - type="conduit" - dir="end"> - <port name="tx_analogreset" internal="tx_analogreset" /> - </interface> - <interface - name="tx_cal_busy" - internal="xcvr_reset_control_0.tx_cal_busy" - type="conduit" - dir="end"> - <port name="tx_cal_busy" internal="tx_cal_busy" /> - </interface> - <interface - name="tx_digitalreset" - internal="xcvr_reset_control_0.tx_digitalreset" - type="conduit" - dir="end"> - <port name="tx_digitalreset" internal="tx_digitalreset" /> - </interface> - <interface - name="tx_ready" - internal="xcvr_reset_control_0.tx_ready" - type="conduit" - dir="end"> - <port name="tx_ready" internal="tx_ready" /> - </interface> - <module - name="xcvr_reset_control_0" - kind="altera_xcvr_reset_control" - version="19.1" - enabled="1" - autoexport="1"> - <parameter name="CHANNELS" value="1" /> - <parameter name="PLLS" value="1" /> - <parameter name="REDUCED_SIM_TIME" value="1" /> - <parameter name="RX_ENABLE" value="1" /> - <parameter name="RX_PER_CHANNEL" value="1" /> - <parameter name="SYNCHRONIZE_PLL_RESET" value="0" /> - <parameter name="SYNCHRONIZE_RESET" value="1" /> - <parameter name="SYS_CLK_IN_MHZ" value="156" /> - <parameter name="TX_ENABLE" value="1" /> - <parameter name="TX_PER_CHANNEL" value="0" /> - <parameter name="TX_PLL_ENABLE" value="1" /> - <parameter name="T_PLL_LOCK_HYST" value="60" /> - <parameter name="T_PLL_POWERDOWN" value="1000" /> - <parameter name="T_RX_ANALOGRESET" value="70000" /> - <parameter name="T_RX_DIGITALRESET" value="4000" /> - <parameter name="T_TX_ANALOGRESET" value="70000" /> - <parameter name="T_TX_DIGITALRESET" value="70000" /> - <parameter name="device_family" value="Arria 10" /> - <parameter name="gui_pll_cal_busy" value="0" /> - <parameter name="gui_rx_auto_reset" value="0" /> - <parameter name="gui_split_interfaces" value="0" /> - <parameter name="gui_tx_auto_reset" value="0" /> - </module> -</system> diff --git a/libraries/technology/ip_arria10_e2sg/transceiver_reset_controller_12/hdllib.cfg b/libraries/technology/ip_arria10_e2sg/transceiver_reset_controller_12/hdllib.cfg index 3cafe88bc5..0dbe8b8ed0 100644 --- a/libraries/technology/ip_arria10_e2sg/transceiver_reset_controller_12/hdllib.cfg +++ b/libraries/technology/ip_arria10_e2sg/transceiver_reset_controller_12/hdllib.cfg @@ -20,5 +20,5 @@ quartus_qip_files = [generate_ip_libs] qsys-generate_ip_files = - ip_arria10_e2sg_transceiver_reset_controller_12.qsys + ip_arria10_e2sg_transceiver_reset_controller_12.ip diff --git a/libraries/technology/ip_arria10_e2sg/transceiver_reset_controller_12/ip_arria10_e2sg_transceiver_reset_controller_12.ip b/libraries/technology/ip_arria10_e2sg/transceiver_reset_controller_12/ip_arria10_e2sg_transceiver_reset_controller_12.ip new file mode 100644 index 0000000000..94cba96979 --- /dev/null +++ b/libraries/technology/ip_arria10_e2sg/transceiver_reset_controller_12/ip_arria10_e2sg_transceiver_reset_controller_12.ip @@ -0,0 +1,1164 @@ +<?xml version="1.0" ?> +<ipxact:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact2014/extensions" xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"> + <ipxact:vendor>Intel Corporation</ipxact:vendor> + <ipxact:library>ip_arria10_e2sg_transceiver_reset_controller_12</ipxact:library> + <ipxact:name>xcvr_reset_control_0</ipxact:name> + <ipxact:version>19.1</ipxact:version> + <ipxact:busInterfaces> + <ipxact:busInterface> + <ipxact:name>clock</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="clock" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>clock</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="clockRate" type="longint"> + <ipxact:name>clockRate</ipxact:name> + <ipxact:displayName>Clock rate</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="externallyDriven" type="bit"> + <ipxact:name>externallyDriven</ipxact:name> + <ipxact:displayName>Externally driven</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ptfSchematicName" type="string"> + <ipxact:name>ptfSchematicName</ipxact:name> + <ipxact:displayName>PTF schematic name</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>reset</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="reset" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="reset" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>reset</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>reset</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>Associated clock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="synchronousEdges" type="string"> + <ipxact:name>synchronousEdges</ipxact:name> + <ipxact:displayName>Synchronous edges</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>pll_powerdown</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>pll_powerdown</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>pll_powerdown</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_analogreset</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>tx_analogreset</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_analogreset</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_digitalreset</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>tx_digitalreset</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_digitalreset</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_ready</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>tx_ready</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_ready</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>pll_locked</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>pll_locked</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>pll_locked</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>pll_select</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>pll_select</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>pll_select</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_cal_busy</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>tx_cal_busy</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_cal_busy</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_analogreset</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_analogreset</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_analogreset</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_digitalreset</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_digitalreset</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_digitalreset</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_ready</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_ready</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_ready</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_is_lockedtodata</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_is_lockedtodata</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_is_lockedtodata</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_cal_busy</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_cal_busy</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_cal_busy</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + </ipxact:busInterfaces> + <ipxact:model> + <ipxact:views> + <ipxact:view> + <ipxact:name>QUARTUS_SYNTH</ipxact:name> + <ipxact:envIdentifier>:quartus.altera.com:</ipxact:envIdentifier> + <ipxact:componentInstantiationRef>QUARTUS_SYNTH</ipxact:componentInstantiationRef> + </ipxact:view> + </ipxact:views> + <ipxact:instantiations> + <ipxact:componentInstantiation> + <ipxact:name>QUARTUS_SYNTH</ipxact:name> + <ipxact:moduleName>altera_xcvr_reset_control</ipxact:moduleName> + <ipxact:fileSetRef> + <ipxact:localName>QUARTUS_SYNTH</ipxact:localName> + </ipxact:fileSetRef> + </ipxact:componentInstantiation> + </ipxact:instantiations> + <ipxact:ports> + <ipxact:port> + <ipxact:name>clock</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>reset</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>pll_powerdown</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_analogreset</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>11</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_digitalreset</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>11</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_ready</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>11</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>pll_locked</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>pll_select</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_cal_busy</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>11</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_analogreset</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>11</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_digitalreset</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>11</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_ready</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>11</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_is_lockedtodata</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>11</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_cal_busy</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>11</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + </ipxact:ports> + </ipxact:model> + <ipxact:vendorExtensions> + <altera:entity_info> + <ipxact:vendor>Intel Corporation</ipxact:vendor> + <ipxact:library>ip_arria10_e2sg_transceiver_reset_controller_12</ipxact:library> + <ipxact:name>altera_xcvr_reset_control</ipxact:name> + <ipxact:version>19.1</ipxact:version> + </altera:entity_info> + <altera:altera_module_parameters> + <ipxact:parameters> + <ipxact:parameter parameterId="device_family" type="string"> + <ipxact:name>device_family</ipxact:name> + <ipxact:displayName>device_family</ipxact:displayName> + <ipxact:value>Arria 10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CHANNELS" type="int"> + <ipxact:name>CHANNELS</ipxact:name> + <ipxact:displayName>Number of transceiver channels</ipxact:displayName> + <ipxact:value>12</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLLS" type="int"> + <ipxact:name>PLLS</ipxact:name> + <ipxact:displayName>Number of TX PLLs</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="SYS_CLK_IN_MHZ" type="int"> + <ipxact:name>SYS_CLK_IN_MHZ</ipxact:name> + <ipxact:displayName>Input clock frequency</ipxact:displayName> + <ipxact:value>156</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="SYNCHRONIZE_RESET" type="int"> + <ipxact:name>SYNCHRONIZE_RESET</ipxact:name> + <ipxact:displayName>Synchronize reset input</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="REDUCED_SIM_TIME" type="int"> + <ipxact:name>REDUCED_SIM_TIME</ipxact:name> + <ipxact:displayName>Use fast reset for simulation</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_split_interfaces" type="int"> + <ipxact:name>gui_split_interfaces</ipxact:name> + <ipxact:displayName>Separate interface per channel/PLL</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="TX_PLL_ENABLE" type="int"> + <ipxact:name>TX_PLL_ENABLE</ipxact:name> + <ipxact:displayName>Enable TX PLL reset control</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="T_PLL_POWERDOWN" type="int"> + <ipxact:name>T_PLL_POWERDOWN</ipxact:name> + <ipxact:displayName>pll_powerdown duration</ipxact:displayName> + <ipxact:value>1000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="SYNCHRONIZE_PLL_RESET" type="int"> + <ipxact:name>SYNCHRONIZE_PLL_RESET</ipxact:name> + <ipxact:displayName>Synchronize reset input for PLL powerdown</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="TX_ENABLE" type="int"> + <ipxact:name>TX_ENABLE</ipxact:name> + <ipxact:displayName>Enable TX channel reset control</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="TX_PER_CHANNEL" type="int"> + <ipxact:name>TX_PER_CHANNEL</ipxact:name> + <ipxact:displayName>Use separate TX reset per channel</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_tx_auto_reset" type="int"> + <ipxact:name>gui_tx_auto_reset</ipxact:name> + <ipxact:displayName>TX digital reset mode</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="T_TX_ANALOGRESET" type="int"> + <ipxact:name>T_TX_ANALOGRESET</ipxact:name> + <ipxact:displayName>tx_analogreset duration</ipxact:displayName> + <ipxact:value>70000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="T_TX_DIGITALRESET" type="int"> + <ipxact:name>T_TX_DIGITALRESET</ipxact:name> + <ipxact:displayName>tx_digitalreset duration</ipxact:displayName> + <ipxact:value>70000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="T_PLL_LOCK_HYST" type="int"> + <ipxact:name>T_PLL_LOCK_HYST</ipxact:name> + <ipxact:displayName>pll_locked input hysteresis</ipxact:displayName> + <ipxact:value>60</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_pll_cal_busy" type="int"> + <ipxact:name>gui_pll_cal_busy</ipxact:name> + <ipxact:displayName>Enable pll_cal_busy input port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="EN_PLL_CAL_BUSY" type="int"> + <ipxact:name>EN_PLL_CAL_BUSY</ipxact:name> + <ipxact:displayName>EN_PLL_CAL_BUSY</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="RX_ENABLE" type="int"> + <ipxact:name>RX_ENABLE</ipxact:name> + <ipxact:displayName>Enable RX channel reset control</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="RX_PER_CHANNEL" type="int"> + <ipxact:name>RX_PER_CHANNEL</ipxact:name> + <ipxact:displayName>Use separate RX reset per channel</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_rx_auto_reset" type="int"> + <ipxact:name>gui_rx_auto_reset</ipxact:name> + <ipxact:displayName>RX digital reset mode</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="T_RX_ANALOGRESET" type="int"> + <ipxact:name>T_RX_ANALOGRESET</ipxact:name> + <ipxact:displayName>rx_analogreset duration</ipxact:displayName> + <ipxact:value>70000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="T_RX_DIGITALRESET" type="int"> + <ipxact:name>T_RX_DIGITALRESET</ipxact:name> + <ipxact:displayName>rx_digitalreset duration</ipxact:displayName> + <ipxact:value>4000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_terminate_pll" type="int"> + <ipxact:name>l_terminate_pll</ipxact:name> + <ipxact:displayName>l_terminate_pll</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_terminate_tx" type="int"> + <ipxact:name>l_terminate_tx</ipxact:name> + <ipxact:displayName>l_terminate_tx</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_terminate_rx" type="int"> + <ipxact:name>l_terminate_rx</ipxact:name> + <ipxact:displayName>l_terminate_rx</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_terminate_tx_manual" type="int"> + <ipxact:name>l_terminate_tx_manual</ipxact:name> + <ipxact:displayName>l_terminate_tx_manual</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_terminate_rx_manual" type="int"> + <ipxact:name>l_terminate_rx_manual</ipxact:name> + <ipxact:displayName>l_terminate_rx_manual</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_tx_manual_term" type="int"> + <ipxact:name>l_tx_manual_term</ipxact:name> + <ipxact:displayName>l_tx_manual_term</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_rx_manual_term" type="int"> + <ipxact:name>l_rx_manual_term</ipxact:name> + <ipxact:displayName>l_rx_manual_term</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_pll_select_split" type="int"> + <ipxact:name>l_pll_select_split</ipxact:name> + <ipxact:displayName>l_pll_select_split</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_pll_select_width" type="int"> + <ipxact:name>l_pll_select_width</ipxact:name> + <ipxact:displayName>l_pll_select_width</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_pll_select_base" type="int"> + <ipxact:name>l_pll_select_base</ipxact:name> + <ipxact:displayName>l_pll_select_base</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_module_parameters> + <altera:altera_system_parameters> + <ipxact:parameters> + <ipxact:parameter parameterId="device" type="string"> + <ipxact:name>device</ipxact:name> + <ipxact:displayName>Device</ipxact:displayName> + <ipxact:value>10AX115U3F45E2SG</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="deviceFamily" type="string"> + <ipxact:name>deviceFamily</ipxact:name> + <ipxact:displayName>Device family</ipxact:displayName> + <ipxact:value>Arria 10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="deviceSpeedGrade" type="string"> + <ipxact:name>deviceSpeedGrade</ipxact:name> + <ipxact:displayName>Device Speed Grade</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="generationId" type="int"> + <ipxact:name>generationId</ipxact:name> + <ipxact:displayName>Generation Id</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="bonusData" type="string"> + <ipxact:name>bonusData</ipxact:name> + <ipxact:displayName>bonusData</ipxact:displayName> + <ipxact:value>bonusData +{ + element xcvr_reset_control_0 + { + datum _sortIndex + { + value = "0"; + type = "int"; + } + } +} +</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hideFromIPCatalog" type="bit"> + <ipxact:name>hideFromIPCatalog</ipxact:name> + <ipxact:displayName>Hide from IP Catalog</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="lockedInterfaceDefinition" type="string"> + <ipxact:name>lockedInterfaceDefinition</ipxact:name> + <ipxact:displayName>lockedInterfaceDefinition</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="systemInfos" type="string"> + <ipxact:name>systemInfos</ipxact:name> + <ipxact:displayName>systemInfos</ipxact:displayName> + <ipxact:value><systemInfosDefinition> + <connPtSystemInfos/> +</systemInfosDefinition></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_system_parameters> + <altera:altera_interface_boundary> + <altera:interface_mapping altera:name="clock" altera:internal="xcvr_reset_control_0.clock" altera:type="clock" altera:dir="end"> + <altera:port_mapping altera:name="clock" altera:internal="clock"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="pll_locked" altera:internal="xcvr_reset_control_0.pll_locked" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="pll_locked" altera:internal="pll_locked"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="pll_powerdown" altera:internal="xcvr_reset_control_0.pll_powerdown" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="pll_powerdown" altera:internal="pll_powerdown"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="pll_select" altera:internal="xcvr_reset_control_0.pll_select" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="pll_select" altera:internal="pll_select"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="reset" altera:internal="xcvr_reset_control_0.reset" altera:type="reset" altera:dir="end"> + <altera:port_mapping altera:name="reset" altera:internal="reset"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_analogreset" altera:internal="xcvr_reset_control_0.rx_analogreset" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_analogreset" altera:internal="rx_analogreset"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_cal_busy" altera:internal="xcvr_reset_control_0.rx_cal_busy" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_cal_busy" altera:internal="rx_cal_busy"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_digitalreset" altera:internal="xcvr_reset_control_0.rx_digitalreset" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_digitalreset" altera:internal="rx_digitalreset"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_is_lockedtodata" altera:internal="xcvr_reset_control_0.rx_is_lockedtodata" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_is_lockedtodata" altera:internal="rx_is_lockedtodata"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_ready" altera:internal="xcvr_reset_control_0.rx_ready" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_ready" altera:internal="rx_ready"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_analogreset" altera:internal="xcvr_reset_control_0.tx_analogreset" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_analogreset" altera:internal="tx_analogreset"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_cal_busy" altera:internal="xcvr_reset_control_0.tx_cal_busy" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_cal_busy" altera:internal="tx_cal_busy"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_digitalreset" altera:internal="xcvr_reset_control_0.tx_digitalreset" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_digitalreset" altera:internal="tx_digitalreset"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_ready" altera:internal="xcvr_reset_control_0.tx_ready" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_ready" altera:internal="tx_ready"></altera:port_mapping> + </altera:interface_mapping> + </altera:altera_interface_boundary> + <altera:altera_has_warnings>false</altera:altera_has_warnings> + <altera:altera_has_errors>false</altera:altera_has_errors> + </ipxact:vendorExtensions> +</ipxact:component> \ No newline at end of file diff --git a/libraries/technology/ip_arria10_e2sg/transceiver_reset_controller_12/ip_arria10_e2sg_transceiver_reset_controller_12.qsys b/libraries/technology/ip_arria10_e2sg/transceiver_reset_controller_12/ip_arria10_e2sg_transceiver_reset_controller_12.qsys deleted file mode 100644 index 7750717329..0000000000 --- a/libraries/technology/ip_arria10_e2sg/transceiver_reset_controller_12/ip_arria10_e2sg_transceiver_reset_controller_12.qsys +++ /dev/null @@ -1,173 +0,0 @@ -<?xml version="1.0" encoding="UTF-8"?> -<system name="ip_arria10_e2sg_transceiver_reset_controller_12"> - <component - name="$${FILENAME}" - displayName="$${FILENAME}" - version="1.0" - description="" - tags="AUTHORSHIP=Intel Corporation /// INTERNAL_COMPONENT=true" - categories="System" - tool="QsysStandard" /> - <parameter name="bonusData"><![CDATA[bonusData -{ - element $system - { - } - element transceiver_reset_controller_inst - { - datum _sortIndex - { - value = "0"; - type = "int"; - } - } -} -]]></parameter> - <parameter name="device" value="10AX115U3F45E2SG" /> - <parameter name="deviceFamily" value="Arria 10" /> - <parameter name="deviceSpeedGrade" value="2" /> - <parameter name="fabricMode" value="QSYS" /> - <parameter name="generateLegacySim" value="false" /> - <parameter name="generationId" value="0" /> - <parameter name="globalResetBus" value="false" /> - <parameter name="hdlLanguage" value="VERILOG" /> - <parameter name="hideFromIPCatalog" value="true" /> - <parameter name="lockedInterfaceDefinition" value="" /> - <parameter name="sopcBorderPoints" value="false" /> - <parameter name="systemHash" value="0" /> - <parameter name="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos/> -</systemInfosDefinition>]]></parameter> - <parameter name="systemScripts" value="" /> - <parameter name="testBenchDutName" value="" /> - <parameter name="timeStamp" value="0" /> - <parameter name="useTestBenchNamingPattern" value="false" /> - <instanceScript></instanceScript> - <interface - name="clock" - internal="transceiver_reset_controller_inst.clock" - type="clock" - dir="end"> - <port name="clock" internal="clock" /> - </interface> - <interface - name="pll_locked" - internal="transceiver_reset_controller_inst.pll_locked" - type="conduit" - dir="end"> - <port name="pll_locked" internal="pll_locked" /> - </interface> - <interface - name="pll_powerdown" - internal="transceiver_reset_controller_inst.pll_powerdown" - type="conduit" - dir="end"> - <port name="pll_powerdown" internal="pll_powerdown" /> - </interface> - <interface - name="pll_select" - internal="transceiver_reset_controller_inst.pll_select" - type="conduit" - dir="end"> - <port name="pll_select" internal="pll_select" /> - </interface> - <interface - name="reset" - internal="transceiver_reset_controller_inst.reset" - type="reset" - dir="end"> - <port name="reset" internal="reset" /> - </interface> - <interface - name="rx_analogreset" - internal="transceiver_reset_controller_inst.rx_analogreset" - type="conduit" - dir="end"> - <port name="rx_analogreset" internal="rx_analogreset" /> - </interface> - <interface - name="rx_cal_busy" - internal="transceiver_reset_controller_inst.rx_cal_busy" - type="conduit" - dir="end"> - <port name="rx_cal_busy" internal="rx_cal_busy" /> - </interface> - <interface - name="rx_digitalreset" - internal="transceiver_reset_controller_inst.rx_digitalreset" - type="conduit" - dir="end"> - <port name="rx_digitalreset" internal="rx_digitalreset" /> - </interface> - <interface - name="rx_is_lockedtodata" - internal="transceiver_reset_controller_inst.rx_is_lockedtodata" - type="conduit" - dir="end"> - <port name="rx_is_lockedtodata" internal="rx_is_lockedtodata" /> - </interface> - <interface - name="rx_ready" - internal="transceiver_reset_controller_inst.rx_ready" - type="conduit" - dir="end"> - <port name="rx_ready" internal="rx_ready" /> - </interface> - <interface - name="tx_analogreset" - internal="transceiver_reset_controller_inst.tx_analogreset" - type="conduit" - dir="end"> - <port name="tx_analogreset" internal="tx_analogreset" /> - </interface> - <interface - name="tx_cal_busy" - internal="transceiver_reset_controller_inst.tx_cal_busy" - type="conduit" - dir="end"> - <port name="tx_cal_busy" internal="tx_cal_busy" /> - </interface> - <interface - name="tx_digitalreset" - internal="transceiver_reset_controller_inst.tx_digitalreset" - type="conduit" - dir="end"> - <port name="tx_digitalreset" internal="tx_digitalreset" /> - </interface> - <interface - name="tx_ready" - internal="transceiver_reset_controller_inst.tx_ready" - type="conduit" - dir="end"> - <port name="tx_ready" internal="tx_ready" /> - </interface> - <module - name="transceiver_reset_controller_inst" - kind="altera_xcvr_reset_control" - version="19.1" - enabled="1" - autoexport="1"> - <parameter name="CHANNELS" value="12" /> - <parameter name="PLLS" value="1" /> - <parameter name="REDUCED_SIM_TIME" value="1" /> - <parameter name="RX_ENABLE" value="1" /> - <parameter name="RX_PER_CHANNEL" value="1" /> - <parameter name="SYNCHRONIZE_PLL_RESET" value="0" /> - <parameter name="SYNCHRONIZE_RESET" value="1" /> - <parameter name="SYS_CLK_IN_MHZ" value="156" /> - <parameter name="TX_ENABLE" value="1" /> - <parameter name="TX_PER_CHANNEL" value="0" /> - <parameter name="TX_PLL_ENABLE" value="1" /> - <parameter name="T_PLL_LOCK_HYST" value="60" /> - <parameter name="T_PLL_POWERDOWN" value="1000" /> - <parameter name="T_RX_ANALOGRESET" value="70000" /> - <parameter name="T_RX_DIGITALRESET" value="4000" /> - <parameter name="T_TX_ANALOGRESET" value="70000" /> - <parameter name="T_TX_DIGITALRESET" value="70000" /> - <parameter name="device_family" value="Arria 10" /> - <parameter name="gui_pll_cal_busy" value="0" /> - <parameter name="gui_rx_auto_reset" value="0" /> - <parameter name="gui_split_interfaces" value="0" /> - <parameter name="gui_tx_auto_reset" value="0" /> - </module> -</system> diff --git a/libraries/technology/ip_arria10_e2sg/transceiver_reset_controller_24/hdllib.cfg b/libraries/technology/ip_arria10_e2sg/transceiver_reset_controller_24/hdllib.cfg index ce9acb9829..a65a4c8f8a 100644 --- a/libraries/technology/ip_arria10_e2sg/transceiver_reset_controller_24/hdllib.cfg +++ b/libraries/technology/ip_arria10_e2sg/transceiver_reset_controller_24/hdllib.cfg @@ -20,5 +20,5 @@ quartus_qip_files = [generate_ip_libs] qsys-generate_ip_files = - ip_arria10_e2sg_transceiver_reset_controller_24.qsys + ip_arria10_e2sg_transceiver_reset_controller_24.ip diff --git a/libraries/technology/ip_arria10_e2sg/transceiver_reset_controller_24/ip_arria10_e2sg_transceiver_reset_controller_24.ip b/libraries/technology/ip_arria10_e2sg/transceiver_reset_controller_24/ip_arria10_e2sg_transceiver_reset_controller_24.ip new file mode 100644 index 0000000000..3eae9505fd --- /dev/null +++ b/libraries/technology/ip_arria10_e2sg/transceiver_reset_controller_24/ip_arria10_e2sg_transceiver_reset_controller_24.ip @@ -0,0 +1,1164 @@ +<?xml version="1.0" ?> +<ipxact:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact2014/extensions" xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"> + <ipxact:vendor>Intel Corporation</ipxact:vendor> + <ipxact:library>ip_arria10_e2sg_transceiver_reset_controller_24</ipxact:library> + <ipxact:name>xcvr_reset_control_0</ipxact:name> + <ipxact:version>19.1</ipxact:version> + <ipxact:busInterfaces> + <ipxact:busInterface> + <ipxact:name>clock</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="clock" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>clock</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="clockRate" type="longint"> + <ipxact:name>clockRate</ipxact:name> + <ipxact:displayName>Clock rate</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="externallyDriven" type="bit"> + <ipxact:name>externallyDriven</ipxact:name> + <ipxact:displayName>Externally driven</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ptfSchematicName" type="string"> + <ipxact:name>ptfSchematicName</ipxact:name> + <ipxact:displayName>PTF schematic name</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>reset</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="reset" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="reset" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>reset</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>reset</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>Associated clock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="synchronousEdges" type="string"> + <ipxact:name>synchronousEdges</ipxact:name> + <ipxact:displayName>Synchronous edges</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>pll_powerdown</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>pll_powerdown</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>pll_powerdown</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_analogreset</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>tx_analogreset</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_analogreset</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_digitalreset</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>tx_digitalreset</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_digitalreset</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_ready</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>tx_ready</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_ready</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>pll_locked</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>pll_locked</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>pll_locked</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>pll_select</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>pll_select</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>pll_select</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_cal_busy</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>tx_cal_busy</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_cal_busy</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_analogreset</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_analogreset</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_analogreset</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_digitalreset</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_digitalreset</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_digitalreset</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_ready</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_ready</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_ready</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_is_lockedtodata</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_is_lockedtodata</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_is_lockedtodata</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_cal_busy</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_cal_busy</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_cal_busy</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + </ipxact:busInterfaces> + <ipxact:model> + <ipxact:views> + <ipxact:view> + <ipxact:name>QUARTUS_SYNTH</ipxact:name> + <ipxact:envIdentifier>:quartus.altera.com:</ipxact:envIdentifier> + <ipxact:componentInstantiationRef>QUARTUS_SYNTH</ipxact:componentInstantiationRef> + </ipxact:view> + </ipxact:views> + <ipxact:instantiations> + <ipxact:componentInstantiation> + <ipxact:name>QUARTUS_SYNTH</ipxact:name> + <ipxact:moduleName>altera_xcvr_reset_control</ipxact:moduleName> + <ipxact:fileSetRef> + <ipxact:localName>QUARTUS_SYNTH</ipxact:localName> + </ipxact:fileSetRef> + </ipxact:componentInstantiation> + </ipxact:instantiations> + <ipxact:ports> + <ipxact:port> + <ipxact:name>clock</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>reset</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>pll_powerdown</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_analogreset</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>23</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_digitalreset</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>23</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_ready</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>23</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>pll_locked</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>pll_select</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_cal_busy</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>23</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_analogreset</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>23</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_digitalreset</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>23</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_ready</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>23</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_is_lockedtodata</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>23</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_cal_busy</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>23</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + </ipxact:ports> + </ipxact:model> + <ipxact:vendorExtensions> + <altera:entity_info> + <ipxact:vendor>Intel Corporation</ipxact:vendor> + <ipxact:library>ip_arria10_e2sg_transceiver_reset_controller_24</ipxact:library> + <ipxact:name>altera_xcvr_reset_control</ipxact:name> + <ipxact:version>19.1</ipxact:version> + </altera:entity_info> + <altera:altera_module_parameters> + <ipxact:parameters> + <ipxact:parameter parameterId="device_family" type="string"> + <ipxact:name>device_family</ipxact:name> + <ipxact:displayName>device_family</ipxact:displayName> + <ipxact:value>Arria 10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CHANNELS" type="int"> + <ipxact:name>CHANNELS</ipxact:name> + <ipxact:displayName>Number of transceiver channels</ipxact:displayName> + <ipxact:value>24</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLLS" type="int"> + <ipxact:name>PLLS</ipxact:name> + <ipxact:displayName>Number of TX PLLs</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="SYS_CLK_IN_MHZ" type="int"> + <ipxact:name>SYS_CLK_IN_MHZ</ipxact:name> + <ipxact:displayName>Input clock frequency</ipxact:displayName> + <ipxact:value>156</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="SYNCHRONIZE_RESET" type="int"> + <ipxact:name>SYNCHRONIZE_RESET</ipxact:name> + <ipxact:displayName>Synchronize reset input</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="REDUCED_SIM_TIME" type="int"> + <ipxact:name>REDUCED_SIM_TIME</ipxact:name> + <ipxact:displayName>Use fast reset for simulation</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_split_interfaces" type="int"> + <ipxact:name>gui_split_interfaces</ipxact:name> + <ipxact:displayName>Separate interface per channel/PLL</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="TX_PLL_ENABLE" type="int"> + <ipxact:name>TX_PLL_ENABLE</ipxact:name> + <ipxact:displayName>Enable TX PLL reset control</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="T_PLL_POWERDOWN" type="int"> + <ipxact:name>T_PLL_POWERDOWN</ipxact:name> + <ipxact:displayName>pll_powerdown duration</ipxact:displayName> + <ipxact:value>1000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="SYNCHRONIZE_PLL_RESET" type="int"> + <ipxact:name>SYNCHRONIZE_PLL_RESET</ipxact:name> + <ipxact:displayName>Synchronize reset input for PLL powerdown</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="TX_ENABLE" type="int"> + <ipxact:name>TX_ENABLE</ipxact:name> + <ipxact:displayName>Enable TX channel reset control</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="TX_PER_CHANNEL" type="int"> + <ipxact:name>TX_PER_CHANNEL</ipxact:name> + <ipxact:displayName>Use separate TX reset per channel</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_tx_auto_reset" type="int"> + <ipxact:name>gui_tx_auto_reset</ipxact:name> + <ipxact:displayName>TX digital reset mode</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="T_TX_ANALOGRESET" type="int"> + <ipxact:name>T_TX_ANALOGRESET</ipxact:name> + <ipxact:displayName>tx_analogreset duration</ipxact:displayName> + <ipxact:value>70000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="T_TX_DIGITALRESET" type="int"> + <ipxact:name>T_TX_DIGITALRESET</ipxact:name> + <ipxact:displayName>tx_digitalreset duration</ipxact:displayName> + <ipxact:value>70000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="T_PLL_LOCK_HYST" type="int"> + <ipxact:name>T_PLL_LOCK_HYST</ipxact:name> + <ipxact:displayName>pll_locked input hysteresis</ipxact:displayName> + <ipxact:value>60</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_pll_cal_busy" type="int"> + <ipxact:name>gui_pll_cal_busy</ipxact:name> + <ipxact:displayName>Enable pll_cal_busy input port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="EN_PLL_CAL_BUSY" type="int"> + <ipxact:name>EN_PLL_CAL_BUSY</ipxact:name> + <ipxact:displayName>EN_PLL_CAL_BUSY</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="RX_ENABLE" type="int"> + <ipxact:name>RX_ENABLE</ipxact:name> + <ipxact:displayName>Enable RX channel reset control</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="RX_PER_CHANNEL" type="int"> + <ipxact:name>RX_PER_CHANNEL</ipxact:name> + <ipxact:displayName>Use separate RX reset per channel</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_rx_auto_reset" type="int"> + <ipxact:name>gui_rx_auto_reset</ipxact:name> + <ipxact:displayName>RX digital reset mode</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="T_RX_ANALOGRESET" type="int"> + <ipxact:name>T_RX_ANALOGRESET</ipxact:name> + <ipxact:displayName>rx_analogreset duration</ipxact:displayName> + <ipxact:value>70000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="T_RX_DIGITALRESET" type="int"> + <ipxact:name>T_RX_DIGITALRESET</ipxact:name> + <ipxact:displayName>rx_digitalreset duration</ipxact:displayName> + <ipxact:value>4000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_terminate_pll" type="int"> + <ipxact:name>l_terminate_pll</ipxact:name> + <ipxact:displayName>l_terminate_pll</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_terminate_tx" type="int"> + <ipxact:name>l_terminate_tx</ipxact:name> + <ipxact:displayName>l_terminate_tx</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_terminate_rx" type="int"> + <ipxact:name>l_terminate_rx</ipxact:name> + <ipxact:displayName>l_terminate_rx</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_terminate_tx_manual" type="int"> + <ipxact:name>l_terminate_tx_manual</ipxact:name> + <ipxact:displayName>l_terminate_tx_manual</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_terminate_rx_manual" type="int"> + <ipxact:name>l_terminate_rx_manual</ipxact:name> + <ipxact:displayName>l_terminate_rx_manual</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_tx_manual_term" type="int"> + <ipxact:name>l_tx_manual_term</ipxact:name> + <ipxact:displayName>l_tx_manual_term</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_rx_manual_term" type="int"> + <ipxact:name>l_rx_manual_term</ipxact:name> + <ipxact:displayName>l_rx_manual_term</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_pll_select_split" type="int"> + <ipxact:name>l_pll_select_split</ipxact:name> + <ipxact:displayName>l_pll_select_split</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_pll_select_width" type="int"> + <ipxact:name>l_pll_select_width</ipxact:name> + <ipxact:displayName>l_pll_select_width</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_pll_select_base" type="int"> + <ipxact:name>l_pll_select_base</ipxact:name> + <ipxact:displayName>l_pll_select_base</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_module_parameters> + <altera:altera_system_parameters> + <ipxact:parameters> + <ipxact:parameter parameterId="device" type="string"> + <ipxact:name>device</ipxact:name> + <ipxact:displayName>Device</ipxact:displayName> + <ipxact:value>10AX115U3F45E2SG</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="deviceFamily" type="string"> + <ipxact:name>deviceFamily</ipxact:name> + <ipxact:displayName>Device family</ipxact:displayName> + <ipxact:value>Arria 10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="deviceSpeedGrade" type="string"> + <ipxact:name>deviceSpeedGrade</ipxact:name> + <ipxact:displayName>Device Speed Grade</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="generationId" type="int"> + <ipxact:name>generationId</ipxact:name> + <ipxact:displayName>Generation Id</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="bonusData" type="string"> + <ipxact:name>bonusData</ipxact:name> + <ipxact:displayName>bonusData</ipxact:displayName> + <ipxact:value>bonusData +{ + element xcvr_reset_control_0 + { + datum _sortIndex + { + value = "0"; + type = "int"; + } + } +} +</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hideFromIPCatalog" type="bit"> + <ipxact:name>hideFromIPCatalog</ipxact:name> + <ipxact:displayName>Hide from IP Catalog</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="lockedInterfaceDefinition" type="string"> + <ipxact:name>lockedInterfaceDefinition</ipxact:name> + <ipxact:displayName>lockedInterfaceDefinition</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="systemInfos" type="string"> + <ipxact:name>systemInfos</ipxact:name> + <ipxact:displayName>systemInfos</ipxact:displayName> + <ipxact:value><systemInfosDefinition> + <connPtSystemInfos/> +</systemInfosDefinition></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_system_parameters> + <altera:altera_interface_boundary> + <altera:interface_mapping altera:name="clock" altera:internal="xcvr_reset_control_0.clock" altera:type="clock" altera:dir="end"> + <altera:port_mapping altera:name="clock" altera:internal="clock"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="pll_locked" altera:internal="xcvr_reset_control_0.pll_locked" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="pll_locked" altera:internal="pll_locked"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="pll_powerdown" altera:internal="xcvr_reset_control_0.pll_powerdown" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="pll_powerdown" altera:internal="pll_powerdown"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="pll_select" altera:internal="xcvr_reset_control_0.pll_select" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="pll_select" altera:internal="pll_select"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="reset" altera:internal="xcvr_reset_control_0.reset" altera:type="reset" altera:dir="end"> + <altera:port_mapping altera:name="reset" altera:internal="reset"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_analogreset" altera:internal="xcvr_reset_control_0.rx_analogreset" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_analogreset" altera:internal="rx_analogreset"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_cal_busy" altera:internal="xcvr_reset_control_0.rx_cal_busy" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_cal_busy" altera:internal="rx_cal_busy"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_digitalreset" altera:internal="xcvr_reset_control_0.rx_digitalreset" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_digitalreset" altera:internal="rx_digitalreset"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_is_lockedtodata" altera:internal="xcvr_reset_control_0.rx_is_lockedtodata" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_is_lockedtodata" altera:internal="rx_is_lockedtodata"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_ready" altera:internal="xcvr_reset_control_0.rx_ready" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_ready" altera:internal="rx_ready"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_analogreset" altera:internal="xcvr_reset_control_0.tx_analogreset" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_analogreset" altera:internal="tx_analogreset"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_cal_busy" altera:internal="xcvr_reset_control_0.tx_cal_busy" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_cal_busy" altera:internal="tx_cal_busy"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_digitalreset" altera:internal="xcvr_reset_control_0.tx_digitalreset" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_digitalreset" altera:internal="tx_digitalreset"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_ready" altera:internal="xcvr_reset_control_0.tx_ready" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_ready" altera:internal="tx_ready"></altera:port_mapping> + </altera:interface_mapping> + </altera:altera_interface_boundary> + <altera:altera_has_warnings>false</altera:altera_has_warnings> + <altera:altera_has_errors>false</altera:altera_has_errors> + </ipxact:vendorExtensions> +</ipxact:component> \ No newline at end of file diff --git a/libraries/technology/ip_arria10_e2sg/transceiver_reset_controller_24/ip_arria10_e2sg_transceiver_reset_controller_24.qsys b/libraries/technology/ip_arria10_e2sg/transceiver_reset_controller_24/ip_arria10_e2sg_transceiver_reset_controller_24.qsys deleted file mode 100644 index fc126428a4..0000000000 --- a/libraries/technology/ip_arria10_e2sg/transceiver_reset_controller_24/ip_arria10_e2sg_transceiver_reset_controller_24.qsys +++ /dev/null @@ -1,173 +0,0 @@ -<?xml version="1.0" encoding="UTF-8"?> -<system name="ip_arria10_e2sg_transceiver_reset_controller_24"> - <component - name="$${FILENAME}" - displayName="$${FILENAME}" - version="1.0" - description="" - tags="AUTHORSHIP=Intel Corporation /// INTERNAL_COMPONENT=true" - categories="System" - tool="QsysStandard" /> - <parameter name="bonusData"><![CDATA[bonusData -{ - element $system - { - } - element transceiver_reset_controller_inst - { - datum _sortIndex - { - value = "0"; - type = "int"; - } - } -} -]]></parameter> - <parameter name="device" value="10AX115U3F45E2SG" /> - <parameter name="deviceFamily" value="Arria 10" /> - <parameter name="deviceSpeedGrade" value="2" /> - <parameter name="fabricMode" value="QSYS" /> - <parameter name="generateLegacySim" value="false" /> - <parameter name="generationId" value="0" /> - <parameter name="globalResetBus" value="false" /> - <parameter name="hdlLanguage" value="VERILOG" /> - <parameter name="hideFromIPCatalog" value="true" /> - <parameter name="lockedInterfaceDefinition" value="" /> - <parameter name="sopcBorderPoints" value="false" /> - <parameter name="systemHash" value="0" /> - <parameter name="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos/> -</systemInfosDefinition>]]></parameter> - <parameter name="systemScripts" value="" /> - <parameter name="testBenchDutName" value="" /> - <parameter name="timeStamp" value="0" /> - <parameter name="useTestBenchNamingPattern" value="false" /> - <instanceScript></instanceScript> - <interface - name="clock" - internal="transceiver_reset_controller_inst.clock" - type="clock" - dir="end"> - <port name="clock" internal="clock" /> - </interface> - <interface - name="pll_locked" - internal="transceiver_reset_controller_inst.pll_locked" - type="conduit" - dir="end"> - <port name="pll_locked" internal="pll_locked" /> - </interface> - <interface - name="pll_powerdown" - internal="transceiver_reset_controller_inst.pll_powerdown" - type="conduit" - dir="end"> - <port name="pll_powerdown" internal="pll_powerdown" /> - </interface> - <interface - name="pll_select" - internal="transceiver_reset_controller_inst.pll_select" - type="conduit" - dir="end"> - <port name="pll_select" internal="pll_select" /> - </interface> - <interface - name="reset" - internal="transceiver_reset_controller_inst.reset" - type="reset" - dir="end"> - <port name="reset" internal="reset" /> - </interface> - <interface - name="rx_analogreset" - internal="transceiver_reset_controller_inst.rx_analogreset" - type="conduit" - dir="end"> - <port name="rx_analogreset" internal="rx_analogreset" /> - </interface> - <interface - name="rx_cal_busy" - internal="transceiver_reset_controller_inst.rx_cal_busy" - type="conduit" - dir="end"> - <port name="rx_cal_busy" internal="rx_cal_busy" /> - </interface> - <interface - name="rx_digitalreset" - internal="transceiver_reset_controller_inst.rx_digitalreset" - type="conduit" - dir="end"> - <port name="rx_digitalreset" internal="rx_digitalreset" /> - </interface> - <interface - name="rx_is_lockedtodata" - internal="transceiver_reset_controller_inst.rx_is_lockedtodata" - type="conduit" - dir="end"> - <port name="rx_is_lockedtodata" internal="rx_is_lockedtodata" /> - </interface> - <interface - name="rx_ready" - internal="transceiver_reset_controller_inst.rx_ready" - type="conduit" - dir="end"> - <port name="rx_ready" internal="rx_ready" /> - </interface> - <interface - name="tx_analogreset" - internal="transceiver_reset_controller_inst.tx_analogreset" - type="conduit" - dir="end"> - <port name="tx_analogreset" internal="tx_analogreset" /> - </interface> - <interface - name="tx_cal_busy" - internal="transceiver_reset_controller_inst.tx_cal_busy" - type="conduit" - dir="end"> - <port name="tx_cal_busy" internal="tx_cal_busy" /> - </interface> - <interface - name="tx_digitalreset" - internal="transceiver_reset_controller_inst.tx_digitalreset" - type="conduit" - dir="end"> - <port name="tx_digitalreset" internal="tx_digitalreset" /> - </interface> - <interface - name="tx_ready" - internal="transceiver_reset_controller_inst.tx_ready" - type="conduit" - dir="end"> - <port name="tx_ready" internal="tx_ready" /> - </interface> - <module - name="transceiver_reset_controller_inst" - kind="altera_xcvr_reset_control" - version="19.1" - enabled="1" - autoexport="1"> - <parameter name="CHANNELS" value="24" /> - <parameter name="PLLS" value="1" /> - <parameter name="REDUCED_SIM_TIME" value="1" /> - <parameter name="RX_ENABLE" value="1" /> - <parameter name="RX_PER_CHANNEL" value="1" /> - <parameter name="SYNCHRONIZE_PLL_RESET" value="0" /> - <parameter name="SYNCHRONIZE_RESET" value="1" /> - <parameter name="SYS_CLK_IN_MHZ" value="156" /> - <parameter name="TX_ENABLE" value="1" /> - <parameter name="TX_PER_CHANNEL" value="0" /> - <parameter name="TX_PLL_ENABLE" value="1" /> - <parameter name="T_PLL_LOCK_HYST" value="60" /> - <parameter name="T_PLL_POWERDOWN" value="1000" /> - <parameter name="T_RX_ANALOGRESET" value="70000" /> - <parameter name="T_RX_DIGITALRESET" value="4000" /> - <parameter name="T_TX_ANALOGRESET" value="70000" /> - <parameter name="T_TX_DIGITALRESET" value="70000" /> - <parameter name="device_family" value="Arria 10" /> - <parameter name="gui_pll_cal_busy" value="0" /> - <parameter name="gui_rx_auto_reset" value="0" /> - <parameter name="gui_split_interfaces" value="0" /> - <parameter name="gui_tx_auto_reset" value="0" /> - </module> -</system> diff --git a/libraries/technology/ip_arria10_e2sg/transceiver_reset_controller_3/hdllib.cfg b/libraries/technology/ip_arria10_e2sg/transceiver_reset_controller_3/hdllib.cfg index 7e5151ad9d..38f5aed6be 100644 --- a/libraries/technology/ip_arria10_e2sg/transceiver_reset_controller_3/hdllib.cfg +++ b/libraries/technology/ip_arria10_e2sg/transceiver_reset_controller_3/hdllib.cfg @@ -20,4 +20,4 @@ quartus_qip_files = [generate_ip_libs] qsys-generate_ip_files = - ip_arria10_e2sg_transceiver_reset_controller_3.qsys \ No newline at end of file + ip_arria10_e2sg_transceiver_reset_controller_3.ip diff --git a/libraries/technology/ip_arria10_e2sg/transceiver_reset_controller_3/ip_arria10_e2sg_transceiver_reset_controller_3.ip b/libraries/technology/ip_arria10_e2sg/transceiver_reset_controller_3/ip_arria10_e2sg_transceiver_reset_controller_3.ip new file mode 100644 index 0000000000..511b063d2b --- /dev/null +++ b/libraries/technology/ip_arria10_e2sg/transceiver_reset_controller_3/ip_arria10_e2sg_transceiver_reset_controller_3.ip @@ -0,0 +1,1164 @@ +<?xml version="1.0" ?> +<ipxact:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact2014/extensions" xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"> + <ipxact:vendor>Intel Corporation</ipxact:vendor> + <ipxact:library>ip_arria10_e2sg_transceiver_reset_controller_3</ipxact:library> + <ipxact:name>xcvr_reset_control_0</ipxact:name> + <ipxact:version>19.1</ipxact:version> + <ipxact:busInterfaces> + <ipxact:busInterface> + <ipxact:name>clock</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="clock" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>clock</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="clockRate" type="longint"> + <ipxact:name>clockRate</ipxact:name> + <ipxact:displayName>Clock rate</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="externallyDriven" type="bit"> + <ipxact:name>externallyDriven</ipxact:name> + <ipxact:displayName>Externally driven</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ptfSchematicName" type="string"> + <ipxact:name>ptfSchematicName</ipxact:name> + <ipxact:displayName>PTF schematic name</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>reset</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="reset" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="reset" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>reset</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>reset</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>Associated clock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="synchronousEdges" type="string"> + <ipxact:name>synchronousEdges</ipxact:name> + <ipxact:displayName>Synchronous edges</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>pll_powerdown</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>pll_powerdown</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>pll_powerdown</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_analogreset</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>tx_analogreset</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_analogreset</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_digitalreset</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>tx_digitalreset</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_digitalreset</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_ready</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>tx_ready</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_ready</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>pll_locked</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>pll_locked</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>pll_locked</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>pll_select</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>pll_select</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>pll_select</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_cal_busy</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>tx_cal_busy</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_cal_busy</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_analogreset</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_analogreset</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_analogreset</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_digitalreset</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_digitalreset</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_digitalreset</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_ready</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_ready</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_ready</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_is_lockedtodata</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_is_lockedtodata</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_is_lockedtodata</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_cal_busy</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_cal_busy</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_cal_busy</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + </ipxact:busInterfaces> + <ipxact:model> + <ipxact:views> + <ipxact:view> + <ipxact:name>QUARTUS_SYNTH</ipxact:name> + <ipxact:envIdentifier>:quartus.altera.com:</ipxact:envIdentifier> + <ipxact:componentInstantiationRef>QUARTUS_SYNTH</ipxact:componentInstantiationRef> + </ipxact:view> + </ipxact:views> + <ipxact:instantiations> + <ipxact:componentInstantiation> + <ipxact:name>QUARTUS_SYNTH</ipxact:name> + <ipxact:moduleName>altera_xcvr_reset_control</ipxact:moduleName> + <ipxact:fileSetRef> + <ipxact:localName>QUARTUS_SYNTH</ipxact:localName> + </ipxact:fileSetRef> + </ipxact:componentInstantiation> + </ipxact:instantiations> + <ipxact:ports> + <ipxact:port> + <ipxact:name>clock</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>reset</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>pll_powerdown</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_analogreset</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>2</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_digitalreset</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>2</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_ready</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>2</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>pll_locked</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>pll_select</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_cal_busy</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>2</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_analogreset</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>2</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_digitalreset</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>2</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_ready</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>2</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_is_lockedtodata</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>2</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_cal_busy</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>2</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + </ipxact:ports> + </ipxact:model> + <ipxact:vendorExtensions> + <altera:entity_info> + <ipxact:vendor>Intel Corporation</ipxact:vendor> + <ipxact:library>ip_arria10_e2sg_transceiver_reset_controller_3</ipxact:library> + <ipxact:name>altera_xcvr_reset_control</ipxact:name> + <ipxact:version>19.1</ipxact:version> + </altera:entity_info> + <altera:altera_module_parameters> + <ipxact:parameters> + <ipxact:parameter parameterId="device_family" type="string"> + <ipxact:name>device_family</ipxact:name> + <ipxact:displayName>device_family</ipxact:displayName> + <ipxact:value>Arria 10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CHANNELS" type="int"> + <ipxact:name>CHANNELS</ipxact:name> + <ipxact:displayName>Number of transceiver channels</ipxact:displayName> + <ipxact:value>3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLLS" type="int"> + <ipxact:name>PLLS</ipxact:name> + <ipxact:displayName>Number of TX PLLs</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="SYS_CLK_IN_MHZ" type="int"> + <ipxact:name>SYS_CLK_IN_MHZ</ipxact:name> + <ipxact:displayName>Input clock frequency</ipxact:displayName> + <ipxact:value>156</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="SYNCHRONIZE_RESET" type="int"> + <ipxact:name>SYNCHRONIZE_RESET</ipxact:name> + <ipxact:displayName>Synchronize reset input</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="REDUCED_SIM_TIME" type="int"> + <ipxact:name>REDUCED_SIM_TIME</ipxact:name> + <ipxact:displayName>Use fast reset for simulation</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_split_interfaces" type="int"> + <ipxact:name>gui_split_interfaces</ipxact:name> + <ipxact:displayName>Separate interface per channel/PLL</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="TX_PLL_ENABLE" type="int"> + <ipxact:name>TX_PLL_ENABLE</ipxact:name> + <ipxact:displayName>Enable TX PLL reset control</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="T_PLL_POWERDOWN" type="int"> + <ipxact:name>T_PLL_POWERDOWN</ipxact:name> + <ipxact:displayName>pll_powerdown duration</ipxact:displayName> + <ipxact:value>1000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="SYNCHRONIZE_PLL_RESET" type="int"> + <ipxact:name>SYNCHRONIZE_PLL_RESET</ipxact:name> + <ipxact:displayName>Synchronize reset input for PLL powerdown</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="TX_ENABLE" type="int"> + <ipxact:name>TX_ENABLE</ipxact:name> + <ipxact:displayName>Enable TX channel reset control</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="TX_PER_CHANNEL" type="int"> + <ipxact:name>TX_PER_CHANNEL</ipxact:name> + <ipxact:displayName>Use separate TX reset per channel</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_tx_auto_reset" type="int"> + <ipxact:name>gui_tx_auto_reset</ipxact:name> + <ipxact:displayName>TX digital reset mode</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="T_TX_ANALOGRESET" type="int"> + <ipxact:name>T_TX_ANALOGRESET</ipxact:name> + <ipxact:displayName>tx_analogreset duration</ipxact:displayName> + <ipxact:value>70000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="T_TX_DIGITALRESET" type="int"> + <ipxact:name>T_TX_DIGITALRESET</ipxact:name> + <ipxact:displayName>tx_digitalreset duration</ipxact:displayName> + <ipxact:value>70000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="T_PLL_LOCK_HYST" type="int"> + <ipxact:name>T_PLL_LOCK_HYST</ipxact:name> + <ipxact:displayName>pll_locked input hysteresis</ipxact:displayName> + <ipxact:value>60</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_pll_cal_busy" type="int"> + <ipxact:name>gui_pll_cal_busy</ipxact:name> + <ipxact:displayName>Enable pll_cal_busy input port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="EN_PLL_CAL_BUSY" type="int"> + <ipxact:name>EN_PLL_CAL_BUSY</ipxact:name> + <ipxact:displayName>EN_PLL_CAL_BUSY</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="RX_ENABLE" type="int"> + <ipxact:name>RX_ENABLE</ipxact:name> + <ipxact:displayName>Enable RX channel reset control</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="RX_PER_CHANNEL" type="int"> + <ipxact:name>RX_PER_CHANNEL</ipxact:name> + <ipxact:displayName>Use separate RX reset per channel</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_rx_auto_reset" type="int"> + <ipxact:name>gui_rx_auto_reset</ipxact:name> + <ipxact:displayName>RX digital reset mode</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="T_RX_ANALOGRESET" type="int"> + <ipxact:name>T_RX_ANALOGRESET</ipxact:name> + <ipxact:displayName>rx_analogreset duration</ipxact:displayName> + <ipxact:value>70000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="T_RX_DIGITALRESET" type="int"> + <ipxact:name>T_RX_DIGITALRESET</ipxact:name> + <ipxact:displayName>rx_digitalreset duration</ipxact:displayName> + <ipxact:value>4000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_terminate_pll" type="int"> + <ipxact:name>l_terminate_pll</ipxact:name> + <ipxact:displayName>l_terminate_pll</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_terminate_tx" type="int"> + <ipxact:name>l_terminate_tx</ipxact:name> + <ipxact:displayName>l_terminate_tx</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_terminate_rx" type="int"> + <ipxact:name>l_terminate_rx</ipxact:name> + <ipxact:displayName>l_terminate_rx</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_terminate_tx_manual" type="int"> + <ipxact:name>l_terminate_tx_manual</ipxact:name> + <ipxact:displayName>l_terminate_tx_manual</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_terminate_rx_manual" type="int"> + <ipxact:name>l_terminate_rx_manual</ipxact:name> + <ipxact:displayName>l_terminate_rx_manual</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_tx_manual_term" type="int"> + <ipxact:name>l_tx_manual_term</ipxact:name> + <ipxact:displayName>l_tx_manual_term</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_rx_manual_term" type="int"> + <ipxact:name>l_rx_manual_term</ipxact:name> + <ipxact:displayName>l_rx_manual_term</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_pll_select_split" type="int"> + <ipxact:name>l_pll_select_split</ipxact:name> + <ipxact:displayName>l_pll_select_split</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_pll_select_width" type="int"> + <ipxact:name>l_pll_select_width</ipxact:name> + <ipxact:displayName>l_pll_select_width</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_pll_select_base" type="int"> + <ipxact:name>l_pll_select_base</ipxact:name> + <ipxact:displayName>l_pll_select_base</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_module_parameters> + <altera:altera_system_parameters> + <ipxact:parameters> + <ipxact:parameter parameterId="device" type="string"> + <ipxact:name>device</ipxact:name> + <ipxact:displayName>Device</ipxact:displayName> + <ipxact:value>10AX115U3F45E2SG</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="deviceFamily" type="string"> + <ipxact:name>deviceFamily</ipxact:name> + <ipxact:displayName>Device family</ipxact:displayName> + <ipxact:value>Arria 10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="deviceSpeedGrade" type="string"> + <ipxact:name>deviceSpeedGrade</ipxact:name> + <ipxact:displayName>Device Speed Grade</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="generationId" type="int"> + <ipxact:name>generationId</ipxact:name> + <ipxact:displayName>Generation Id</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="bonusData" type="string"> + <ipxact:name>bonusData</ipxact:name> + <ipxact:displayName>bonusData</ipxact:displayName> + <ipxact:value>bonusData +{ + element xcvr_reset_control_0 + { + datum _sortIndex + { + value = "0"; + type = "int"; + } + } +} +</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hideFromIPCatalog" type="bit"> + <ipxact:name>hideFromIPCatalog</ipxact:name> + <ipxact:displayName>Hide from IP Catalog</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="lockedInterfaceDefinition" type="string"> + <ipxact:name>lockedInterfaceDefinition</ipxact:name> + <ipxact:displayName>lockedInterfaceDefinition</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="systemInfos" type="string"> + <ipxact:name>systemInfos</ipxact:name> + <ipxact:displayName>systemInfos</ipxact:displayName> + <ipxact:value><systemInfosDefinition> + <connPtSystemInfos/> +</systemInfosDefinition></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_system_parameters> + <altera:altera_interface_boundary> + <altera:interface_mapping altera:name="clock" altera:internal="xcvr_reset_control_0.clock" altera:type="clock" altera:dir="end"> + <altera:port_mapping altera:name="clock" altera:internal="clock"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="pll_locked" altera:internal="xcvr_reset_control_0.pll_locked" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="pll_locked" altera:internal="pll_locked"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="pll_powerdown" altera:internal="xcvr_reset_control_0.pll_powerdown" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="pll_powerdown" altera:internal="pll_powerdown"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="pll_select" altera:internal="xcvr_reset_control_0.pll_select" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="pll_select" altera:internal="pll_select"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="reset" altera:internal="xcvr_reset_control_0.reset" altera:type="reset" altera:dir="end"> + <altera:port_mapping altera:name="reset" altera:internal="reset"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_analogreset" altera:internal="xcvr_reset_control_0.rx_analogreset" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_analogreset" altera:internal="rx_analogreset"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_cal_busy" altera:internal="xcvr_reset_control_0.rx_cal_busy" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_cal_busy" altera:internal="rx_cal_busy"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_digitalreset" altera:internal="xcvr_reset_control_0.rx_digitalreset" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_digitalreset" altera:internal="rx_digitalreset"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_is_lockedtodata" altera:internal="xcvr_reset_control_0.rx_is_lockedtodata" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_is_lockedtodata" altera:internal="rx_is_lockedtodata"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_ready" altera:internal="xcvr_reset_control_0.rx_ready" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_ready" altera:internal="rx_ready"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_analogreset" altera:internal="xcvr_reset_control_0.tx_analogreset" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_analogreset" altera:internal="tx_analogreset"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_cal_busy" altera:internal="xcvr_reset_control_0.tx_cal_busy" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_cal_busy" altera:internal="tx_cal_busy"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_digitalreset" altera:internal="xcvr_reset_control_0.tx_digitalreset" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_digitalreset" altera:internal="tx_digitalreset"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_ready" altera:internal="xcvr_reset_control_0.tx_ready" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_ready" altera:internal="tx_ready"></altera:port_mapping> + </altera:interface_mapping> + </altera:altera_interface_boundary> + <altera:altera_has_warnings>false</altera:altera_has_warnings> + <altera:altera_has_errors>false</altera:altera_has_errors> + </ipxact:vendorExtensions> +</ipxact:component> \ No newline at end of file diff --git a/libraries/technology/ip_arria10_e2sg/transceiver_reset_controller_3/ip_arria10_e2sg_transceiver_reset_controller_3.qsys b/libraries/technology/ip_arria10_e2sg/transceiver_reset_controller_3/ip_arria10_e2sg_transceiver_reset_controller_3.qsys deleted file mode 100644 index e564418924..0000000000 --- a/libraries/technology/ip_arria10_e2sg/transceiver_reset_controller_3/ip_arria10_e2sg_transceiver_reset_controller_3.qsys +++ /dev/null @@ -1,173 +0,0 @@ -<?xml version="1.0" encoding="UTF-8"?> -<system name="ip_arria10_e2sg_transceiver_reset_controller_3"> - <component - name="$${FILENAME}" - displayName="$${FILENAME}" - version="1.0" - description="" - tags="AUTHORSHIP=Intel Corporation /// INTERNAL_COMPONENT=true" - categories="System" - tool="QsysStandard" /> - <parameter name="bonusData"><![CDATA[bonusData -{ - element $system - { - } - element transceiver_reset_controller_inst - { - datum _sortIndex - { - value = "0"; - type = "int"; - } - } -} -]]></parameter> - <parameter name="device" value="10AX115U3F45E2SG" /> - <parameter name="deviceFamily" value="Arria 10" /> - <parameter name="deviceSpeedGrade" value="2" /> - <parameter name="fabricMode" value="QSYS" /> - <parameter name="generateLegacySim" value="false" /> - <parameter name="generationId" value="0" /> - <parameter name="globalResetBus" value="false" /> - <parameter name="hdlLanguage" value="VERILOG" /> - <parameter name="hideFromIPCatalog" value="true" /> - <parameter name="lockedInterfaceDefinition" value="" /> - <parameter name="sopcBorderPoints" value="false" /> - <parameter name="systemHash" value="0" /> - <parameter name="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos/> -</systemInfosDefinition>]]></parameter> - <parameter name="systemScripts" value="" /> - <parameter name="testBenchDutName" value="" /> - <parameter name="timeStamp" value="0" /> - <parameter name="useTestBenchNamingPattern" value="false" /> - <instanceScript></instanceScript> - <interface - name="clock" - internal="transceiver_reset_controller_inst.clock" - type="clock" - dir="end"> - <port name="clock" internal="clock" /> - </interface> - <interface - name="pll_locked" - internal="transceiver_reset_controller_inst.pll_locked" - type="conduit" - dir="end"> - <port name="pll_locked" internal="pll_locked" /> - </interface> - <interface - name="pll_powerdown" - internal="transceiver_reset_controller_inst.pll_powerdown" - type="conduit" - dir="end"> - <port name="pll_powerdown" internal="pll_powerdown" /> - </interface> - <interface - name="pll_select" - internal="transceiver_reset_controller_inst.pll_select" - type="conduit" - dir="end"> - <port name="pll_select" internal="pll_select" /> - </interface> - <interface - name="reset" - internal="transceiver_reset_controller_inst.reset" - type="reset" - dir="end"> - <port name="reset" internal="reset" /> - </interface> - <interface - name="rx_analogreset" - internal="transceiver_reset_controller_inst.rx_analogreset" - type="conduit" - dir="end"> - <port name="rx_analogreset" internal="rx_analogreset" /> - </interface> - <interface - name="rx_cal_busy" - internal="transceiver_reset_controller_inst.rx_cal_busy" - type="conduit" - dir="end"> - <port name="rx_cal_busy" internal="rx_cal_busy" /> - </interface> - <interface - name="rx_digitalreset" - internal="transceiver_reset_controller_inst.rx_digitalreset" - type="conduit" - dir="end"> - <port name="rx_digitalreset" internal="rx_digitalreset" /> - </interface> - <interface - name="rx_is_lockedtodata" - internal="transceiver_reset_controller_inst.rx_is_lockedtodata" - type="conduit" - dir="end"> - <port name="rx_is_lockedtodata" internal="rx_is_lockedtodata" /> - </interface> - <interface - name="rx_ready" - internal="transceiver_reset_controller_inst.rx_ready" - type="conduit" - dir="end"> - <port name="rx_ready" internal="rx_ready" /> - </interface> - <interface - name="tx_analogreset" - internal="transceiver_reset_controller_inst.tx_analogreset" - type="conduit" - dir="end"> - <port name="tx_analogreset" internal="tx_analogreset" /> - </interface> - <interface - name="tx_cal_busy" - internal="transceiver_reset_controller_inst.tx_cal_busy" - type="conduit" - dir="end"> - <port name="tx_cal_busy" internal="tx_cal_busy" /> - </interface> - <interface - name="tx_digitalreset" - internal="transceiver_reset_controller_inst.tx_digitalreset" - type="conduit" - dir="end"> - <port name="tx_digitalreset" internal="tx_digitalreset" /> - </interface> - <interface - name="tx_ready" - internal="transceiver_reset_controller_inst.tx_ready" - type="conduit" - dir="end"> - <port name="tx_ready" internal="tx_ready" /> - </interface> - <module - name="transceiver_reset_controller_inst" - kind="altera_xcvr_reset_control" - version="19.1" - enabled="1" - autoexport="1"> - <parameter name="CHANNELS" value="3" /> - <parameter name="PLLS" value="1" /> - <parameter name="REDUCED_SIM_TIME" value="1" /> - <parameter name="RX_ENABLE" value="1" /> - <parameter name="RX_PER_CHANNEL" value="1" /> - <parameter name="SYNCHRONIZE_PLL_RESET" value="0" /> - <parameter name="SYNCHRONIZE_RESET" value="1" /> - <parameter name="SYS_CLK_IN_MHZ" value="156" /> - <parameter name="TX_ENABLE" value="1" /> - <parameter name="TX_PER_CHANNEL" value="0" /> - <parameter name="TX_PLL_ENABLE" value="1" /> - <parameter name="T_PLL_LOCK_HYST" value="60" /> - <parameter name="T_PLL_POWERDOWN" value="1000" /> - <parameter name="T_RX_ANALOGRESET" value="70000" /> - <parameter name="T_RX_DIGITALRESET" value="4000" /> - <parameter name="T_TX_ANALOGRESET" value="70000" /> - <parameter name="T_TX_DIGITALRESET" value="70000" /> - <parameter name="device_family" value="Arria 10" /> - <parameter name="gui_pll_cal_busy" value="0" /> - <parameter name="gui_rx_auto_reset" value="0" /> - <parameter name="gui_split_interfaces" value="0" /> - <parameter name="gui_tx_auto_reset" value="0" /> - </module> -</system> diff --git a/libraries/technology/ip_arria10_e2sg/transceiver_reset_controller_4/hdllib.cfg b/libraries/technology/ip_arria10_e2sg/transceiver_reset_controller_4/hdllib.cfg index 0e479834ad..3d7ad98e6f 100644 --- a/libraries/technology/ip_arria10_e2sg/transceiver_reset_controller_4/hdllib.cfg +++ b/libraries/technology/ip_arria10_e2sg/transceiver_reset_controller_4/hdllib.cfg @@ -20,5 +20,5 @@ quartus_qip_files = [generate_ip_libs] qsys-generate_ip_files = - ip_arria10_e2sg_transceiver_reset_controller_4.qsys + ip_arria10_e2sg_transceiver_reset_controller_4.ip diff --git a/libraries/technology/ip_arria10_e2sg/transceiver_reset_controller_4/ip_arria10_e2sg_transceiver_reset_controller_4.ip b/libraries/technology/ip_arria10_e2sg/transceiver_reset_controller_4/ip_arria10_e2sg_transceiver_reset_controller_4.ip new file mode 100644 index 0000000000..cde2756ad1 --- /dev/null +++ b/libraries/technology/ip_arria10_e2sg/transceiver_reset_controller_4/ip_arria10_e2sg_transceiver_reset_controller_4.ip @@ -0,0 +1,1164 @@ +<?xml version="1.0" ?> +<ipxact:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact2014/extensions" xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"> + <ipxact:vendor>Intel Corporation</ipxact:vendor> + <ipxact:library>ip_arria10_e2sg_transceiver_reset_controller_4</ipxact:library> + <ipxact:name>xcvr_reset_control_0</ipxact:name> + <ipxact:version>19.1</ipxact:version> + <ipxact:busInterfaces> + <ipxact:busInterface> + <ipxact:name>clock</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="clock" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>clock</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="clockRate" type="longint"> + <ipxact:name>clockRate</ipxact:name> + <ipxact:displayName>Clock rate</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="externallyDriven" type="bit"> + <ipxact:name>externallyDriven</ipxact:name> + <ipxact:displayName>Externally driven</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ptfSchematicName" type="string"> + <ipxact:name>ptfSchematicName</ipxact:name> + <ipxact:displayName>PTF schematic name</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>reset</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="reset" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="reset" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>reset</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>reset</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>Associated clock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="synchronousEdges" type="string"> + <ipxact:name>synchronousEdges</ipxact:name> + <ipxact:displayName>Synchronous edges</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>pll_powerdown</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>pll_powerdown</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>pll_powerdown</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_analogreset</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>tx_analogreset</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_analogreset</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_digitalreset</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>tx_digitalreset</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_digitalreset</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_ready</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>tx_ready</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_ready</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>pll_locked</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>pll_locked</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>pll_locked</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>pll_select</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>pll_select</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>pll_select</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_cal_busy</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>tx_cal_busy</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_cal_busy</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_analogreset</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_analogreset</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_analogreset</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_digitalreset</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_digitalreset</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_digitalreset</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_ready</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_ready</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_ready</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_is_lockedtodata</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_is_lockedtodata</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_is_lockedtodata</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_cal_busy</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_cal_busy</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_cal_busy</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + </ipxact:busInterfaces> + <ipxact:model> + <ipxact:views> + <ipxact:view> + <ipxact:name>QUARTUS_SYNTH</ipxact:name> + <ipxact:envIdentifier>:quartus.altera.com:</ipxact:envIdentifier> + <ipxact:componentInstantiationRef>QUARTUS_SYNTH</ipxact:componentInstantiationRef> + </ipxact:view> + </ipxact:views> + <ipxact:instantiations> + <ipxact:componentInstantiation> + <ipxact:name>QUARTUS_SYNTH</ipxact:name> + <ipxact:moduleName>altera_xcvr_reset_control</ipxact:moduleName> + <ipxact:fileSetRef> + <ipxact:localName>QUARTUS_SYNTH</ipxact:localName> + </ipxact:fileSetRef> + </ipxact:componentInstantiation> + </ipxact:instantiations> + <ipxact:ports> + <ipxact:port> + <ipxact:name>clock</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>reset</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>pll_powerdown</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_analogreset</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>3</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_digitalreset</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>3</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_ready</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>3</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>pll_locked</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>pll_select</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_cal_busy</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>3</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_analogreset</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>3</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_digitalreset</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>3</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_ready</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>3</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_is_lockedtodata</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>3</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_cal_busy</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>3</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + </ipxact:ports> + </ipxact:model> + <ipxact:vendorExtensions> + <altera:entity_info> + <ipxact:vendor>Intel Corporation</ipxact:vendor> + <ipxact:library>ip_arria10_e2sg_transceiver_reset_controller_4</ipxact:library> + <ipxact:name>altera_xcvr_reset_control</ipxact:name> + <ipxact:version>19.1</ipxact:version> + </altera:entity_info> + <altera:altera_module_parameters> + <ipxact:parameters> + <ipxact:parameter parameterId="device_family" type="string"> + <ipxact:name>device_family</ipxact:name> + <ipxact:displayName>device_family</ipxact:displayName> + <ipxact:value>Arria 10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CHANNELS" type="int"> + <ipxact:name>CHANNELS</ipxact:name> + <ipxact:displayName>Number of transceiver channels</ipxact:displayName> + <ipxact:value>4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLLS" type="int"> + <ipxact:name>PLLS</ipxact:name> + <ipxact:displayName>Number of TX PLLs</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="SYS_CLK_IN_MHZ" type="int"> + <ipxact:name>SYS_CLK_IN_MHZ</ipxact:name> + <ipxact:displayName>Input clock frequency</ipxact:displayName> + <ipxact:value>156</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="SYNCHRONIZE_RESET" type="int"> + <ipxact:name>SYNCHRONIZE_RESET</ipxact:name> + <ipxact:displayName>Synchronize reset input</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="REDUCED_SIM_TIME" type="int"> + <ipxact:name>REDUCED_SIM_TIME</ipxact:name> + <ipxact:displayName>Use fast reset for simulation</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_split_interfaces" type="int"> + <ipxact:name>gui_split_interfaces</ipxact:name> + <ipxact:displayName>Separate interface per channel/PLL</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="TX_PLL_ENABLE" type="int"> + <ipxact:name>TX_PLL_ENABLE</ipxact:name> + <ipxact:displayName>Enable TX PLL reset control</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="T_PLL_POWERDOWN" type="int"> + <ipxact:name>T_PLL_POWERDOWN</ipxact:name> + <ipxact:displayName>pll_powerdown duration</ipxact:displayName> + <ipxact:value>1000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="SYNCHRONIZE_PLL_RESET" type="int"> + <ipxact:name>SYNCHRONIZE_PLL_RESET</ipxact:name> + <ipxact:displayName>Synchronize reset input for PLL powerdown</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="TX_ENABLE" type="int"> + <ipxact:name>TX_ENABLE</ipxact:name> + <ipxact:displayName>Enable TX channel reset control</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="TX_PER_CHANNEL" type="int"> + <ipxact:name>TX_PER_CHANNEL</ipxact:name> + <ipxact:displayName>Use separate TX reset per channel</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_tx_auto_reset" type="int"> + <ipxact:name>gui_tx_auto_reset</ipxact:name> + <ipxact:displayName>TX digital reset mode</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="T_TX_ANALOGRESET" type="int"> + <ipxact:name>T_TX_ANALOGRESET</ipxact:name> + <ipxact:displayName>tx_analogreset duration</ipxact:displayName> + <ipxact:value>70000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="T_TX_DIGITALRESET" type="int"> + <ipxact:name>T_TX_DIGITALRESET</ipxact:name> + <ipxact:displayName>tx_digitalreset duration</ipxact:displayName> + <ipxact:value>70000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="T_PLL_LOCK_HYST" type="int"> + <ipxact:name>T_PLL_LOCK_HYST</ipxact:name> + <ipxact:displayName>pll_locked input hysteresis</ipxact:displayName> + <ipxact:value>60</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_pll_cal_busy" type="int"> + <ipxact:name>gui_pll_cal_busy</ipxact:name> + <ipxact:displayName>Enable pll_cal_busy input port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="EN_PLL_CAL_BUSY" type="int"> + <ipxact:name>EN_PLL_CAL_BUSY</ipxact:name> + <ipxact:displayName>EN_PLL_CAL_BUSY</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="RX_ENABLE" type="int"> + <ipxact:name>RX_ENABLE</ipxact:name> + <ipxact:displayName>Enable RX channel reset control</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="RX_PER_CHANNEL" type="int"> + <ipxact:name>RX_PER_CHANNEL</ipxact:name> + <ipxact:displayName>Use separate RX reset per channel</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_rx_auto_reset" type="int"> + <ipxact:name>gui_rx_auto_reset</ipxact:name> + <ipxact:displayName>RX digital reset mode</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="T_RX_ANALOGRESET" type="int"> + <ipxact:name>T_RX_ANALOGRESET</ipxact:name> + <ipxact:displayName>rx_analogreset duration</ipxact:displayName> + <ipxact:value>70000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="T_RX_DIGITALRESET" type="int"> + <ipxact:name>T_RX_DIGITALRESET</ipxact:name> + <ipxact:displayName>rx_digitalreset duration</ipxact:displayName> + <ipxact:value>4000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_terminate_pll" type="int"> + <ipxact:name>l_terminate_pll</ipxact:name> + <ipxact:displayName>l_terminate_pll</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_terminate_tx" type="int"> + <ipxact:name>l_terminate_tx</ipxact:name> + <ipxact:displayName>l_terminate_tx</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_terminate_rx" type="int"> + <ipxact:name>l_terminate_rx</ipxact:name> + <ipxact:displayName>l_terminate_rx</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_terminate_tx_manual" type="int"> + <ipxact:name>l_terminate_tx_manual</ipxact:name> + <ipxact:displayName>l_terminate_tx_manual</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_terminate_rx_manual" type="int"> + <ipxact:name>l_terminate_rx_manual</ipxact:name> + <ipxact:displayName>l_terminate_rx_manual</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_tx_manual_term" type="int"> + <ipxact:name>l_tx_manual_term</ipxact:name> + <ipxact:displayName>l_tx_manual_term</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_rx_manual_term" type="int"> + <ipxact:name>l_rx_manual_term</ipxact:name> + <ipxact:displayName>l_rx_manual_term</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_pll_select_split" type="int"> + <ipxact:name>l_pll_select_split</ipxact:name> + <ipxact:displayName>l_pll_select_split</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_pll_select_width" type="int"> + <ipxact:name>l_pll_select_width</ipxact:name> + <ipxact:displayName>l_pll_select_width</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_pll_select_base" type="int"> + <ipxact:name>l_pll_select_base</ipxact:name> + <ipxact:displayName>l_pll_select_base</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_module_parameters> + <altera:altera_system_parameters> + <ipxact:parameters> + <ipxact:parameter parameterId="device" type="string"> + <ipxact:name>device</ipxact:name> + <ipxact:displayName>Device</ipxact:displayName> + <ipxact:value>10AX115U3F45E2SG</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="deviceFamily" type="string"> + <ipxact:name>deviceFamily</ipxact:name> + <ipxact:displayName>Device family</ipxact:displayName> + <ipxact:value>Arria 10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="deviceSpeedGrade" type="string"> + <ipxact:name>deviceSpeedGrade</ipxact:name> + <ipxact:displayName>Device Speed Grade</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="generationId" type="int"> + <ipxact:name>generationId</ipxact:name> + <ipxact:displayName>Generation Id</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="bonusData" type="string"> + <ipxact:name>bonusData</ipxact:name> + <ipxact:displayName>bonusData</ipxact:displayName> + <ipxact:value>bonusData +{ + element xcvr_reset_control_0 + { + datum _sortIndex + { + value = "0"; + type = "int"; + } + } +} +</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hideFromIPCatalog" type="bit"> + <ipxact:name>hideFromIPCatalog</ipxact:name> + <ipxact:displayName>Hide from IP Catalog</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="lockedInterfaceDefinition" type="string"> + <ipxact:name>lockedInterfaceDefinition</ipxact:name> + <ipxact:displayName>lockedInterfaceDefinition</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="systemInfos" type="string"> + <ipxact:name>systemInfos</ipxact:name> + <ipxact:displayName>systemInfos</ipxact:displayName> + <ipxact:value><systemInfosDefinition> + <connPtSystemInfos/> +</systemInfosDefinition></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_system_parameters> + <altera:altera_interface_boundary> + <altera:interface_mapping altera:name="clock" altera:internal="xcvr_reset_control_0.clock" altera:type="clock" altera:dir="end"> + <altera:port_mapping altera:name="clock" altera:internal="clock"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="pll_locked" altera:internal="xcvr_reset_control_0.pll_locked" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="pll_locked" altera:internal="pll_locked"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="pll_powerdown" altera:internal="xcvr_reset_control_0.pll_powerdown" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="pll_powerdown" altera:internal="pll_powerdown"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="pll_select" altera:internal="xcvr_reset_control_0.pll_select" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="pll_select" altera:internal="pll_select"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="reset" altera:internal="xcvr_reset_control_0.reset" altera:type="reset" altera:dir="end"> + <altera:port_mapping altera:name="reset" altera:internal="reset"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_analogreset" altera:internal="xcvr_reset_control_0.rx_analogreset" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_analogreset" altera:internal="rx_analogreset"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_cal_busy" altera:internal="xcvr_reset_control_0.rx_cal_busy" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_cal_busy" altera:internal="rx_cal_busy"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_digitalreset" altera:internal="xcvr_reset_control_0.rx_digitalreset" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_digitalreset" altera:internal="rx_digitalreset"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_is_lockedtodata" altera:internal="xcvr_reset_control_0.rx_is_lockedtodata" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_is_lockedtodata" altera:internal="rx_is_lockedtodata"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_ready" altera:internal="xcvr_reset_control_0.rx_ready" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_ready" altera:internal="rx_ready"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_analogreset" altera:internal="xcvr_reset_control_0.tx_analogreset" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_analogreset" altera:internal="tx_analogreset"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_cal_busy" altera:internal="xcvr_reset_control_0.tx_cal_busy" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_cal_busy" altera:internal="tx_cal_busy"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_digitalreset" altera:internal="xcvr_reset_control_0.tx_digitalreset" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_digitalreset" altera:internal="tx_digitalreset"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_ready" altera:internal="xcvr_reset_control_0.tx_ready" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_ready" altera:internal="tx_ready"></altera:port_mapping> + </altera:interface_mapping> + </altera:altera_interface_boundary> + <altera:altera_has_warnings>false</altera:altera_has_warnings> + <altera:altera_has_errors>false</altera:altera_has_errors> + </ipxact:vendorExtensions> +</ipxact:component> \ No newline at end of file diff --git a/libraries/technology/ip_arria10_e2sg/transceiver_reset_controller_4/ip_arria10_e2sg_transceiver_reset_controller_4.qsys b/libraries/technology/ip_arria10_e2sg/transceiver_reset_controller_4/ip_arria10_e2sg_transceiver_reset_controller_4.qsys deleted file mode 100644 index 94c08ca1ab..0000000000 --- a/libraries/technology/ip_arria10_e2sg/transceiver_reset_controller_4/ip_arria10_e2sg_transceiver_reset_controller_4.qsys +++ /dev/null @@ -1,173 +0,0 @@ -<?xml version="1.0" encoding="UTF-8"?> -<system name="ip_arria10_e2sg_transceiver_reset_controller_4"> - <component - name="$${FILENAME}" - displayName="$${FILENAME}" - version="1.0" - description="" - tags="AUTHORSHIP=Intel Corporation /// INTERNAL_COMPONENT=true" - categories="System" - tool="QsysStandard" /> - <parameter name="bonusData"><![CDATA[bonusData -{ - element $system - { - } - element transceiver_reset_controller_inst - { - datum _sortIndex - { - value = "0"; - type = "int"; - } - } -} -]]></parameter> - <parameter name="device" value="10AX115U3F45E2SG" /> - <parameter name="deviceFamily" value="Arria 10" /> - <parameter name="deviceSpeedGrade" value="2" /> - <parameter name="fabricMode" value="QSYS" /> - <parameter name="generateLegacySim" value="false" /> - <parameter name="generationId" value="0" /> - <parameter name="globalResetBus" value="false" /> - <parameter name="hdlLanguage" value="VERILOG" /> - <parameter name="hideFromIPCatalog" value="true" /> - <parameter name="lockedInterfaceDefinition" value="" /> - <parameter name="sopcBorderPoints" value="false" /> - <parameter name="systemHash" value="0" /> - <parameter name="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos/> -</systemInfosDefinition>]]></parameter> - <parameter name="systemScripts" value="" /> - <parameter name="testBenchDutName" value="" /> - <parameter name="timeStamp" value="0" /> - <parameter name="useTestBenchNamingPattern" value="false" /> - <instanceScript></instanceScript> - <interface - name="clock" - internal="transceiver_reset_controller_inst.clock" - type="clock" - dir="end"> - <port name="clock" internal="clock" /> - </interface> - <interface - name="pll_locked" - internal="transceiver_reset_controller_inst.pll_locked" - type="conduit" - dir="end"> - <port name="pll_locked" internal="pll_locked" /> - </interface> - <interface - name="pll_powerdown" - internal="transceiver_reset_controller_inst.pll_powerdown" - type="conduit" - dir="end"> - <port name="pll_powerdown" internal="pll_powerdown" /> - </interface> - <interface - name="pll_select" - internal="transceiver_reset_controller_inst.pll_select" - type="conduit" - dir="end"> - <port name="pll_select" internal="pll_select" /> - </interface> - <interface - name="reset" - internal="transceiver_reset_controller_inst.reset" - type="reset" - dir="end"> - <port name="reset" internal="reset" /> - </interface> - <interface - name="rx_analogreset" - internal="transceiver_reset_controller_inst.rx_analogreset" - type="conduit" - dir="end"> - <port name="rx_analogreset" internal="rx_analogreset" /> - </interface> - <interface - name="rx_cal_busy" - internal="transceiver_reset_controller_inst.rx_cal_busy" - type="conduit" - dir="end"> - <port name="rx_cal_busy" internal="rx_cal_busy" /> - </interface> - <interface - name="rx_digitalreset" - internal="transceiver_reset_controller_inst.rx_digitalreset" - type="conduit" - dir="end"> - <port name="rx_digitalreset" internal="rx_digitalreset" /> - </interface> - <interface - name="rx_is_lockedtodata" - internal="transceiver_reset_controller_inst.rx_is_lockedtodata" - type="conduit" - dir="end"> - <port name="rx_is_lockedtodata" internal="rx_is_lockedtodata" /> - </interface> - <interface - name="rx_ready" - internal="transceiver_reset_controller_inst.rx_ready" - type="conduit" - dir="end"> - <port name="rx_ready" internal="rx_ready" /> - </interface> - <interface - name="tx_analogreset" - internal="transceiver_reset_controller_inst.tx_analogreset" - type="conduit" - dir="end"> - <port name="tx_analogreset" internal="tx_analogreset" /> - </interface> - <interface - name="tx_cal_busy" - internal="transceiver_reset_controller_inst.tx_cal_busy" - type="conduit" - dir="end"> - <port name="tx_cal_busy" internal="tx_cal_busy" /> - </interface> - <interface - name="tx_digitalreset" - internal="transceiver_reset_controller_inst.tx_digitalreset" - type="conduit" - dir="end"> - <port name="tx_digitalreset" internal="tx_digitalreset" /> - </interface> - <interface - name="tx_ready" - internal="transceiver_reset_controller_inst.tx_ready" - type="conduit" - dir="end"> - <port name="tx_ready" internal="tx_ready" /> - </interface> - <module - name="transceiver_reset_controller_inst" - kind="altera_xcvr_reset_control" - version="19.1" - enabled="1" - autoexport="1"> - <parameter name="CHANNELS" value="4" /> - <parameter name="PLLS" value="1" /> - <parameter name="REDUCED_SIM_TIME" value="1" /> - <parameter name="RX_ENABLE" value="1" /> - <parameter name="RX_PER_CHANNEL" value="1" /> - <parameter name="SYNCHRONIZE_PLL_RESET" value="0" /> - <parameter name="SYNCHRONIZE_RESET" value="1" /> - <parameter name="SYS_CLK_IN_MHZ" value="156" /> - <parameter name="TX_ENABLE" value="1" /> - <parameter name="TX_PER_CHANNEL" value="0" /> - <parameter name="TX_PLL_ENABLE" value="1" /> - <parameter name="T_PLL_LOCK_HYST" value="60" /> - <parameter name="T_PLL_POWERDOWN" value="1000" /> - <parameter name="T_RX_ANALOGRESET" value="70000" /> - <parameter name="T_RX_DIGITALRESET" value="4000" /> - <parameter name="T_TX_ANALOGRESET" value="70000" /> - <parameter name="T_TX_DIGITALRESET" value="70000" /> - <parameter name="device_family" value="Arria 10" /> - <parameter name="gui_pll_cal_busy" value="0" /> - <parameter name="gui_rx_auto_reset" value="0" /> - <parameter name="gui_split_interfaces" value="0" /> - <parameter name="gui_tx_auto_reset" value="0" /> - </module> -</system> diff --git a/libraries/technology/ip_arria10_e2sg/transceiver_reset_controller_48/hdllib.cfg b/libraries/technology/ip_arria10_e2sg/transceiver_reset_controller_48/hdllib.cfg index 240d3c9f51..87541f2107 100644 --- a/libraries/technology/ip_arria10_e2sg/transceiver_reset_controller_48/hdllib.cfg +++ b/libraries/technology/ip_arria10_e2sg/transceiver_reset_controller_48/hdllib.cfg @@ -20,5 +20,5 @@ quartus_qip_files = [generate_ip_libs] qsys-generate_ip_files = - ip_arria10_e2sg_transceiver_reset_controller_48.qsys + ip_arria10_e2sg_transceiver_reset_controller_48.ip diff --git a/libraries/technology/ip_arria10_e2sg/transceiver_reset_controller_48/ip_arria10_e2sg_transceiver_reset_controller_48.ip b/libraries/technology/ip_arria10_e2sg/transceiver_reset_controller_48/ip_arria10_e2sg_transceiver_reset_controller_48.ip new file mode 100644 index 0000000000..4b16cc4fa2 --- /dev/null +++ b/libraries/technology/ip_arria10_e2sg/transceiver_reset_controller_48/ip_arria10_e2sg_transceiver_reset_controller_48.ip @@ -0,0 +1,1164 @@ +<?xml version="1.0" ?> +<ipxact:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact2014/extensions" xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"> + <ipxact:vendor>Intel Corporation</ipxact:vendor> + <ipxact:library>ip_arria10_e2sg_transceiver_reset_controller_48</ipxact:library> + <ipxact:name>xcvr_reset_control_0</ipxact:name> + <ipxact:version>19.1</ipxact:version> + <ipxact:busInterfaces> + <ipxact:busInterface> + <ipxact:name>clock</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="clock" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>clock</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="clockRate" type="longint"> + <ipxact:name>clockRate</ipxact:name> + <ipxact:displayName>Clock rate</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="externallyDriven" type="bit"> + <ipxact:name>externallyDriven</ipxact:name> + <ipxact:displayName>Externally driven</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ptfSchematicName" type="string"> + <ipxact:name>ptfSchematicName</ipxact:name> + <ipxact:displayName>PTF schematic name</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>reset</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="reset" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="reset" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>reset</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>reset</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>Associated clock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="synchronousEdges" type="string"> + <ipxact:name>synchronousEdges</ipxact:name> + <ipxact:displayName>Synchronous edges</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>pll_powerdown</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>pll_powerdown</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>pll_powerdown</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_analogreset</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>tx_analogreset</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_analogreset</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_digitalreset</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>tx_digitalreset</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_digitalreset</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_ready</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>tx_ready</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_ready</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>pll_locked</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>pll_locked</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>pll_locked</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>pll_select</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>pll_select</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>pll_select</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_cal_busy</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>tx_cal_busy</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_cal_busy</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_analogreset</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_analogreset</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_analogreset</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_digitalreset</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_digitalreset</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_digitalreset</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_ready</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_ready</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_ready</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_is_lockedtodata</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_is_lockedtodata</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_is_lockedtodata</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_cal_busy</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_cal_busy</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_cal_busy</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + </ipxact:busInterfaces> + <ipxact:model> + <ipxact:views> + <ipxact:view> + <ipxact:name>QUARTUS_SYNTH</ipxact:name> + <ipxact:envIdentifier>:quartus.altera.com:</ipxact:envIdentifier> + <ipxact:componentInstantiationRef>QUARTUS_SYNTH</ipxact:componentInstantiationRef> + </ipxact:view> + </ipxact:views> + <ipxact:instantiations> + <ipxact:componentInstantiation> + <ipxact:name>QUARTUS_SYNTH</ipxact:name> + <ipxact:moduleName>altera_xcvr_reset_control</ipxact:moduleName> + <ipxact:fileSetRef> + <ipxact:localName>QUARTUS_SYNTH</ipxact:localName> + </ipxact:fileSetRef> + </ipxact:componentInstantiation> + </ipxact:instantiations> + <ipxact:ports> + <ipxact:port> + <ipxact:name>clock</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>reset</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>pll_powerdown</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_analogreset</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>47</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_digitalreset</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>47</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_ready</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>47</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>pll_locked</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>pll_select</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_cal_busy</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>47</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_analogreset</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>47</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_digitalreset</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>47</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_ready</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>47</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_is_lockedtodata</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>47</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_cal_busy</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>47</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + </ipxact:ports> + </ipxact:model> + <ipxact:vendorExtensions> + <altera:entity_info> + <ipxact:vendor>Intel Corporation</ipxact:vendor> + <ipxact:library>ip_arria10_e2sg_transceiver_reset_controller_48</ipxact:library> + <ipxact:name>altera_xcvr_reset_control</ipxact:name> + <ipxact:version>19.1</ipxact:version> + </altera:entity_info> + <altera:altera_module_parameters> + <ipxact:parameters> + <ipxact:parameter parameterId="device_family" type="string"> + <ipxact:name>device_family</ipxact:name> + <ipxact:displayName>device_family</ipxact:displayName> + <ipxact:value>Arria 10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="CHANNELS" type="int"> + <ipxact:name>CHANNELS</ipxact:name> + <ipxact:displayName>Number of transceiver channels</ipxact:displayName> + <ipxact:value>48</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="PLLS" type="int"> + <ipxact:name>PLLS</ipxact:name> + <ipxact:displayName>Number of TX PLLs</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="SYS_CLK_IN_MHZ" type="int"> + <ipxact:name>SYS_CLK_IN_MHZ</ipxact:name> + <ipxact:displayName>Input clock frequency</ipxact:displayName> + <ipxact:value>156</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="SYNCHRONIZE_RESET" type="int"> + <ipxact:name>SYNCHRONIZE_RESET</ipxact:name> + <ipxact:displayName>Synchronize reset input</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="REDUCED_SIM_TIME" type="int"> + <ipxact:name>REDUCED_SIM_TIME</ipxact:name> + <ipxact:displayName>Use fast reset for simulation</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_split_interfaces" type="int"> + <ipxact:name>gui_split_interfaces</ipxact:name> + <ipxact:displayName>Separate interface per channel/PLL</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="TX_PLL_ENABLE" type="int"> + <ipxact:name>TX_PLL_ENABLE</ipxact:name> + <ipxact:displayName>Enable TX PLL reset control</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="T_PLL_POWERDOWN" type="int"> + <ipxact:name>T_PLL_POWERDOWN</ipxact:name> + <ipxact:displayName>pll_powerdown duration</ipxact:displayName> + <ipxact:value>1000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="SYNCHRONIZE_PLL_RESET" type="int"> + <ipxact:name>SYNCHRONIZE_PLL_RESET</ipxact:name> + <ipxact:displayName>Synchronize reset input for PLL powerdown</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="TX_ENABLE" type="int"> + <ipxact:name>TX_ENABLE</ipxact:name> + <ipxact:displayName>Enable TX channel reset control</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="TX_PER_CHANNEL" type="int"> + <ipxact:name>TX_PER_CHANNEL</ipxact:name> + <ipxact:displayName>Use separate TX reset per channel</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_tx_auto_reset" type="int"> + <ipxact:name>gui_tx_auto_reset</ipxact:name> + <ipxact:displayName>TX digital reset mode</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="T_TX_ANALOGRESET" type="int"> + <ipxact:name>T_TX_ANALOGRESET</ipxact:name> + <ipxact:displayName>tx_analogreset duration</ipxact:displayName> + <ipxact:value>70000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="T_TX_DIGITALRESET" type="int"> + <ipxact:name>T_TX_DIGITALRESET</ipxact:name> + <ipxact:displayName>tx_digitalreset duration</ipxact:displayName> + <ipxact:value>70000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="T_PLL_LOCK_HYST" type="int"> + <ipxact:name>T_PLL_LOCK_HYST</ipxact:name> + <ipxact:displayName>pll_locked input hysteresis</ipxact:displayName> + <ipxact:value>60</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_pll_cal_busy" type="int"> + <ipxact:name>gui_pll_cal_busy</ipxact:name> + <ipxact:displayName>Enable pll_cal_busy input port</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="EN_PLL_CAL_BUSY" type="int"> + <ipxact:name>EN_PLL_CAL_BUSY</ipxact:name> + <ipxact:displayName>EN_PLL_CAL_BUSY</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="RX_ENABLE" type="int"> + <ipxact:name>RX_ENABLE</ipxact:name> + <ipxact:displayName>Enable RX channel reset control</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="RX_PER_CHANNEL" type="int"> + <ipxact:name>RX_PER_CHANNEL</ipxact:name> + <ipxact:displayName>Use separate RX reset per channel</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_rx_auto_reset" type="int"> + <ipxact:name>gui_rx_auto_reset</ipxact:name> + <ipxact:displayName>RX digital reset mode</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="T_RX_ANALOGRESET" type="int"> + <ipxact:name>T_RX_ANALOGRESET</ipxact:name> + <ipxact:displayName>rx_analogreset duration</ipxact:displayName> + <ipxact:value>70000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="T_RX_DIGITALRESET" type="int"> + <ipxact:name>T_RX_DIGITALRESET</ipxact:name> + <ipxact:displayName>rx_digitalreset duration</ipxact:displayName> + <ipxact:value>4000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_terminate_pll" type="int"> + <ipxact:name>l_terminate_pll</ipxact:name> + <ipxact:displayName>l_terminate_pll</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_terminate_tx" type="int"> + <ipxact:name>l_terminate_tx</ipxact:name> + <ipxact:displayName>l_terminate_tx</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_terminate_rx" type="int"> + <ipxact:name>l_terminate_rx</ipxact:name> + <ipxact:displayName>l_terminate_rx</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_terminate_tx_manual" type="int"> + <ipxact:name>l_terminate_tx_manual</ipxact:name> + <ipxact:displayName>l_terminate_tx_manual</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_terminate_rx_manual" type="int"> + <ipxact:name>l_terminate_rx_manual</ipxact:name> + <ipxact:displayName>l_terminate_rx_manual</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_tx_manual_term" type="int"> + <ipxact:name>l_tx_manual_term</ipxact:name> + <ipxact:displayName>l_tx_manual_term</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_rx_manual_term" type="int"> + <ipxact:name>l_rx_manual_term</ipxact:name> + <ipxact:displayName>l_rx_manual_term</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_pll_select_split" type="int"> + <ipxact:name>l_pll_select_split</ipxact:name> + <ipxact:displayName>l_pll_select_split</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_pll_select_width" type="int"> + <ipxact:name>l_pll_select_width</ipxact:name> + <ipxact:displayName>l_pll_select_width</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_pll_select_base" type="int"> + <ipxact:name>l_pll_select_base</ipxact:name> + <ipxact:displayName>l_pll_select_base</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_module_parameters> + <altera:altera_system_parameters> + <ipxact:parameters> + <ipxact:parameter parameterId="device" type="string"> + <ipxact:name>device</ipxact:name> + <ipxact:displayName>Device</ipxact:displayName> + <ipxact:value>10AX115U3F45E2SG</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="deviceFamily" type="string"> + <ipxact:name>deviceFamily</ipxact:name> + <ipxact:displayName>Device family</ipxact:displayName> + <ipxact:value>Arria 10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="deviceSpeedGrade" type="string"> + <ipxact:name>deviceSpeedGrade</ipxact:name> + <ipxact:displayName>Device Speed Grade</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="generationId" type="int"> + <ipxact:name>generationId</ipxact:name> + <ipxact:displayName>Generation Id</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="bonusData" type="string"> + <ipxact:name>bonusData</ipxact:name> + <ipxact:displayName>bonusData</ipxact:displayName> + <ipxact:value>bonusData +{ + element xcvr_reset_control_0 + { + datum _sortIndex + { + value = "0"; + type = "int"; + } + } +} +</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hideFromIPCatalog" type="bit"> + <ipxact:name>hideFromIPCatalog</ipxact:name> + <ipxact:displayName>Hide from IP Catalog</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="lockedInterfaceDefinition" type="string"> + <ipxact:name>lockedInterfaceDefinition</ipxact:name> + <ipxact:displayName>lockedInterfaceDefinition</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="systemInfos" type="string"> + <ipxact:name>systemInfos</ipxact:name> + <ipxact:displayName>systemInfos</ipxact:displayName> + <ipxact:value><systemInfosDefinition> + <connPtSystemInfos/> +</systemInfosDefinition></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_system_parameters> + <altera:altera_interface_boundary> + <altera:interface_mapping altera:name="clock" altera:internal="xcvr_reset_control_0.clock" altera:type="clock" altera:dir="end"> + <altera:port_mapping altera:name="clock" altera:internal="clock"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="pll_locked" altera:internal="xcvr_reset_control_0.pll_locked" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="pll_locked" altera:internal="pll_locked"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="pll_powerdown" altera:internal="xcvr_reset_control_0.pll_powerdown" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="pll_powerdown" altera:internal="pll_powerdown"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="pll_select" altera:internal="xcvr_reset_control_0.pll_select" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="pll_select" altera:internal="pll_select"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="reset" altera:internal="xcvr_reset_control_0.reset" altera:type="reset" altera:dir="end"> + <altera:port_mapping altera:name="reset" altera:internal="reset"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_analogreset" altera:internal="xcvr_reset_control_0.rx_analogreset" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_analogreset" altera:internal="rx_analogreset"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_cal_busy" altera:internal="xcvr_reset_control_0.rx_cal_busy" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_cal_busy" altera:internal="rx_cal_busy"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_digitalreset" altera:internal="xcvr_reset_control_0.rx_digitalreset" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_digitalreset" altera:internal="rx_digitalreset"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_is_lockedtodata" altera:internal="xcvr_reset_control_0.rx_is_lockedtodata" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_is_lockedtodata" altera:internal="rx_is_lockedtodata"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_ready" altera:internal="xcvr_reset_control_0.rx_ready" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_ready" altera:internal="rx_ready"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_analogreset" altera:internal="xcvr_reset_control_0.tx_analogreset" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_analogreset" altera:internal="tx_analogreset"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_cal_busy" altera:internal="xcvr_reset_control_0.tx_cal_busy" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_cal_busy" altera:internal="tx_cal_busy"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_digitalreset" altera:internal="xcvr_reset_control_0.tx_digitalreset" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_digitalreset" altera:internal="tx_digitalreset"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_ready" altera:internal="xcvr_reset_control_0.tx_ready" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_ready" altera:internal="tx_ready"></altera:port_mapping> + </altera:interface_mapping> + </altera:altera_interface_boundary> + <altera:altera_has_warnings>false</altera:altera_has_warnings> + <altera:altera_has_errors>false</altera:altera_has_errors> + </ipxact:vendorExtensions> +</ipxact:component> \ No newline at end of file diff --git a/libraries/technology/ip_arria10_e2sg/transceiver_reset_controller_48/ip_arria10_e2sg_transceiver_reset_controller_48.qsys b/libraries/technology/ip_arria10_e2sg/transceiver_reset_controller_48/ip_arria10_e2sg_transceiver_reset_controller_48.qsys deleted file mode 100644 index 17bf32e9f1..0000000000 --- a/libraries/technology/ip_arria10_e2sg/transceiver_reset_controller_48/ip_arria10_e2sg_transceiver_reset_controller_48.qsys +++ /dev/null @@ -1,173 +0,0 @@ -<?xml version="1.0" encoding="UTF-8"?> -<system name="ip_arria10_e2sg_transceiver_reset_controller_48"> - <component - name="$${FILENAME}" - displayName="$${FILENAME}" - version="1.0" - description="" - tags="AUTHORSHIP=Intel Corporation /// INTERNAL_COMPONENT=true" - categories="System" - tool="QsysStandard" /> - <parameter name="bonusData"><![CDATA[bonusData -{ - element $system - { - } - element transceiver_reset_controller_inst - { - datum _sortIndex - { - value = "0"; - type = "int"; - } - } -} -]]></parameter> - <parameter name="device" value="10AX115U3F45E2SG" /> - <parameter name="deviceFamily" value="Arria 10" /> - <parameter name="deviceSpeedGrade" value="2" /> - <parameter name="fabricMode" value="QSYS" /> - <parameter name="generateLegacySim" value="false" /> - <parameter name="generationId" value="0" /> - <parameter name="globalResetBus" value="false" /> - <parameter name="hdlLanguage" value="VERILOG" /> - <parameter name="hideFromIPCatalog" value="true" /> - <parameter name="lockedInterfaceDefinition" value="" /> - <parameter name="sopcBorderPoints" value="false" /> - <parameter name="systemHash" value="0" /> - <parameter name="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos/> -</systemInfosDefinition>]]></parameter> - <parameter name="systemScripts" value="" /> - <parameter name="testBenchDutName" value="" /> - <parameter name="timeStamp" value="0" /> - <parameter name="useTestBenchNamingPattern" value="false" /> - <instanceScript></instanceScript> - <interface - name="clock" - internal="transceiver_reset_controller_inst.clock" - type="clock" - dir="end"> - <port name="clock" internal="clock" /> - </interface> - <interface - name="pll_locked" - internal="transceiver_reset_controller_inst.pll_locked" - type="conduit" - dir="end"> - <port name="pll_locked" internal="pll_locked" /> - </interface> - <interface - name="pll_powerdown" - internal="transceiver_reset_controller_inst.pll_powerdown" - type="conduit" - dir="end"> - <port name="pll_powerdown" internal="pll_powerdown" /> - </interface> - <interface - name="pll_select" - internal="transceiver_reset_controller_inst.pll_select" - type="conduit" - dir="end"> - <port name="pll_select" internal="pll_select" /> - </interface> - <interface - name="reset" - internal="transceiver_reset_controller_inst.reset" - type="reset" - dir="end"> - <port name="reset" internal="reset" /> - </interface> - <interface - name="rx_analogreset" - internal="transceiver_reset_controller_inst.rx_analogreset" - type="conduit" - dir="end"> - <port name="rx_analogreset" internal="rx_analogreset" /> - </interface> - <interface - name="rx_cal_busy" - internal="transceiver_reset_controller_inst.rx_cal_busy" - type="conduit" - dir="end"> - <port name="rx_cal_busy" internal="rx_cal_busy" /> - </interface> - <interface - name="rx_digitalreset" - internal="transceiver_reset_controller_inst.rx_digitalreset" - type="conduit" - dir="end"> - <port name="rx_digitalreset" internal="rx_digitalreset" /> - </interface> - <interface - name="rx_is_lockedtodata" - internal="transceiver_reset_controller_inst.rx_is_lockedtodata" - type="conduit" - dir="end"> - <port name="rx_is_lockedtodata" internal="rx_is_lockedtodata" /> - </interface> - <interface - name="rx_ready" - internal="transceiver_reset_controller_inst.rx_ready" - type="conduit" - dir="end"> - <port name="rx_ready" internal="rx_ready" /> - </interface> - <interface - name="tx_analogreset" - internal="transceiver_reset_controller_inst.tx_analogreset" - type="conduit" - dir="end"> - <port name="tx_analogreset" internal="tx_analogreset" /> - </interface> - <interface - name="tx_cal_busy" - internal="transceiver_reset_controller_inst.tx_cal_busy" - type="conduit" - dir="end"> - <port name="tx_cal_busy" internal="tx_cal_busy" /> - </interface> - <interface - name="tx_digitalreset" - internal="transceiver_reset_controller_inst.tx_digitalreset" - type="conduit" - dir="end"> - <port name="tx_digitalreset" internal="tx_digitalreset" /> - </interface> - <interface - name="tx_ready" - internal="transceiver_reset_controller_inst.tx_ready" - type="conduit" - dir="end"> - <port name="tx_ready" internal="tx_ready" /> - </interface> - <module - name="transceiver_reset_controller_inst" - kind="altera_xcvr_reset_control" - version="19.1" - enabled="1" - autoexport="1"> - <parameter name="CHANNELS" value="48" /> - <parameter name="PLLS" value="1" /> - <parameter name="REDUCED_SIM_TIME" value="1" /> - <parameter name="RX_ENABLE" value="1" /> - <parameter name="RX_PER_CHANNEL" value="1" /> - <parameter name="SYNCHRONIZE_PLL_RESET" value="0" /> - <parameter name="SYNCHRONIZE_RESET" value="1" /> - <parameter name="SYS_CLK_IN_MHZ" value="156" /> - <parameter name="TX_ENABLE" value="1" /> - <parameter name="TX_PER_CHANNEL" value="0" /> - <parameter name="TX_PLL_ENABLE" value="1" /> - <parameter name="T_PLL_LOCK_HYST" value="60" /> - <parameter name="T_PLL_POWERDOWN" value="1000" /> - <parameter name="T_RX_ANALOGRESET" value="70000" /> - <parameter name="T_RX_DIGITALRESET" value="4000" /> - <parameter name="T_TX_ANALOGRESET" value="70000" /> - <parameter name="T_TX_DIGITALRESET" value="70000" /> - <parameter name="device_family" value="Arria 10" /> - <parameter name="gui_pll_cal_busy" value="0" /> - <parameter name="gui_rx_auto_reset" value="0" /> - <parameter name="gui_split_interfaces" value="0" /> - <parameter name="gui_tx_auto_reset" value="0" /> - </module> -</system> diff --git a/libraries/technology/ip_arria10_e2sg/tse_sgmii_gx/hdllib.cfg b/libraries/technology/ip_arria10_e2sg/tse_sgmii_gx/hdllib.cfg index 3b6dbf3dc9..0666444b22 100644 --- a/libraries/technology/ip_arria10_e2sg/tse_sgmii_gx/hdllib.cfg +++ b/libraries/technology/ip_arria10_e2sg/tse_sgmii_gx/hdllib.cfg @@ -21,5 +21,5 @@ quartus_qip_files = [generate_ip_libs] qsys-generate_ip_files = - ip_arria10_e2sg_tse_sgmii_gx.qsys + ip_arria10_e2sg_tse_sgmii_gx.ip diff --git a/libraries/technology/ip_arria10_e2sg/tse_sgmii_gx/ip_arria10_e2sg_tse_sgmii_gx.ip b/libraries/technology/ip_arria10_e2sg/tse_sgmii_gx/ip_arria10_e2sg_tse_sgmii_gx.ip new file mode 100644 index 0000000000..b061387dfb --- /dev/null +++ b/libraries/technology/ip_arria10_e2sg/tse_sgmii_gx/ip_arria10_e2sg_tse_sgmii_gx.ip @@ -0,0 +1,3521 @@ +<?xml version="1.0" ?> +<ipxact:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact2014/extensions" xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"> + <ipxact:vendor>Intel Corporation</ipxact:vendor> + <ipxact:library>ip_arria10_e2sg_tse_sgmii_gx</ipxact:library> + <ipxact:name>eth_tse_0</ipxact:name> + <ipxact:version>19.4.0</ipxact:version> + <ipxact:busInterfaces> + <ipxact:busInterface> + <ipxact:name>transmit_clock_connection</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="clock" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>ff_tx_clk</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="clockRate" type="longint"> + <ipxact:name>clockRate</ipxact:name> + <ipxact:displayName>Clock rate</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="externallyDriven" type="bit"> + <ipxact:name>externallyDriven</ipxact:name> + <ipxact:displayName>Externally driven</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ptfSchematicName" type="string"> + <ipxact:name>ptfSchematicName</ipxact:name> + <ipxact:displayName>PTF schematic name</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>receive_clock_connection</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="clock" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>ff_rx_clk</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="clockRate" type="longint"> + <ipxact:name>clockRate</ipxact:name> + <ipxact:displayName>Clock rate</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="externallyDriven" type="bit"> + <ipxact:name>externallyDriven</ipxact:name> + <ipxact:displayName>Externally driven</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ptfSchematicName" type="string"> + <ipxact:name>ptfSchematicName</ipxact:name> + <ipxact:displayName>PTF schematic name</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>receive</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="avalon_streaming" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="avalon_streaming" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>data</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>ff_rx_data</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>endofpacket</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>ff_rx_eop</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>error</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_err</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>empty</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>ff_rx_mod</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>ready</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>ff_rx_rdy</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>startofpacket</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>ff_rx_sop</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>valid</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>ff_rx_dval</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:master></ipxact:master> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value>receive_clock_connection</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value>reset_connection</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="beatsPerCycle" type="int"> + <ipxact:name>beatsPerCycle</ipxact:name> + <ipxact:displayName>Beats Per Cycle</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="dataBitsPerSymbol" type="int"> + <ipxact:name>dataBitsPerSymbol</ipxact:name> + <ipxact:displayName>Data bits per symbol</ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="emptyWithinPacket" type="bit"> + <ipxact:name>emptyWithinPacket</ipxact:name> + <ipxact:displayName>emptyWithinPacket</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="errorDescriptor" type="string"> + <ipxact:name>errorDescriptor</ipxact:name> + <ipxact:displayName>Error descriptor</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="firstSymbolInHighOrderBits" type="bit"> + <ipxact:name>firstSymbolInHighOrderBits</ipxact:name> + <ipxact:displayName>First Symbol In High-Order Bits</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="highOrderSymbolAtMSB" type="bit"> + <ipxact:name>highOrderSymbolAtMSB</ipxact:name> + <ipxact:displayName>highOrderSymbolAtMSB</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="maxChannel" type="int"> + <ipxact:name>maxChannel</ipxact:name> + <ipxact:displayName>Maximum channel</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="packetDescription" type="string"> + <ipxact:name>packetDescription</ipxact:name> + <ipxact:displayName>Packet description </ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="readyAllowance" type="int"> + <ipxact:name>readyAllowance</ipxact:name> + <ipxact:displayName>Ready allowance</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="readyLatency" type="int"> + <ipxact:name>readyLatency</ipxact:name> + <ipxact:displayName>Ready latency</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="symbolsPerBeat" type="int"> + <ipxact:name>symbolsPerBeat</ipxact:name> + <ipxact:displayName>Symbols per beat </ipxact:displayName> + <ipxact:value>4</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>transmit</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="avalon_streaming" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="avalon_streaming" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>data</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>ff_tx_data</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>endofpacket</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>ff_tx_eop</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>error</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>ff_tx_err</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>empty</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>ff_tx_mod</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>ready</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>ff_tx_rdy</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>startofpacket</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>ff_tx_sop</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>valid</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>ff_tx_wren</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value>transmit_clock_connection</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value>reset_connection</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="beatsPerCycle" type="int"> + <ipxact:name>beatsPerCycle</ipxact:name> + <ipxact:displayName>Beats Per Cycle</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="dataBitsPerSymbol" type="int"> + <ipxact:name>dataBitsPerSymbol</ipxact:name> + <ipxact:displayName>Data bits per symbol</ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="emptyWithinPacket" type="bit"> + <ipxact:name>emptyWithinPacket</ipxact:name> + <ipxact:displayName>emptyWithinPacket</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="errorDescriptor" type="string"> + <ipxact:name>errorDescriptor</ipxact:name> + <ipxact:displayName>Error descriptor</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="firstSymbolInHighOrderBits" type="bit"> + <ipxact:name>firstSymbolInHighOrderBits</ipxact:name> + <ipxact:displayName>First Symbol In High-Order Bits</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="highOrderSymbolAtMSB" type="bit"> + <ipxact:name>highOrderSymbolAtMSB</ipxact:name> + <ipxact:displayName>highOrderSymbolAtMSB</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="maxChannel" type="int"> + <ipxact:name>maxChannel</ipxact:name> + <ipxact:displayName>Maximum channel</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="packetDescription" type="string"> + <ipxact:name>packetDescription</ipxact:name> + <ipxact:displayName>Packet description </ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="readyAllowance" type="int"> + <ipxact:name>readyAllowance</ipxact:name> + <ipxact:displayName>Ready allowance</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="readyLatency" type="int"> + <ipxact:name>readyLatency</ipxact:name> + <ipxact:displayName>Ready latency</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="symbolsPerBeat" type="int"> + <ipxact:name>symbolsPerBeat</ipxact:name> + <ipxact:displayName>Symbols per beat </ipxact:displayName> + <ipxact:value>4</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>mac_misc_connection</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>ff_tx_crc_fwd</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>ff_tx_crc_fwd</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>ff_tx_septy</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>ff_tx_septy</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>tx_ff_uflow</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_ff_uflow</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>ff_tx_a_full</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>ff_tx_a_full</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>ff_tx_a_empty</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>ff_tx_a_empty</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_err_stat</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_err_stat</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_frm_type</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_frm_type</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>ff_rx_dsav</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>ff_rx_dsav</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>ff_rx_a_full</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>ff_rx_a_full</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>ff_rx_a_empty</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>ff_rx_a_empty</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>control_port_clock_connection</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="clock" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="clockRate" type="longint"> + <ipxact:name>clockRate</ipxact:name> + <ipxact:displayName>Clock rate</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="externallyDriven" type="bit"> + <ipxact:name>externallyDriven</ipxact:name> + <ipxact:displayName>Externally driven</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ptfSchematicName" type="string"> + <ipxact:name>ptfSchematicName</ipxact:name> + <ipxact:displayName>PTF schematic name</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>reset_connection</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="reset" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="reset" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>reset</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>reset</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>Associated clock</ipxact:displayName> + <ipxact:value>control_port_clock_connection</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="synchronousEdges" type="string"> + <ipxact:name>synchronousEdges</ipxact:name> + <ipxact:displayName>Synchronous edges</ipxact:displayName> + <ipxact:value>DEASSERT</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>control_port</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="avalon" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="avalon" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>address</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>reg_addr</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>readdata</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>reg_data_out</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>read</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>reg_rd</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>writedata</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>reg_data_in</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>write</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>reg_wr</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>waitrequest</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>reg_busy</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="addressAlignment" type="string"> + <ipxact:name>addressAlignment</ipxact:name> + <ipxact:displayName>Slave addressing</ipxact:displayName> + <ipxact:value>DYNAMIC</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="addressGroup" type="int"> + <ipxact:name>addressGroup</ipxact:name> + <ipxact:displayName>Address group</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="addressSpan" type="string"> + <ipxact:name>addressSpan</ipxact:name> + <ipxact:displayName>Address span</ipxact:displayName> + <ipxact:value>1024</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="addressUnits" type="string"> + <ipxact:name>addressUnits</ipxact:name> + <ipxact:displayName>Address units</ipxact:displayName> + <ipxact:value>WORDS</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="alwaysBurstMaxBurst" type="bit"> + <ipxact:name>alwaysBurstMaxBurst</ipxact:name> + <ipxact:displayName>Always burst maximum burst</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>Associated clock</ipxact:displayName> + <ipxact:value>control_port_clock_connection</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>Associated reset</ipxact:displayName> + <ipxact:value>reset_connection</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="bitsPerSymbol" type="int"> + <ipxact:name>bitsPerSymbol</ipxact:name> + <ipxact:displayName>Bits per symbol</ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="bridgedAddressOffset" type="string"> + <ipxact:name>bridgedAddressOffset</ipxact:name> + <ipxact:displayName>Bridged Address Offset</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="bridgesToMaster" type="string"> + <ipxact:name>bridgesToMaster</ipxact:name> + <ipxact:displayName>Bridges to master</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="burstOnBurstBoundariesOnly" type="bit"> + <ipxact:name>burstOnBurstBoundariesOnly</ipxact:name> + <ipxact:displayName>Burst on burst boundaries only</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="burstcountUnits" type="string"> + <ipxact:name>burstcountUnits</ipxact:name> + <ipxact:displayName>Burstcount units</ipxact:displayName> + <ipxact:value>WORDS</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="constantBurstBehavior" type="bit"> + <ipxact:name>constantBurstBehavior</ipxact:name> + <ipxact:displayName>Constant burst behavior</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="explicitAddressSpan" type="string"> + <ipxact:name>explicitAddressSpan</ipxact:name> + <ipxact:displayName>Explicit address span</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="holdTime" type="int"> + <ipxact:name>holdTime</ipxact:name> + <ipxact:displayName>Hold</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="interleaveBursts" type="bit"> + <ipxact:name>interleaveBursts</ipxact:name> + <ipxact:displayName>Interleave bursts</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="isBigEndian" type="bit"> + <ipxact:name>isBigEndian</ipxact:name> + <ipxact:displayName>Big endian</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="isFlash" type="bit"> + <ipxact:name>isFlash</ipxact:name> + <ipxact:displayName>Flash memory</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="isMemoryDevice" type="bit"> + <ipxact:name>isMemoryDevice</ipxact:name> + <ipxact:displayName>Memory device</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="isNonVolatileStorage" type="bit"> + <ipxact:name>isNonVolatileStorage</ipxact:name> + <ipxact:displayName>Non-volatile storage</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="linewrapBursts" type="bit"> + <ipxact:name>linewrapBursts</ipxact:name> + <ipxact:displayName>Linewrap bursts</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="maximumPendingReadTransactions" type="int"> + <ipxact:name>maximumPendingReadTransactions</ipxact:name> + <ipxact:displayName>Maximum pending read transactions</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="maximumPendingWriteTransactions" type="int"> + <ipxact:name>maximumPendingWriteTransactions</ipxact:name> + <ipxact:displayName>Maximum pending write transactions</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="minimumReadLatency" type="int"> + <ipxact:name>minimumReadLatency</ipxact:name> + <ipxact:displayName>minimumReadLatency</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="minimumResponseLatency" type="int"> + <ipxact:name>minimumResponseLatency</ipxact:name> + <ipxact:displayName>Minimum response latency</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="minimumUninterruptedRunLength" type="int"> + <ipxact:name>minimumUninterruptedRunLength</ipxact:name> + <ipxact:displayName>Minimum uninterrupted run length</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="printableDevice" type="bit"> + <ipxact:name>printableDevice</ipxact:name> + <ipxact:displayName>Can receive stdout/stderr</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="readLatency" type="int"> + <ipxact:name>readLatency</ipxact:name> + <ipxact:displayName>Read latency</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="readWaitStates" type="int"> + <ipxact:name>readWaitStates</ipxact:name> + <ipxact:displayName>Read wait states</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="readWaitTime" type="int"> + <ipxact:name>readWaitTime</ipxact:name> + <ipxact:displayName>Read wait</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="registerIncomingSignals" type="bit"> + <ipxact:name>registerIncomingSignals</ipxact:name> + <ipxact:displayName>Register incoming signals</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="registerOutgoingSignals" type="bit"> + <ipxact:name>registerOutgoingSignals</ipxact:name> + <ipxact:displayName>Register outgoing signals</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="setupTime" type="int"> + <ipxact:name>setupTime</ipxact:name> + <ipxact:displayName>Setup</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="timingUnits" type="string"> + <ipxact:name>timingUnits</ipxact:name> + <ipxact:displayName>Timing units</ipxact:displayName> + <ipxact:value>Cycles</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="transparentBridge" type="bit"> + <ipxact:name>transparentBridge</ipxact:name> + <ipxact:displayName>Transparent bridge</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="waitrequestAllowance" type="int"> + <ipxact:name>waitrequestAllowance</ipxact:name> + <ipxact:displayName>Waitrequest allowance</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="wellBehavedWaitrequest" type="bit"> + <ipxact:name>wellBehavedWaitrequest</ipxact:name> + <ipxact:displayName>Well-behaved waitrequest</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="writeLatency" type="int"> + <ipxact:name>writeLatency</ipxact:name> + <ipxact:displayName>Write latency</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="writeWaitStates" type="int"> + <ipxact:name>writeWaitStates</ipxact:name> + <ipxact:displayName>Write wait states</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="writeWaitTime" type="int"> + <ipxact:name>writeWaitTime</ipxact:name> + <ipxact:displayName>Write wait</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="embeddedsw.configuration.isFlash" type="string"> + <ipxact:name>embeddedsw.configuration.isFlash</ipxact:name> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="embeddedsw.configuration.isMemoryDevice" type="string"> + <ipxact:name>embeddedsw.configuration.isMemoryDevice</ipxact:name> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="embeddedsw.configuration.isNonVolatileStorage" type="string"> + <ipxact:name>embeddedsw.configuration.isNonVolatileStorage</ipxact:name> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="embeddedsw.configuration.isPrintableDevice" type="string"> + <ipxact:name>embeddedsw.configuration.isPrintableDevice</ipxact:name> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>pcs_ref_clk_clock_connection</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="clock" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>ref_clk</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="clockRate" type="longint"> + <ipxact:name>clockRate</ipxact:name> + <ipxact:displayName>Clock rate</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="externallyDriven" type="bit"> + <ipxact:name>externallyDriven</ipxact:name> + <ipxact:displayName>Externally driven</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ptfSchematicName" type="string"> + <ipxact:name>ptfSchematicName</ipxact:name> + <ipxact:displayName>PTF schematic name</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>status_led_connection</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>crs</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>led_crs</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>link</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>led_link</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>panel_link</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>led_panel_link</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>col</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>led_col</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>an</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>led_an</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>char_err</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>led_char_err</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>disp_err</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>led_disp_err</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_analogreset</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>tx_analogreset</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_analogreset</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_digitalreset</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>tx_digitalreset</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_digitalreset</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_analogreset</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_analogreset</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_analogreset</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_digitalreset</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_digitalreset</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_digitalreset</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_cal_busy</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>tx_cal_busy</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_cal_busy</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_cal_busy</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_cal_busy</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_cal_busy</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>tx_serial_clk</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="hssi_serial_clock" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="hssi_serial_clock" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_serial_clk</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="clockRate" type="longint"> + <ipxact:name>clockRate</ipxact:name> + <ipxact:displayName>Clock rate</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_cdr_refclk</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="clock" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_cdr_refclk</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="clockRate" type="longint"> + <ipxact:name>clockRate</ipxact:name> + <ipxact:displayName>Clock rate</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="externallyDriven" type="bit"> + <ipxact:name>externallyDriven</ipxact:name> + <ipxact:displayName>Externally driven</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ptfSchematicName" type="string"> + <ipxact:name>ptfSchematicName</ipxact:name> + <ipxact:displayName>PTF schematic name</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_set_locktodata</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_set_locktodata</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_set_locktodata</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_set_locktoref</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_set_locktoref</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_set_locktoref</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_is_lockedtoref</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_is_lockedtoref</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_is_lockedtoref</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>rx_is_lockedtodata</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_is_lockedtodata</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_is_lockedtodata</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>serial_connection</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rxp</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rxp</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>txp</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>txp</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>serdes_control_connection</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>export</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_recovclkout</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </ipxact:busInterface> + </ipxact:busInterfaces> + <ipxact:model> + <ipxact:views> + <ipxact:view> + <ipxact:name>QUARTUS_SYNTH</ipxact:name> + <ipxact:envIdentifier>:quartus.altera.com:</ipxact:envIdentifier> + <ipxact:componentInstantiationRef>QUARTUS_SYNTH</ipxact:componentInstantiationRef> + </ipxact:view> + </ipxact:views> + <ipxact:instantiations> + <ipxact:componentInstantiation> + <ipxact:name>QUARTUS_SYNTH</ipxact:name> + <ipxact:moduleName>altera_eth_tse</ipxact:moduleName> + <ipxact:fileSetRef> + <ipxact:localName>QUARTUS_SYNTH</ipxact:localName> + </ipxact:fileSetRef> + </ipxact:componentInstantiation> + </ipxact:instantiations> + <ipxact:ports> + <ipxact:port> + <ipxact:name>ff_tx_clk</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>ff_rx_clk</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>ff_rx_data</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>31</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>ff_rx_eop</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_err</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>5</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>ff_rx_mod</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>1</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>ff_rx_rdy</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>ff_rx_sop</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>ff_rx_dval</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>ff_tx_data</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>31</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>ff_tx_eop</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>ff_tx_err</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>ff_tx_mod</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>1</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>ff_tx_rdy</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>ff_tx_sop</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>ff_tx_wren</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>ff_tx_crc_fwd</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>ff_tx_septy</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_ff_uflow</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>ff_tx_a_full</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>ff_tx_a_empty</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_err_stat</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>17</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_frm_type</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>3</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>ff_rx_dsav</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>ff_rx_a_full</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>ff_rx_a_empty</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>clk</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>reset</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>reg_data_out</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>31</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>reg_rd</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>reg_data_in</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>31</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>reg_wr</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>reg_busy</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>reg_addr</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>7</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>ref_clk</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>led_crs</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>led_link</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>led_panel_link</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>led_col</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>led_an</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>led_char_err</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>led_disp_err</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_analogreset</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_digitalreset</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_analogreset</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_digitalreset</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_cal_busy</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_cal_busy</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_serial_clk</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_cdr_refclk</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_set_locktodata</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_set_locktoref</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_is_lockedtoref</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_is_lockedtodata</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rxp</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>txp</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_recovclkout</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + </ipxact:ports> + </ipxact:model> + <ipxact:vendorExtensions> + <altera:entity_info> + <ipxact:vendor>Intel Corporation</ipxact:vendor> + <ipxact:library>ip_arria10_e2sg_tse_sgmii_gx</ipxact:library> + <ipxact:name>altera_eth_tse</ipxact:name> + <ipxact:version>19.4.0</ipxact:version> + </altera:entity_info> + <altera:altera_module_parameters> + <ipxact:parameters> + <ipxact:parameter parameterId="deviceFamilyName" type="string"> + <ipxact:name>deviceFamilyName</ipxact:name> + <ipxact:displayName>Device Family</ipxact:displayName> + <ipxact:value>Arria 10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="deviceDieList" type="string"> + <ipxact:name>deviceDieList</ipxact:name> + <ipxact:displayName>Device Die Type</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_padding" type="bit"> + <ipxact:name>enable_padding</ipxact:name> + <ipxact:displayName>enable_padding</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_lgth_check" type="bit"> + <ipxact:name>enable_lgth_check</ipxact:name> + <ipxact:displayName>enable_lgth_check</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gbit_only" type="bit"> + <ipxact:name>gbit_only</ipxact:name> + <ipxact:displayName>gbit_only</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="mbit_only" type="bit"> + <ipxact:name>mbit_only</ipxact:name> + <ipxact:displayName>mbit_only</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="reduced_control" type="bit"> + <ipxact:name>reduced_control</ipxact:name> + <ipxact:displayName>reduced_control</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="core_version" type="int"> + <ipxact:name>core_version</ipxact:name> + <ipxact:displayName>core_version</ipxact:displayName> + <ipxact:value>4868</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="dev_version" type="int"> + <ipxact:name>dev_version</ipxact:name> + <ipxact:displayName>dev_version</ipxact:displayName> + <ipxact:value>4868</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="eg_fifo" type="int"> + <ipxact:name>eg_fifo</ipxact:name> + <ipxact:displayName>eg_fifo</ipxact:displayName> + <ipxact:value>2048</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ing_fifo" type="int"> + <ipxact:name>ing_fifo</ipxact:name> + <ipxact:displayName>ing_fifo</ipxact:displayName> + <ipxact:value>2048</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="reduced_interface_ena" type="bit"> + <ipxact:name>reduced_interface_ena</ipxact:name> + <ipxact:displayName>reduced_interface_ena</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="synchronizer_depth" type="int"> + <ipxact:name>synchronizer_depth</ipxact:name> + <ipxact:displayName>synchronizer_depth</ipxact:displayName> + <ipxact:value>3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="deviceFamily" type="string"> + <ipxact:name>deviceFamily</ipxact:name> + <ipxact:displayName>deviceFamily</ipxact:displayName> + <ipxact:value>ARRIA10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="isUseMAC" type="bit"> + <ipxact:name>isUseMAC</ipxact:name> + <ipxact:displayName>isUseMAC</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="isUsePCS" type="bit"> + <ipxact:name>isUsePCS</ipxact:name> + <ipxact:displayName>isUsePCS</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="isUsePCS_2xTBI" type="bit"> + <ipxact:name>isUsePCS_2xTBI</ipxact:name> + <ipxact:displayName>isUsePCS_2xTBI</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_clk_sharing" type="bit"> + <ipxact:name>enable_clk_sharing</ipxact:name> + <ipxact:displayName>enable_clk_sharing</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="core_variation" type="string"> + <ipxact:name>core_variation</ipxact:name> + <ipxact:displayName>Core variation</ipxact:displayName> + <ipxact:value>MAC_PCS</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_hidden_features" type="bit"> + <ipxact:name>enable_hidden_features</ipxact:name> + <ipxact:displayName>Enable hidden features</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ifGMII" type="string"> + <ipxact:name>ifGMII</ipxact:name> + <ipxact:displayName>Interface</ipxact:displayName> + <ipxact:value>MII_GMII</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="use_mac_clken" type="bit"> + <ipxact:name>use_mac_clken</ipxact:name> + <ipxact:displayName>Use clock enable for MAC</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_use_internal_fifo" type="bit"> + <ipxact:name>enable_use_internal_fifo</ipxact:name> + <ipxact:displayName>Use internal FIFO</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_ecc" type="bit"> + <ipxact:name>enable_ecc</ipxact:name> + <ipxact:displayName>Enable ECC protection</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="max_channels" type="int"> + <ipxact:name>max_channels</ipxact:name> + <ipxact:displayName>Number of ports</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="use_misc_ports" type="bit"> + <ipxact:name>use_misc_ports</ipxact:name> + <ipxact:displayName>Use misc ports</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="transceiver_type" type="string"> + <ipxact:name>transceiver_type</ipxact:name> + <ipxact:displayName>Transceiver type</ipxact:displayName> + <ipxact:value>GXB</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_hd_logic" type="bit"> + <ipxact:name>enable_hd_logic</ipxact:name> + <ipxact:displayName>Enable MAC 10/100 half duplex support</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_gmii_loopback" type="bit"> + <ipxact:name>enable_gmii_loopback</ipxact:name> + <ipxact:displayName>Enable local loopback on MII/GMII</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_sup_addr" type="bit"> + <ipxact:name>enable_sup_addr</ipxact:name> + <ipxact:displayName>Enable supplemental MAC unicast addresses</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="stat_cnt_ena" type="bit"> + <ipxact:name>stat_cnt_ena</ipxact:name> + <ipxact:displayName>Include statistics counters</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ext_stat_cnt_ena" type="bit"> + <ipxact:name>ext_stat_cnt_ena</ipxact:name> + <ipxact:displayName>Enable 64-bit statistics byte counters</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ena_hash" type="bit"> + <ipxact:name>ena_hash</ipxact:name> + <ipxact:displayName>Include multicast hashtable</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_shift16" type="bit"> + <ipxact:name>enable_shift16</ipxact:name> + <ipxact:displayName>Align packet headers to 32-bit boundary</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_mac_flow_ctrl" type="bit"> + <ipxact:name>enable_mac_flow_ctrl</ipxact:name> + <ipxact:displayName>Enable full-duplex flow control</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_mac_vlan" type="bit"> + <ipxact:name>enable_mac_vlan</ipxact:name> + <ipxact:displayName>Enable VLAN detection</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_magic_detect" type="bit"> + <ipxact:name>enable_magic_detect</ipxact:name> + <ipxact:displayName>Enable magic packet detection</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="useMDIO" type="bit"> + <ipxact:name>useMDIO</ipxact:name> + <ipxact:displayName>Include MDIO module (MDC/MDIO)</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="mdio_clk_div" type="int"> + <ipxact:name>mdio_clk_div</ipxact:name> + <ipxact:displayName>Host clock divisor</ipxact:displayName> + <ipxact:value>40</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_ena" type="int"> + <ipxact:name>enable_ena</ipxact:name> + <ipxact:displayName>Width</ipxact:displayName> + <ipxact:value>32</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="eg_addr" type="int"> + <ipxact:name>eg_addr</ipxact:name> + <ipxact:displayName>Transmit</ipxact:displayName> + <ipxact:value>11</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ing_addr" type="int"> + <ipxact:name>ing_addr</ipxact:name> + <ipxact:displayName>Receive</ipxact:displayName> + <ipxact:value>11</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="phy_identifier" type="int"> + <ipxact:name>phy_identifier</ipxact:name> + <ipxact:displayName>PHY ID (32 bit)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_sgmii" type="bit"> + <ipxact:name>enable_sgmii</ipxact:name> + <ipxact:displayName>Enable SGMII bridge</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="export_pwrdn" type="bit"> + <ipxact:name>export_pwrdn</ipxact:name> + <ipxact:displayName>Export transceiver powerdown signal</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_alt_reconfig" type="bit"> + <ipxact:name>enable_alt_reconfig</ipxact:name> + <ipxact:displayName>Enable transceiver dynamic reconfiguration</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="starting_channel_number" type="int"> + <ipxact:name>starting_channel_number</ipxact:name> + <ipxact:displayName>Starting channel number</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="phyip_pll_type" type="string"> + <ipxact:name>phyip_pll_type</ipxact:name> + <ipxact:displayName>TX PLLs type</ipxact:displayName> + <ipxact:value>CMU</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="phyip_pll_base_data_rate" type="string"> + <ipxact:name>phyip_pll_base_data_rate</ipxact:name> + <ipxact:displayName>TX PLL Base Data Rate</ipxact:displayName> + <ipxact:value>1250 Mbps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="phyip_en_synce_support" type="bit"> + <ipxact:name>phyip_en_synce_support</ipxact:name> + <ipxact:displayName>Enable SyncE Support</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="phyip_pma_bonding_mode" type="string"> + <ipxact:name>phyip_pma_bonding_mode</ipxact:name> + <ipxact:displayName>TX PLL clock network</ipxact:displayName> + <ipxact:value>x1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="nf_phyip_rcfg_enable" type="bit"> + <ipxact:name>nf_phyip_rcfg_enable</ipxact:name> + <ipxact:displayName>Enable Arria 10 or Cyclone 10 transceiver dynamic reconfiguration</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="XCVR_RCFG_JTAG_ENABLE" type="int"> + <ipxact:name>XCVR_RCFG_JTAG_ENABLE</ipxact:name> + <ipxact:displayName>Enable Native PHY Debug Master Endpoint (NPDME)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="XCVR_SET_CAPABILITY_REG_ENABLE" type="int"> + <ipxact:name>XCVR_SET_CAPABILITY_REG_ENABLE</ipxact:name> + <ipxact:displayName>Enable capability registers</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="XCVR_SET_USER_IDENTIFIER" type="int"> + <ipxact:name>XCVR_SET_USER_IDENTIFIER</ipxact:name> + <ipxact:displayName>Set user-defined IP identifier</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="XCVR_SET_CSR_SOFT_LOGIC_ENABLE" type="int"> + <ipxact:name>XCVR_SET_CSR_SOFT_LOGIC_ENABLE</ipxact:name> + <ipxact:displayName>Enable control and status registers</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="XCVR_SET_PRBS_SOFT_LOGIC_ENABLE" type="int"> + <ipxact:name>XCVR_SET_PRBS_SOFT_LOGIC_ENABLE</ipxact:name> + <ipxact:displayName>Enable PRBS soft accumulators</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="nf_lvds_iopll_num_channels" type="int"> + <ipxact:name>nf_lvds_iopll_num_channels</ipxact:name> + <ipxact:displayName>IO PLL sharing among LVDS channels</ipxact:displayName> + <ipxact:value>4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="part_trait_bd" type="string"> + <ipxact:name>part_trait_bd</ipxact:name> + <ipxact:displayName>Base Device</ipxact:displayName> + <ipxact:value>NIGHTFURY5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="XCVR_TILE" type="string"> + <ipxact:name>XCVR_TILE</ipxact:name> + <ipxact:displayName>Transceiver Tile</ipxact:displayName> + <ipxact:value>htile</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="s10_xcvr_ip_name" type="string"> + <ipxact:name>s10_xcvr_ip_name</ipxact:name> + <ipxact:displayName>s10_xcvr_ip_name</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="nd_phyip_rcfg_enable" type="bit"> + <ipxact:name>nd_phyip_rcfg_enable</ipxact:name> + <ipxact:displayName>Enable E-tile transceiver dynamic reconfiguration</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ND_XCVR_RCFG_JTAG_ENABLE" type="int"> + <ipxact:name>ND_XCVR_RCFG_JTAG_ENABLE</ipxact:name> + <ipxact:displayName>Enable Native PHY Debug Master Endpoint (NPDME)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ND_XCVR_SET_CAPABILITY_REG_ENABLE" type="int"> + <ipxact:name>ND_XCVR_SET_CAPABILITY_REG_ENABLE</ipxact:name> + <ipxact:displayName>Enable capability registers</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ND_XCVR_SET_USER_IDENTIFIER" type="int"> + <ipxact:name>ND_XCVR_SET_USER_IDENTIFIER</ipxact:name> + <ipxact:displayName>Set user-defined IP identifier</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ND_XCVR_SET_CSR_SOFT_LOGIC_ENABLE" type="int"> + <ipxact:name>ND_XCVR_SET_CSR_SOFT_LOGIC_ENABLE</ipxact:name> + <ipxact:displayName>Enable control and status registers</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_timestamping" type="bit"> + <ipxact:name>enable_timestamping</ipxact:name> + <ipxact:displayName>Enable timestamping</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_ptp_1step" type="bit"> + <ipxact:name>enable_ptp_1step</ipxact:name> + <ipxact:displayName>Enable PTP 1-step clock</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="tstamp_fp_width" type="int"> + <ipxact:name>tstamp_fp_width</ipxact:name> + <ipxact:displayName>Timestamp fingerprint width</ipxact:displayName> + <ipxact:value>4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcp_load_enable" type="int"> + <ipxact:name>rcp_load_enable</ipxact:name> + <ipxact:displayName>Enable adaptation load soft IP</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_adpt_multi_recipe" type="int"> + <ipxact:name>enable_adpt_multi_recipe</ipxact:name> + <ipxact:displayName>enable_adpt_multi_recipe</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cal_recipe_sel" type="string"> + <ipxact:name>cal_recipe_sel</ipxact:name> + <ipxact:displayName>PMA adaptation Select </ipxact:displayName> + <ipxact:value>NRZ_28Gbps_VSR</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_pma_adpt_disp" type="int"> + <ipxact:name>enable_pma_adpt_disp</ipxact:name> + <ipxact:displayName>enable_pma_adpt_disp</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ctle_lf_val_a" type="int"> + <ipxact:name>ctle_lf_val_a</ipxact:name> + <ipxact:displayName>GAINLF</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ctle_lf_val_ada_a" type="string"> + <ipxact:name>ctle_lf_val_ada_a</ipxact:name> + <ipxact:displayName>GAINLF Fix/Adaptable</ipxact:displayName> + <ipxact:value>adaptable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ctle_lf_min_a" type="int"> + <ipxact:name>ctle_lf_min_a</ipxact:name> + <ipxact:displayName>CTLE LF Min</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ctle_lf_max_a" type="int"> + <ipxact:name>ctle_lf_max_a</ipxact:name> + <ipxact:displayName>CTLE LF Max</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ctle_hf_val_a" type="int"> + <ipxact:name>ctle_hf_val_a</ipxact:name> + <ipxact:displayName>GAINHF</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ctle_hf_val_ada_a" type="string"> + <ipxact:name>ctle_hf_val_ada_a</ipxact:name> + <ipxact:displayName>GAINHF Fix/Adaptable</ipxact:displayName> + <ipxact:value>adaptable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ctle_hf_min_a" type="int"> + <ipxact:name>ctle_hf_min_a</ipxact:name> + <ipxact:displayName>CTLE HF Min</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ctle_hf_max_a" type="int"> + <ipxact:name>ctle_hf_max_a</ipxact:name> + <ipxact:displayName>CTLE HF Max</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_p2_val_a" type="int"> + <ipxact:name>rf_p2_val_a</ipxact:name> + <ipxact:displayName>RF_P2</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_p2_val_ada_a" type="string"> + <ipxact:name>rf_p2_val_ada_a</ipxact:name> + <ipxact:displayName>RF_P2 Fix/Adaptable</ipxact:displayName> + <ipxact:value>adaptable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_p2_min_a" type="int"> + <ipxact:name>rf_p2_min_a</ipxact:name> + <ipxact:displayName>RF_P2_MIN</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_p2_max_a" type="int"> + <ipxact:name>rf_p2_max_a</ipxact:name> + <ipxact:displayName>RF_P2_MAX</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_p1_val_a" type="int"> + <ipxact:name>rf_p1_val_a</ipxact:name> + <ipxact:displayName>RF_P1</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_p1_val_ada_a" type="string"> + <ipxact:name>rf_p1_val_ada_a</ipxact:name> + <ipxact:displayName>RF_P1 Fix/Adaptable</ipxact:displayName> + <ipxact:value>adaptable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_p1_min_a" type="int"> + <ipxact:name>rf_p1_min_a</ipxact:name> + <ipxact:displayName>RF_P1_MIN</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_p1_max_a" type="int"> + <ipxact:name>rf_p1_max_a</ipxact:name> + <ipxact:displayName>RF_P1_MAX</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_reserved0_a" type="int"> + <ipxact:name>rf_reserved0_a</ipxact:name> + <ipxact:displayName>Reserved 0</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_p0_val_a" type="int"> + <ipxact:name>rf_p0_val_a</ipxact:name> + <ipxact:displayName>RF_P0</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_p0_val_ada_a" type="string"> + <ipxact:name>rf_p0_val_ada_a</ipxact:name> + <ipxact:displayName>RF_P0 Fix/Adaptable</ipxact:displayName> + <ipxact:value>adaptable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_reserved1_a" type="int"> + <ipxact:name>rf_reserved1_a</ipxact:name> + <ipxact:displayName>Reserved 1</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_b0t_a" type="int"> + <ipxact:name>rf_b0t_a</ipxact:name> + <ipxact:displayName>RF_B0T</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ctle_gs1_val_a" type="int"> + <ipxact:name>ctle_gs1_val_a</ipxact:name> + <ipxact:displayName>GS1</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ctle_gs2_val_a" type="int"> + <ipxact:name>ctle_gs2_val_a</ipxact:name> + <ipxact:displayName>GS2</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_b1_a" type="int"> + <ipxact:name>rf_b1_a</ipxact:name> + <ipxact:displayName>RF_B1</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_b1_ada_a" type="string"> + <ipxact:name>rf_b1_ada_a</ipxact:name> + <ipxact:displayName>RF_B1 Fix/Adaptable</ipxact:displayName> + <ipxact:value>adaptable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_b0_a" type="int"> + <ipxact:name>rf_b0_a</ipxact:name> + <ipxact:displayName>RF_B0</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_b0_ada_a" type="string"> + <ipxact:name>rf_b0_ada_a</ipxact:name> + <ipxact:displayName>RF_B0 Fix/Adaptable</ipxact:displayName> + <ipxact:value>adaptable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_a_a" type="int"> + <ipxact:name>rf_a_a</ipxact:name> + <ipxact:displayName>RF_A</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_ctle_frz_a" type="int"> + <ipxact:name>l_ctle_frz_a</ipxact:name> + <ipxact:displayName>l_ctle_frz_a</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_rf_frz_a" type="int"> + <ipxact:name>l_rf_frz_a</ipxact:name> + <ipxact:displayName>l_rf_frz_a</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ctle_lf_val_b" type="int"> + <ipxact:name>ctle_lf_val_b</ipxact:name> + <ipxact:displayName>GAINLF</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ctle_lf_val_ada_b" type="string"> + <ipxact:name>ctle_lf_val_ada_b</ipxact:name> + <ipxact:displayName>GAINLF Fix/Adaptable</ipxact:displayName> + <ipxact:value>adaptable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ctle_lf_min_b" type="int"> + <ipxact:name>ctle_lf_min_b</ipxact:name> + <ipxact:displayName>CTLE LF Min</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ctle_lf_max_b" type="int"> + <ipxact:name>ctle_lf_max_b</ipxact:name> + <ipxact:displayName>CTLE LF Max</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ctle_hf_val_b" type="int"> + <ipxact:name>ctle_hf_val_b</ipxact:name> + <ipxact:displayName>GAINHF</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ctle_hf_val_ada_b" type="string"> + <ipxact:name>ctle_hf_val_ada_b</ipxact:name> + <ipxact:displayName>GAINHF Fix/Adaptable</ipxact:displayName> + <ipxact:value>adaptable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ctle_hf_min_b" type="int"> + <ipxact:name>ctle_hf_min_b</ipxact:name> + <ipxact:displayName>CTLE HF Min</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ctle_hf_max_b" type="int"> + <ipxact:name>ctle_hf_max_b</ipxact:name> + <ipxact:displayName>CTLE HF Max</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_p2_val_b" type="int"> + <ipxact:name>rf_p2_val_b</ipxact:name> + <ipxact:displayName>RF_P2</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_p2_val_ada_b" type="string"> + <ipxact:name>rf_p2_val_ada_b</ipxact:name> + <ipxact:displayName>RF_P2 Fix/Adaptable</ipxact:displayName> + <ipxact:value>adaptable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_p2_min_b" type="int"> + <ipxact:name>rf_p2_min_b</ipxact:name> + <ipxact:displayName>RF_P2_MIN</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_p2_max_b" type="int"> + <ipxact:name>rf_p2_max_b</ipxact:name> + <ipxact:displayName>RF_P2_MAX</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_p1_val_b" type="int"> + <ipxact:name>rf_p1_val_b</ipxact:name> + <ipxact:displayName>RF_P1</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_p1_val_ada_b" type="string"> + <ipxact:name>rf_p1_val_ada_b</ipxact:name> + <ipxact:displayName>RF_P1 Fix/Adaptable</ipxact:displayName> + <ipxact:value>adaptable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_p1_min_b" type="int"> + <ipxact:name>rf_p1_min_b</ipxact:name> + <ipxact:displayName>RF_P1_MIN</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_p1_max_b" type="int"> + <ipxact:name>rf_p1_max_b</ipxact:name> + <ipxact:displayName>RF_P1_MAX</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_reserved0_b" type="int"> + <ipxact:name>rf_reserved0_b</ipxact:name> + <ipxact:displayName>Reserved 0</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_p0_val_b" type="int"> + <ipxact:name>rf_p0_val_b</ipxact:name> + <ipxact:displayName>RF_P0</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_p0_val_ada_b" type="string"> + <ipxact:name>rf_p0_val_ada_b</ipxact:name> + <ipxact:displayName>RF_P0 Fix/Adaptable</ipxact:displayName> + <ipxact:value>adaptable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_reserved1_b" type="int"> + <ipxact:name>rf_reserved1_b</ipxact:name> + <ipxact:displayName>Reserved 1</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_b0t_b" type="int"> + <ipxact:name>rf_b0t_b</ipxact:name> + <ipxact:displayName>RF_B0T</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ctle_gs1_val_b" type="int"> + <ipxact:name>ctle_gs1_val_b</ipxact:name> + <ipxact:displayName>GS1</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ctle_gs2_val_b" type="int"> + <ipxact:name>ctle_gs2_val_b</ipxact:name> + <ipxact:displayName>GS2</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_b1_b" type="int"> + <ipxact:name>rf_b1_b</ipxact:name> + <ipxact:displayName>RF_B1</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_b1_ada_b" type="string"> + <ipxact:name>rf_b1_ada_b</ipxact:name> + <ipxact:displayName>RF_B1 Fix/Adaptable</ipxact:displayName> + <ipxact:value>adaptable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_b0_b" type="int"> + <ipxact:name>rf_b0_b</ipxact:name> + <ipxact:displayName>RF_B0</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_b0_ada_b" type="string"> + <ipxact:name>rf_b0_ada_b</ipxact:name> + <ipxact:displayName>RF_B0 Fix/Adaptable</ipxact:displayName> + <ipxact:value>adaptable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_a_b" type="int"> + <ipxact:name>rf_a_b</ipxact:name> + <ipxact:displayName>RF_A</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_ctle_frz_b" type="int"> + <ipxact:name>l_ctle_frz_b</ipxact:name> + <ipxact:displayName>l_ctle_frz_b</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_rf_frz_b" type="int"> + <ipxact:name>l_rf_frz_b</ipxact:name> + <ipxact:displayName>l_rf_frz_b</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_multi_enable" type="int"> + <ipxact:name>adpt_multi_enable</ipxact:name> + <ipxact:displayName>Enable multiple PMA configuration</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_recipe_cnt" type="int"> + <ipxact:name>adpt_recipe_cnt</ipxact:name> + <ipxact:displayName>Number of PMA configuration</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_recipe_select" type="int"> + <ipxact:name>adpt_recipe_select</ipxact:name> + <ipxact:displayName>Select a PMA configuration to load or store</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_recipe_data0" type="string"> + <ipxact:name>adpt_recipe_data0</ipxact:name> + <ipxact:displayName>adpt_recipe_data0</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_recipe_data1" type="string"> + <ipxact:name>adpt_recipe_data1</ipxact:name> + <ipxact:displayName>adpt_recipe_data1</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_recipe_data2" type="string"> + <ipxact:name>adpt_recipe_data2</ipxact:name> + <ipxact:displayName>adpt_recipe_data2</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_recipe_data3" type="string"> + <ipxact:name>adpt_recipe_data3</ipxact:name> + <ipxact:displayName>adpt_recipe_data3</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_recipe_data4" type="string"> + <ipxact:name>adpt_recipe_data4</ipxact:name> + <ipxact:displayName>adpt_recipe_data4</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_recipe_data5" type="string"> + <ipxact:name>adpt_recipe_data5</ipxact:name> + <ipxact:displayName>adpt_recipe_data5</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_recipe_data6" type="string"> + <ipxact:name>adpt_recipe_data6</ipxact:name> + <ipxact:displayName>adpt_recipe_data6</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_recipe_data7" type="string"> + <ipxact:name>adpt_recipe_data7</ipxact:name> + <ipxact:displayName>adpt_recipe_data7</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_params" type="string"> + <ipxact:name>adpt_params</ipxact:name> + <ipxact:displayName>adpt_params</ipxact:displayName> + <ipxact:value>ctle_lf_val_a,ctle_lf_val_ada_a,ctle_lf_min_a,ctle_lf_max_a,ctle_hf_val_a,ctle_hf_val_ada_a,ctle_hf_min_a,ctle_hf_max_a,rf_p2_val_a,rf_p2_val_ada_a,rf_p2_min_a,rf_p2_max_a,rf_p1_val_a,rf_p1_val_ada_a,rf_p1_min_a,rf_p1_max_a,rf_reserved0_a,rf_p0_val_a,rf_p0_val_ada_a,rf_reserved1_a,rf_b0t_a,ctle_gs1_val_a,ctle_gs2_val_a,rf_b1_a,rf_b1_ada_a,rf_b0_a,rf_b0_ada_a,rf_a_a,ctle_lf_val_b,ctle_lf_val_ada_b,ctle_lf_min_b,ctle_lf_max_b,ctle_hf_val_b,ctle_hf_val_ada_b,ctle_hf_min_b,ctle_hf_max_b,rf_p2_val_b,rf_p2_val_ada_b,rf_p2_min_b,rf_p2_max_b,rf_p1_val_b,rf_p1_val_ada_b,rf_p1_min_b,rf_p1_max_b,rf_reserved0_b,rf_p0_val_b,rf_p0_val_ada_b,rf_reserved1_b,rf_b0t_b,ctle_gs1_val_b,ctle_gs2_val_b,rf_b1_b,rf_b1_ada_b,rf_b0_b,rf_b0_ada_b,rf_a_b</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_params_a" type="string"> + <ipxact:name>adpt_params_a</ipxact:name> + <ipxact:displayName>adpt_params_a</ipxact:displayName> + <ipxact:value>ctle_lf_val_a,ctle_lf_val_ada_a,ctle_lf_min_a,ctle_lf_max_a,ctle_hf_val_a,ctle_hf_val_ada_a,ctle_hf_min_a,ctle_hf_max_a,rf_p2_val_a,rf_p2_val_ada_a,rf_p2_min_a,rf_p2_max_a,rf_p1_val_a,rf_p1_val_ada_a,rf_p1_min_a,rf_p1_max_a,rf_reserved0_a,rf_p0_val_a,rf_p0_val_ada_a,rf_reserved1_a,rf_b0t_a,ctle_gs1_val_a,ctle_gs2_val_a,rf_b1_a,rf_b1_ada_a,rf_b0_a,rf_b0_ada_a,rf_a_a</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_params_b" type="string"> + <ipxact:name>adpt_params_b</ipxact:name> + <ipxact:displayName>adpt_params_b</ipxact:displayName> + <ipxact:value>ctle_lf_val_b,ctle_lf_val_ada_b,ctle_lf_min_b,ctle_lf_max_b,ctle_hf_val_b,ctle_hf_val_ada_b,ctle_hf_min_b,ctle_hf_max_b,rf_p2_val_b,rf_p2_val_ada_b,rf_p2_min_b,rf_p2_max_b,rf_p1_val_b,rf_p1_val_ada_b,rf_p1_min_b,rf_p1_max_b,rf_reserved0_b,rf_p0_val_b,rf_p0_val_ada_b,rf_reserved1_b,rf_b0t_b,ctle_gs1_val_b,ctle_gs2_val_b,rf_b1_b,rf_b1_ada_b,rf_b0_b,rf_b0_ada_b,rf_a_b</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_param_labels_a" type="string"> + <ipxact:name>adpt_param_labels_a</ipxact:name> + <ipxact:displayName>Init. Parameters</ipxact:displayName> + <ipxact:value>GAINLF,GAINLF Fix/Adaptable,CTLE LF Min,CTLE LF Max,GAINHF,GAINHF Fix/Adaptable,CTLE HF Min,CTLE HF Max,RF_P2,RF_P2 Fix/Adaptable,RF_P2_MIN,RF_P2_MAX,RF_P1,RF_P1 Fix/Adaptable,RF_P1_MIN,RF_P1_MAX,Reserved 0,RF_P0,RF_P0 Fix/Adaptable,Reserved 1,RF_B0T,GS1,GS2,RF_B1,RF_B1 Fix/Adaptable,RF_B0,RF_B0 Fix/Adaptable,RF_A</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_param_labels_b" type="string"> + <ipxact:name>adpt_param_labels_b</ipxact:name> + <ipxact:displayName>Cont. Parameters</ipxact:displayName> + <ipxact:value>GAINLF,GAINLF Fix/Adaptable,CTLE LF Min,CTLE LF Max,GAINHF,GAINHF Fix/Adaptable,CTLE HF Min,CTLE HF Max,RF_P2,RF_P2 Fix/Adaptable,RF_P2_MIN,RF_P2_MAX,RF_P1,RF_P1 Fix/Adaptable,RF_P1_MIN,RF_P1_MAX,Reserved 0,RF_P0,RF_P0 Fix/Adaptable,Reserved 1,RF_B0T,GS1,GS2,RF_B1,RF_B1 Fix/Adaptable,RF_B0,RF_B0 Fix/Adaptable,RF_A</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_param_vals0" type="string"> + <ipxact:name>adpt_param_vals0</ipxact:name> + <ipxact:displayName>adpt_param_vals0</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_param_vals1" type="string"> + <ipxact:name>adpt_param_vals1</ipxact:name> + <ipxact:displayName>adpt_param_vals1</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_param_vals2" type="string"> + <ipxact:name>adpt_param_vals2</ipxact:name> + <ipxact:displayName>adpt_param_vals2</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_param_vals3" type="string"> + <ipxact:name>adpt_param_vals3</ipxact:name> + <ipxact:displayName>adpt_param_vals3</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_param_vals4" type="string"> + <ipxact:name>adpt_param_vals4</ipxact:name> + <ipxact:displayName>adpt_param_vals4</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_param_vals5" type="string"> + <ipxact:name>adpt_param_vals5</ipxact:name> + <ipxact:displayName>adpt_param_vals5</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_param_vals6" type="string"> + <ipxact:name>adpt_param_vals6</ipxact:name> + <ipxact:displayName>adpt_param_vals6</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_param_vals7" type="string"> + <ipxact:name>adpt_param_vals7</ipxact:name> + <ipxact:displayName>adpt_param_vals7</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_param_vals0_a" type="string"> + <ipxact:name>adpt_param_vals0_a</ipxact:name> + <ipxact:displayName>PMA configuration 0</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_param_vals1_a" type="string"> + <ipxact:name>adpt_param_vals1_a</ipxact:name> + <ipxact:displayName>PMA configuration 1</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_param_vals2_a" type="string"> + <ipxact:name>adpt_param_vals2_a</ipxact:name> + <ipxact:displayName>PMA configuration 2</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_param_vals3_a" type="string"> + <ipxact:name>adpt_param_vals3_a</ipxact:name> + <ipxact:displayName>PMA configuration 3</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_param_vals4_a" type="string"> + <ipxact:name>adpt_param_vals4_a</ipxact:name> + <ipxact:displayName>PMA configuration 4</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_param_vals5_a" type="string"> + <ipxact:name>adpt_param_vals5_a</ipxact:name> + <ipxact:displayName>PMA configuration 5</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_param_vals6_a" type="string"> + <ipxact:name>adpt_param_vals6_a</ipxact:name> + <ipxact:displayName>PMA configuration 6</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_param_vals7_a" type="string"> + <ipxact:name>adpt_param_vals7_a</ipxact:name> + <ipxact:displayName>PMA configuration 7</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_param_vals0_b" type="string"> + <ipxact:name>adpt_param_vals0_b</ipxact:name> + <ipxact:displayName>PMA configuration 0</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_param_vals1_b" type="string"> + <ipxact:name>adpt_param_vals1_b</ipxact:name> + <ipxact:displayName>PMA configuration 1</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_param_vals2_b" type="string"> + <ipxact:name>adpt_param_vals2_b</ipxact:name> + <ipxact:displayName>PMA configuration 2</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_param_vals3_b" type="string"> + <ipxact:name>adpt_param_vals3_b</ipxact:name> + <ipxact:displayName>PMA configuration 3</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_param_vals4_b" type="string"> + <ipxact:name>adpt_param_vals4_b</ipxact:name> + <ipxact:displayName>PMA configuration 4</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_param_vals5_b" type="string"> + <ipxact:name>adpt_param_vals5_b</ipxact:name> + <ipxact:displayName>PMA configuration 5</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_param_vals6_b" type="string"> + <ipxact:name>adpt_param_vals6_b</ipxact:name> + <ipxact:displayName>PMA configuration 6</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_param_vals7_b" type="string"> + <ipxact:name>adpt_param_vals7_b</ipxact:name> + <ipxact:displayName>PMA configuration 7</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="AUTO_DEVICE" type="string"> + <ipxact:name>AUTO_DEVICE</ipxact:name> + <ipxact:displayName>Auto DEVICE</ipxact:displayName> + <ipxact:value>10AX115U3F45E2SG</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="AUTO_DEVICE_SPEEDGRADE" type="string"> + <ipxact:name>AUTO_DEVICE_SPEEDGRADE</ipxact:name> + <ipxact:displayName>Auto DEVICE_SPEEDGRADE</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_module_parameters> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="embeddedsw.CMacro.ENABLE_MACLITE" type="string"> + <ipxact:name>embeddedsw.CMacro.ENABLE_MACLITE</ipxact:name> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="embeddedsw.CMacro.FIFO_WIDTH" type="string"> + <ipxact:name>embeddedsw.CMacro.FIFO_WIDTH</ipxact:name> + <ipxact:value>32</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="embeddedsw.CMacro.IS_MULTICHANNEL_MAC" type="string"> + <ipxact:name>embeddedsw.CMacro.IS_MULTICHANNEL_MAC</ipxact:name> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="embeddedsw.CMacro.MACLITE_GIGE" type="string"> + <ipxact:name>embeddedsw.CMacro.MACLITE_GIGE</ipxact:name> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="embeddedsw.CMacro.MDIO_SHARED" type="string"> + <ipxact:name>embeddedsw.CMacro.MDIO_SHARED</ipxact:name> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="embeddedsw.CMacro.NUMBER_OF_CHANNEL" type="string"> + <ipxact:name>embeddedsw.CMacro.NUMBER_OF_CHANNEL</ipxact:name> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="embeddedsw.CMacro.NUMBER_OF_MAC_MDIO_SHARED" type="string"> + <ipxact:name>embeddedsw.CMacro.NUMBER_OF_MAC_MDIO_SHARED</ipxact:name> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="embeddedsw.CMacro.PCS" type="string"> + <ipxact:name>embeddedsw.CMacro.PCS</ipxact:name> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="embeddedsw.CMacro.PCS_ID" type="string"> + <ipxact:name>embeddedsw.CMacro.PCS_ID</ipxact:name> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="embeddedsw.CMacro.PCS_SGMII" type="string"> + <ipxact:name>embeddedsw.CMacro.PCS_SGMII</ipxact:name> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="embeddedsw.CMacro.RECEIVE_FIFO_DEPTH" type="string"> + <ipxact:name>embeddedsw.CMacro.RECEIVE_FIFO_DEPTH</ipxact:name> + <ipxact:value>2048</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="embeddedsw.CMacro.REGISTER_SHARED" type="string"> + <ipxact:name>embeddedsw.CMacro.REGISTER_SHARED</ipxact:name> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="embeddedsw.CMacro.RGMII" type="string"> + <ipxact:name>embeddedsw.CMacro.RGMII</ipxact:name> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="embeddedsw.CMacro.TRANSMIT_FIFO_DEPTH" type="string"> + <ipxact:name>embeddedsw.CMacro.TRANSMIT_FIFO_DEPTH</ipxact:name> + <ipxact:value>2048</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="embeddedsw.CMacro.USE_MDIO" type="string"> + <ipxact:name>embeddedsw.CMacro.USE_MDIO</ipxact:name> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="embeddedsw.dts.compatible" type="string"> + <ipxact:name>embeddedsw.dts.compatible</ipxact:name> + <ipxact:value>altr,tse-1.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="embeddedsw.dts.group" type="string"> + <ipxact:name>embeddedsw.dts.group</ipxact:name> + <ipxact:value>ethernet</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="embeddedsw.dts.name" type="string"> + <ipxact:name>embeddedsw.dts.name</ipxact:name> + <ipxact:value>tse</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="embeddedsw.dts.params.ALTR,rx-fifo-depth" type="string"> + <ipxact:name>embeddedsw.dts.params.ALTR,rx-fifo-depth</ipxact:name> + <ipxact:value>2048</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="embeddedsw.dts.params.ALTR,tx-fifo-depth" type="string"> + <ipxact:name>embeddedsw.dts.params.ALTR,tx-fifo-depth</ipxact:name> + <ipxact:value>2048</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="embeddedsw.dts.vendor" type="string"> + <ipxact:name>embeddedsw.dts.vendor</ipxact:name> + <ipxact:value>altr</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + <altera:altera_system_parameters> + <ipxact:parameters> + <ipxact:parameter parameterId="device" type="string"> + <ipxact:name>device</ipxact:name> + <ipxact:displayName>Device</ipxact:displayName> + <ipxact:value>10AX115U3F45E2SG</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="deviceFamily" type="string"> + <ipxact:name>deviceFamily</ipxact:name> + <ipxact:displayName>Device family</ipxact:displayName> + <ipxact:value>Arria 10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="deviceSpeedGrade" type="string"> + <ipxact:name>deviceSpeedGrade</ipxact:name> + <ipxact:displayName>Device Speed Grade</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="generationId" type="int"> + <ipxact:name>generationId</ipxact:name> + <ipxact:displayName>Generation Id</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="bonusData" type="string"> + <ipxact:name>bonusData</ipxact:name> + <ipxact:displayName>bonusData</ipxact:displayName> + <ipxact:value>bonusData +{ + element eth_tse_0 + { + datum _sortIndex + { + value = "0"; + type = "int"; + } + } +} +</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hideFromIPCatalog" type="bit"> + <ipxact:name>hideFromIPCatalog</ipxact:name> + <ipxact:displayName>Hide from IP Catalog</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="lockedInterfaceDefinition" type="string"> + <ipxact:name>lockedInterfaceDefinition</ipxact:name> + <ipxact:displayName>lockedInterfaceDefinition</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="systemInfos" type="string"> + <ipxact:name>systemInfos</ipxact:name> + <ipxact:displayName>systemInfos</ipxact:displayName> + <ipxact:value><systemInfosDefinition> + <connPtSystemInfos> + <entry> + <key>control_port</key> + <value> + <connectionPointName>control_port</connectionPointName> + <suppliedSystemInfos/> + <consumedSystemInfos> + <entry> + <key>ADDRESS_MAP</key> + <value>&lt;address-map&gt;&lt;slave name='control_port' start='0x0' end='0x400' datawidth='32' /&gt;&lt;/address-map&gt;</value> + </entry> + <entry> + <key>ADDRESS_WIDTH</key> + <value>10</value> + </entry> + <entry> + <key>MAX_SLAVE_DATA_WIDTH</key> + <value>32</value> + </entry> + </consumedSystemInfos> + </value> + </entry> + </connPtSystemInfos> +</systemInfosDefinition></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_system_parameters> + <altera:altera_interface_boundary> + <altera:interface_mapping altera:name="control_port" altera:internal="eth_tse_0.control_port" altera:type="avalon" altera:dir="end"> + <altera:port_mapping altera:name="reg_addr" altera:internal="reg_addr"></altera:port_mapping> + <altera:port_mapping altera:name="reg_busy" altera:internal="reg_busy"></altera:port_mapping> + <altera:port_mapping altera:name="reg_data_in" altera:internal="reg_data_in"></altera:port_mapping> + <altera:port_mapping altera:name="reg_data_out" altera:internal="reg_data_out"></altera:port_mapping> + <altera:port_mapping altera:name="reg_rd" altera:internal="reg_rd"></altera:port_mapping> + <altera:port_mapping altera:name="reg_wr" altera:internal="reg_wr"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="control_port_clock_connection" altera:internal="eth_tse_0.control_port_clock_connection" altera:type="clock" altera:dir="end"> + <altera:port_mapping altera:name="clk" altera:internal="clk"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="mac_gmii_connection" altera:internal="eth_tse_0.mac_gmii_connection"></altera:interface_mapping> + <altera:interface_mapping altera:name="mac_mii_connection" altera:internal="eth_tse_0.mac_mii_connection"></altera:interface_mapping> + <altera:interface_mapping altera:name="mac_misc_connection" altera:internal="eth_tse_0.mac_misc_connection" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="ff_rx_a_empty" altera:internal="ff_rx_a_empty"></altera:port_mapping> + <altera:port_mapping altera:name="ff_rx_a_full" altera:internal="ff_rx_a_full"></altera:port_mapping> + <altera:port_mapping altera:name="ff_rx_dsav" altera:internal="ff_rx_dsav"></altera:port_mapping> + <altera:port_mapping altera:name="ff_tx_a_empty" altera:internal="ff_tx_a_empty"></altera:port_mapping> + <altera:port_mapping altera:name="ff_tx_a_full" altera:internal="ff_tx_a_full"></altera:port_mapping> + <altera:port_mapping altera:name="ff_tx_crc_fwd" altera:internal="ff_tx_crc_fwd"></altera:port_mapping> + <altera:port_mapping altera:name="ff_tx_septy" altera:internal="ff_tx_septy"></altera:port_mapping> + <altera:port_mapping altera:name="rx_err_stat" altera:internal="rx_err_stat"></altera:port_mapping> + <altera:port_mapping altera:name="rx_frm_type" altera:internal="rx_frm_type"></altera:port_mapping> + <altera:port_mapping altera:name="tx_ff_uflow" altera:internal="tx_ff_uflow"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="mac_status_connection" altera:internal="eth_tse_0.mac_status_connection"></altera:interface_mapping> + <altera:interface_mapping altera:name="pcs_mac_rx_clock_connection" altera:internal="eth_tse_0.pcs_mac_rx_clock_connection"></altera:interface_mapping> + <altera:interface_mapping altera:name="pcs_mac_tx_clock_connection" altera:internal="eth_tse_0.pcs_mac_tx_clock_connection"></altera:interface_mapping> + <altera:interface_mapping altera:name="pcs_ref_clk_clock_connection" altera:internal="eth_tse_0.pcs_ref_clk_clock_connection" altera:type="clock" altera:dir="end"> + <altera:port_mapping altera:name="ref_clk" altera:internal="ref_clk"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="receive" altera:internal="eth_tse_0.receive" altera:type="avalon_streaming" altera:dir="start"> + <altera:port_mapping altera:name="ff_rx_data" altera:internal="ff_rx_data"></altera:port_mapping> + <altera:port_mapping altera:name="ff_rx_dval" altera:internal="ff_rx_dval"></altera:port_mapping> + <altera:port_mapping altera:name="ff_rx_eop" altera:internal="ff_rx_eop"></altera:port_mapping> + <altera:port_mapping altera:name="ff_rx_mod" altera:internal="ff_rx_mod"></altera:port_mapping> + <altera:port_mapping altera:name="ff_rx_rdy" altera:internal="ff_rx_rdy"></altera:port_mapping> + <altera:port_mapping altera:name="ff_rx_sop" altera:internal="ff_rx_sop"></altera:port_mapping> + <altera:port_mapping altera:name="rx_err" altera:internal="rx_err"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="receive_clock_connection" altera:internal="eth_tse_0.receive_clock_connection" altera:type="clock" altera:dir="end"> + <altera:port_mapping altera:name="ff_rx_clk" altera:internal="ff_rx_clk"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="reset_connection" altera:internal="eth_tse_0.reset_connection" altera:type="reset" altera:dir="end"> + <altera:port_mapping altera:name="reset" altera:internal="reset"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_analogreset" altera:internal="eth_tse_0.rx_analogreset" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_analogreset" altera:internal="rx_analogreset"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_cal_busy" altera:internal="eth_tse_0.rx_cal_busy" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_cal_busy" altera:internal="rx_cal_busy"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_cdr_refclk" altera:internal="eth_tse_0.rx_cdr_refclk" altera:type="clock" altera:dir="end"> + <altera:port_mapping altera:name="rx_cdr_refclk" altera:internal="rx_cdr_refclk"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_digitalreset" altera:internal="eth_tse_0.rx_digitalreset" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_digitalreset" altera:internal="rx_digitalreset"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_is_lockedtodata" altera:internal="eth_tse_0.rx_is_lockedtodata" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_is_lockedtodata" altera:internal="rx_is_lockedtodata"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_is_lockedtoref" altera:internal="eth_tse_0.rx_is_lockedtoref" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_is_lockedtoref" altera:internal="rx_is_lockedtoref"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_set_locktodata" altera:internal="eth_tse_0.rx_set_locktodata" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_set_locktodata" altera:internal="rx_set_locktodata"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="rx_set_locktoref" altera:internal="eth_tse_0.rx_set_locktoref" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_set_locktoref" altera:internal="rx_set_locktoref"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="serdes_control_connection" altera:internal="eth_tse_0.serdes_control_connection" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_recovclkout" altera:internal="rx_recovclkout"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="serial_connection" altera:internal="eth_tse_0.serial_connection" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rxp" altera:internal="rxp"></altera:port_mapping> + <altera:port_mapping altera:name="txp" altera:internal="txp"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="status_led_connection" altera:internal="eth_tse_0.status_led_connection" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="led_an" altera:internal="led_an"></altera:port_mapping> + <altera:port_mapping altera:name="led_char_err" altera:internal="led_char_err"></altera:port_mapping> + <altera:port_mapping altera:name="led_col" altera:internal="led_col"></altera:port_mapping> + <altera:port_mapping altera:name="led_crs" altera:internal="led_crs"></altera:port_mapping> + <altera:port_mapping altera:name="led_disp_err" altera:internal="led_disp_err"></altera:port_mapping> + <altera:port_mapping altera:name="led_link" altera:internal="led_link"></altera:port_mapping> + <altera:port_mapping altera:name="led_panel_link" altera:internal="led_panel_link"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tbi_connection" altera:internal="eth_tse_0.tbi_connection"></altera:interface_mapping> + <altera:interface_mapping altera:name="transmit" altera:internal="eth_tse_0.transmit" altera:type="avalon_streaming" altera:dir="end"> + <altera:port_mapping altera:name="ff_tx_data" altera:internal="ff_tx_data"></altera:port_mapping> + <altera:port_mapping altera:name="ff_tx_eop" altera:internal="ff_tx_eop"></altera:port_mapping> + <altera:port_mapping altera:name="ff_tx_err" altera:internal="ff_tx_err"></altera:port_mapping> + <altera:port_mapping altera:name="ff_tx_mod" altera:internal="ff_tx_mod"></altera:port_mapping> + <altera:port_mapping altera:name="ff_tx_rdy" altera:internal="ff_tx_rdy"></altera:port_mapping> + <altera:port_mapping altera:name="ff_tx_sop" altera:internal="ff_tx_sop"></altera:port_mapping> + <altera:port_mapping altera:name="ff_tx_wren" altera:internal="ff_tx_wren"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="transmit_clock_connection" altera:internal="eth_tse_0.transmit_clock_connection" altera:type="clock" altera:dir="end"> + <altera:port_mapping altera:name="ff_tx_clk" altera:internal="ff_tx_clk"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_analogreset" altera:internal="eth_tse_0.tx_analogreset" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_analogreset" altera:internal="tx_analogreset"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_cal_busy" altera:internal="eth_tse_0.tx_cal_busy" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_cal_busy" altera:internal="tx_cal_busy"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_digitalreset" altera:internal="eth_tse_0.tx_digitalreset" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="tx_digitalreset" altera:internal="tx_digitalreset"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tx_serial_clk" altera:internal="eth_tse_0.tx_serial_clk" altera:type="hssi_serial_clock" altera:dir="end"> + <altera:port_mapping altera:name="tx_serial_clk" altera:internal="tx_serial_clk"></altera:port_mapping> + </altera:interface_mapping> + </altera:altera_interface_boundary> + <altera:altera_has_warnings>false</altera:altera_has_warnings> + <altera:altera_has_errors>false</altera:altera_has_errors> + </ipxact:vendorExtensions> +</ipxact:component> \ No newline at end of file diff --git a/libraries/technology/ip_arria10_e2sg/tse_sgmii_gx/ip_arria10_e2sg_tse_sgmii_gx.qsys b/libraries/technology/ip_arria10_e2sg/tse_sgmii_gx/ip_arria10_e2sg_tse_sgmii_gx.qsys deleted file mode 100644 index 0395404ec5..0000000000 --- a/libraries/technology/ip_arria10_e2sg/tse_sgmii_gx/ip_arria10_e2sg_tse_sgmii_gx.qsys +++ /dev/null @@ -1,409 +0,0 @@ -<?xml version="1.0" encoding="UTF-8"?> -<system name="ip_arria10_e2sg_tse_sgmii_gx"> - <component - name="$${FILENAME}" - displayName="$${FILENAME}" - version="1.0" - description="" - tags="AUTHORSHIP=Intel Corporation /// INTERNAL_COMPONENT=true" - categories="System" - tool="QsysStandard" /> - <parameter name="bonusData"><![CDATA[bonusData -{ - element $system - { - } - element eth_tse_0 - { - datum _sortIndex - { - value = "0"; - type = "int"; - } - } -} -]]></parameter> - <parameter name="device" value="10AX115U3F45E2SG" /> - <parameter name="deviceFamily" value="Arria 10" /> - <parameter name="deviceSpeedGrade" value="2" /> - <parameter name="fabricMode" value="QSYS" /> - <parameter name="generateLegacySim" value="false" /> - <parameter name="generationId" value="0" /> - <parameter name="globalResetBus" value="false" /> - <parameter name="hdlLanguage" value="VERILOG" /> - <parameter name="hideFromIPCatalog" value="true" /> - <parameter name="lockedInterfaceDefinition" value="" /> - <parameter name="sopcBorderPoints" value="false" /> - <parameter name="systemHash" value="0" /> - <parameter name="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos> - <entry> - <key>control_port</key> - <value> - <connectionPointName>control_port</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='control_port' start='0x0' end='0x400' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>10</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> -</systemInfosDefinition>]]></parameter> - <parameter name="systemScripts" value="" /> - <parameter name="testBenchDutName" value="" /> - <parameter name="timeStamp" value="0" /> - <parameter name="useTestBenchNamingPattern" value="false" /> - <instanceScript></instanceScript> - <interface - name="control_port" - internal="eth_tse_0.control_port" - type="avalon" - dir="end"> - <port name="reg_addr" internal="reg_addr" /> - <port name="reg_busy" internal="reg_busy" /> - <port name="reg_data_in" internal="reg_data_in" /> - <port name="reg_data_out" internal="reg_data_out" /> - <port name="reg_rd" internal="reg_rd" /> - <port name="reg_wr" internal="reg_wr" /> - </interface> - <interface - name="control_port_clock_connection" - internal="eth_tse_0.control_port_clock_connection" - type="clock" - dir="end"> - <port name="clk" internal="clk" /> - </interface> - <interface name="mac_gmii_connection" internal="eth_tse_0.mac_gmii_connection" /> - <interface name="mac_mii_connection" internal="eth_tse_0.mac_mii_connection" /> - <interface - name="mac_misc_connection" - internal="eth_tse_0.mac_misc_connection" - type="conduit" - dir="end"> - <port name="ff_rx_a_empty" internal="ff_rx_a_empty" /> - <port name="ff_rx_a_full" internal="ff_rx_a_full" /> - <port name="ff_rx_dsav" internal="ff_rx_dsav" /> - <port name="ff_tx_a_empty" internal="ff_tx_a_empty" /> - <port name="ff_tx_a_full" internal="ff_tx_a_full" /> - <port name="ff_tx_crc_fwd" internal="ff_tx_crc_fwd" /> - <port name="ff_tx_septy" internal="ff_tx_septy" /> - <port name="rx_err_stat" internal="rx_err_stat" /> - <port name="rx_frm_type" internal="rx_frm_type" /> - <port name="tx_ff_uflow" internal="tx_ff_uflow" /> - </interface> - <interface - name="mac_status_connection" - internal="eth_tse_0.mac_status_connection" /> - <interface - name="pcs_mac_rx_clock_connection" - internal="eth_tse_0.pcs_mac_rx_clock_connection" /> - <interface - name="pcs_mac_tx_clock_connection" - internal="eth_tse_0.pcs_mac_tx_clock_connection" /> - <interface - name="pcs_ref_clk_clock_connection" - internal="eth_tse_0.pcs_ref_clk_clock_connection" - type="clock" - dir="end"> - <port name="ref_clk" internal="ref_clk" /> - </interface> - <interface - name="receive" - internal="eth_tse_0.receive" - type="avalon_streaming" - dir="start"> - <port name="ff_rx_data" internal="ff_rx_data" /> - <port name="ff_rx_dval" internal="ff_rx_dval" /> - <port name="ff_rx_eop" internal="ff_rx_eop" /> - <port name="ff_rx_mod" internal="ff_rx_mod" /> - <port name="ff_rx_rdy" internal="ff_rx_rdy" /> - <port name="ff_rx_sop" internal="ff_rx_sop" /> - <port name="rx_err" internal="rx_err" /> - </interface> - <interface - name="receive_clock_connection" - internal="eth_tse_0.receive_clock_connection" - type="clock" - dir="end"> - <port name="ff_rx_clk" internal="ff_rx_clk" /> - </interface> - <interface - name="reset_connection" - internal="eth_tse_0.reset_connection" - type="reset" - dir="end"> - <port name="reset" internal="reset" /> - </interface> - <interface - name="rx_analogreset" - internal="eth_tse_0.rx_analogreset" - type="conduit" - dir="end"> - <port name="rx_analogreset" internal="rx_analogreset" /> - </interface> - <interface - name="rx_cal_busy" - internal="eth_tse_0.rx_cal_busy" - type="conduit" - dir="end"> - <port name="rx_cal_busy" internal="rx_cal_busy" /> - </interface> - <interface - name="rx_cdr_refclk" - internal="eth_tse_0.rx_cdr_refclk" - type="clock" - dir="end"> - <port name="rx_cdr_refclk" internal="rx_cdr_refclk" /> - </interface> - <interface - name="rx_digitalreset" - internal="eth_tse_0.rx_digitalreset" - type="conduit" - dir="end"> - <port name="rx_digitalreset" internal="rx_digitalreset" /> - </interface> - <interface - name="rx_is_lockedtodata" - internal="eth_tse_0.rx_is_lockedtodata" - type="conduit" - dir="end"> - <port name="rx_is_lockedtodata" internal="rx_is_lockedtodata" /> - </interface> - <interface - name="rx_is_lockedtoref" - internal="eth_tse_0.rx_is_lockedtoref" - type="conduit" - dir="end"> - <port name="rx_is_lockedtoref" internal="rx_is_lockedtoref" /> - </interface> - <interface - name="rx_set_locktodata" - internal="eth_tse_0.rx_set_locktodata" - type="conduit" - dir="end"> - <port name="rx_set_locktodata" internal="rx_set_locktodata" /> - </interface> - <interface - name="rx_set_locktoref" - internal="eth_tse_0.rx_set_locktoref" - type="conduit" - dir="end"> - <port name="rx_set_locktoref" internal="rx_set_locktoref" /> - </interface> - <interface - name="serdes_control_connection" - internal="eth_tse_0.serdes_control_connection" - type="conduit" - dir="end"> - <port name="rx_recovclkout" internal="rx_recovclkout" /> - </interface> - <interface - name="serial_connection" - internal="eth_tse_0.serial_connection" - type="conduit" - dir="end"> - <port name="rxp" internal="rxp" /> - <port name="txp" internal="txp" /> - </interface> - <interface - name="status_led_connection" - internal="eth_tse_0.status_led_connection" - type="conduit" - dir="end"> - <port name="led_an" internal="led_an" /> - <port name="led_char_err" internal="led_char_err" /> - <port name="led_col" internal="led_col" /> - <port name="led_crs" internal="led_crs" /> - <port name="led_disp_err" internal="led_disp_err" /> - <port name="led_link" internal="led_link" /> - <port name="led_panel_link" internal="led_panel_link" /> - </interface> - <interface name="tbi_connection" internal="eth_tse_0.tbi_connection" /> - <interface - name="transmit" - internal="eth_tse_0.transmit" - type="avalon_streaming" - dir="end"> - <port name="ff_tx_data" internal="ff_tx_data" /> - <port name="ff_tx_eop" internal="ff_tx_eop" /> - <port name="ff_tx_err" internal="ff_tx_err" /> - <port name="ff_tx_mod" internal="ff_tx_mod" /> - <port name="ff_tx_rdy" internal="ff_tx_rdy" /> - <port name="ff_tx_sop" internal="ff_tx_sop" /> - <port name="ff_tx_wren" internal="ff_tx_wren" /> - </interface> - <interface - name="transmit_clock_connection" - internal="eth_tse_0.transmit_clock_connection" - type="clock" - dir="end"> - <port name="ff_tx_clk" internal="ff_tx_clk" /> - </interface> - <interface - name="tx_analogreset" - internal="eth_tse_0.tx_analogreset" - type="conduit" - dir="end"> - <port name="tx_analogreset" internal="tx_analogreset" /> - </interface> - <interface - name="tx_cal_busy" - internal="eth_tse_0.tx_cal_busy" - type="conduit" - dir="end"> - <port name="tx_cal_busy" internal="tx_cal_busy" /> - </interface> - <interface - name="tx_digitalreset" - internal="eth_tse_0.tx_digitalreset" - type="conduit" - dir="end"> - <port name="tx_digitalreset" internal="tx_digitalreset" /> - </interface> - <interface - name="tx_serial_clk" - internal="eth_tse_0.tx_serial_clk" - type="hssi_serial_clock" - dir="end"> - <port name="tx_serial_clk" internal="tx_serial_clk" /> - </interface> - <module - name="eth_tse_0" - kind="altera_eth_tse" - version="19.4.0" - enabled="1" - autoexport="1"> - <parameter name="AUTO_DEVICE" value="10AX115U3F45E2SG" /> - <parameter name="AUTO_DEVICE_SPEEDGRADE" value="2" /> - <parameter name="ND_XCVR_RCFG_JTAG_ENABLE" value="0" /> - <parameter name="ND_XCVR_SET_CAPABILITY_REG_ENABLE" value="0" /> - <parameter name="ND_XCVR_SET_CSR_SOFT_LOGIC_ENABLE" value="0" /> - <parameter name="ND_XCVR_SET_USER_IDENTIFIER" value="0" /> - <parameter name="XCVR_RCFG_JTAG_ENABLE" value="0" /> - <parameter name="XCVR_SET_CAPABILITY_REG_ENABLE" value="0" /> - <parameter name="XCVR_SET_CSR_SOFT_LOGIC_ENABLE" value="0" /> - <parameter name="XCVR_SET_PRBS_SOFT_LOGIC_ENABLE" value="0" /> - <parameter name="XCVR_SET_USER_IDENTIFIER" value="0" /> - <parameter name="adpt_multi_enable" value="1" /> - <parameter name="adpt_recipe_cnt" value="1" /> - <parameter name="adpt_recipe_data0" value="" /> - <parameter name="adpt_recipe_data1" value="" /> - <parameter name="adpt_recipe_data2" value="" /> - <parameter name="adpt_recipe_data3" value="" /> - <parameter name="adpt_recipe_data4" value="" /> - <parameter name="adpt_recipe_data5" value="" /> - <parameter name="adpt_recipe_data6" value="" /> - <parameter name="adpt_recipe_data7" value="" /> - <parameter name="adpt_recipe_select" value="0" /> - <parameter name="cal_recipe_sel" value="NRZ_28Gbps_VSR" /> - <parameter name="core_variation" value="MAC_PCS" /> - <parameter name="ctle_gs1_val_a" value="999" /> - <parameter name="ctle_gs1_val_b" value="999" /> - <parameter name="ctle_gs2_val_a" value="999" /> - <parameter name="ctle_gs2_val_b" value="999" /> - <parameter name="ctle_hf_max_a" value="999" /> - <parameter name="ctle_hf_max_b" value="999" /> - <parameter name="ctle_hf_min_a" value="999" /> - <parameter name="ctle_hf_min_b" value="999" /> - <parameter name="ctle_hf_val_a" value="999" /> - <parameter name="ctle_hf_val_ada_a" value="adaptable" /> - <parameter name="ctle_hf_val_ada_b" value="adaptable" /> - <parameter name="ctle_hf_val_b" value="999" /> - <parameter name="ctle_lf_max_a" value="999" /> - <parameter name="ctle_lf_max_b" value="999" /> - <parameter name="ctle_lf_min_a" value="999" /> - <parameter name="ctle_lf_min_b" value="999" /> - <parameter name="ctle_lf_val_a" value="999" /> - <parameter name="ctle_lf_val_ada_a" value="adaptable" /> - <parameter name="ctle_lf_val_ada_b" value="adaptable" /> - <parameter name="ctle_lf_val_b" value="999" /> - <parameter name="deviceDieList" value="" /> - <parameter name="deviceFamilyName" value="Arria 10" /> - <parameter name="eg_addr" value="11" /> - <parameter name="ena_hash" value="false" /> - <parameter name="enable_alt_reconfig" value="false" /> - <parameter name="enable_ecc" value="false" /> - <parameter name="enable_ena" value="32" /> - <parameter name="enable_gmii_loopback" value="false" /> - <parameter name="enable_hd_logic" value="false" /> - <parameter name="enable_hidden_features" value="false" /> - <parameter name="enable_mac_flow_ctrl" value="false" /> - <parameter name="enable_mac_vlan" value="false" /> - <parameter name="enable_magic_detect" value="false" /> - <parameter name="enable_ptp_1step" value="false" /> - <parameter name="enable_sgmii" value="false" /> - <parameter name="enable_shift16" value="true" /> - <parameter name="enable_sup_addr" value="false" /> - <parameter name="enable_timestamping" value="false" /> - <parameter name="enable_use_internal_fifo" value="true" /> - <parameter name="export_pwrdn" value="false" /> - <parameter name="ext_stat_cnt_ena" value="false" /> - <parameter name="ifGMII" value="MII_GMII" /> - <parameter name="ing_addr" value="11" /> - <parameter name="max_channels" value="1" /> - <parameter name="mdio_clk_div" value="40" /> - <parameter name="nd_phyip_rcfg_enable" value="false" /> - <parameter name="nf_phyip_rcfg_enable" value="false" /> - <parameter name="part_trait_bd" value="NIGHTFURY5" /> - <parameter name="phy_identifier" value="0" /> - <parameter name="phyip_en_synce_support" value="false" /> - <parameter name="phyip_pll_base_data_rate" value="1250 Mbps" /> - <parameter name="phyip_pll_type" value="CMU" /> - <parameter name="phyip_pma_bonding_mode" value="x1" /> - <parameter name="rcp_load_enable" value="0" /> - <parameter name="rf_a_a" value="999" /> - <parameter name="rf_a_b" value="999" /> - <parameter name="rf_b0_a" value="999" /> - <parameter name="rf_b0_ada_a" value="adaptable" /> - <parameter name="rf_b0_ada_b" value="adaptable" /> - <parameter name="rf_b0_b" value="999" /> - <parameter name="rf_b0t_a" value="999" /> - <parameter name="rf_b0t_b" value="999" /> - <parameter name="rf_b1_a" value="999" /> - <parameter name="rf_b1_ada_a" value="adaptable" /> - <parameter name="rf_b1_ada_b" value="adaptable" /> - <parameter name="rf_b1_b" value="999" /> - <parameter name="rf_p0_val_a" value="999" /> - <parameter name="rf_p0_val_ada_a" value="adaptable" /> - <parameter name="rf_p0_val_ada_b" value="adaptable" /> - <parameter name="rf_p0_val_b" value="999" /> - <parameter name="rf_p1_max_a" value="999" /> - <parameter name="rf_p1_max_b" value="999" /> - <parameter name="rf_p1_min_a" value="999" /> - <parameter name="rf_p1_min_b" value="999" /> - <parameter name="rf_p1_val_a" value="999" /> - <parameter name="rf_p1_val_ada_a" value="adaptable" /> - <parameter name="rf_p1_val_ada_b" value="adaptable" /> - <parameter name="rf_p1_val_b" value="999" /> - <parameter name="rf_p2_max_a" value="999" /> - <parameter name="rf_p2_max_b" value="999" /> - <parameter name="rf_p2_min_a" value="999" /> - <parameter name="rf_p2_min_b" value="999" /> - <parameter name="rf_p2_val_a" value="999" /> - <parameter name="rf_p2_val_ada_a" value="adaptable" /> - <parameter name="rf_p2_val_ada_b" value="adaptable" /> - <parameter name="rf_p2_val_b" value="999" /> - <parameter name="rf_reserved0_a" value="999" /> - <parameter name="rf_reserved0_b" value="999" /> - <parameter name="rf_reserved1_a" value="999" /> - <parameter name="rf_reserved1_b" value="999" /> - <parameter name="starting_channel_number" value="0" /> - <parameter name="stat_cnt_ena" value="false" /> - <parameter name="transceiver_type" value="GXB" /> - <parameter name="tstamp_fp_width" value="4" /> - <parameter name="useMDIO" value="false" /> - <parameter name="use_mac_clken" value="false" /> - <parameter name="use_misc_ports" value="true" /> - </module> -</system> diff --git a/libraries/technology/ip_arria10_e2sg/tse_sgmii_lvds/hdllib.cfg b/libraries/technology/ip_arria10_e2sg/tse_sgmii_lvds/hdllib.cfg index 46ed52d247..0c9374d9f5 100644 --- a/libraries/technology/ip_arria10_e2sg/tse_sgmii_lvds/hdllib.cfg +++ b/libraries/technology/ip_arria10_e2sg/tse_sgmii_lvds/hdllib.cfg @@ -23,5 +23,5 @@ quartus_qip_files = [generate_ip_libs] qsys-generate_ip_files = - ip_arria10_e2sg_tse_sgmii_lvds.qsys + ip_arria10_e2sg_tse_sgmii_lvds.ip diff --git a/libraries/technology/ip_arria10_e2sg/tse_sgmii_lvds/ip_arria10_e2sg_tse_sgmii_lvds.ip b/libraries/technology/ip_arria10_e2sg/tse_sgmii_lvds/ip_arria10_e2sg_tse_sgmii_lvds.ip new file mode 100644 index 0000000000..83a00c122d --- /dev/null +++ b/libraries/technology/ip_arria10_e2sg/tse_sgmii_lvds/ip_arria10_e2sg_tse_sgmii_lvds.ip @@ -0,0 +1,2787 @@ +<?xml version="1.0" ?> +<ipxact:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact2014/extensions" xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"> + <ipxact:vendor>Intel Corporation</ipxact:vendor> + <ipxact:library>ip_arria10_e2sg_tse_sgmii_lvds</ipxact:library> + <ipxact:name>eth_tse_0</ipxact:name> + <ipxact:version>19.4.0</ipxact:version> + <ipxact:busInterfaces> + <ipxact:busInterface> + <ipxact:name>transmit_clock_connection</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="clock" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>ff_tx_clk</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="clockRate" type="longint"> + <ipxact:name>clockRate</ipxact:name> + <ipxact:displayName>Clock rate</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="externallyDriven" type="bit"> + <ipxact:name>externallyDriven</ipxact:name> + <ipxact:displayName>Externally driven</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ptfSchematicName" type="string"> + <ipxact:name>ptfSchematicName</ipxact:name> + <ipxact:displayName>PTF schematic name</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>receive_clock_connection</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="clock" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>ff_rx_clk</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="clockRate" type="longint"> + <ipxact:name>clockRate</ipxact:name> + <ipxact:displayName>Clock rate</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="externallyDriven" type="bit"> + <ipxact:name>externallyDriven</ipxact:name> + <ipxact:displayName>Externally driven</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ptfSchematicName" type="string"> + <ipxact:name>ptfSchematicName</ipxact:name> + <ipxact:displayName>PTF schematic name</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>receive</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="avalon_streaming" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="avalon_streaming" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>data</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>ff_rx_data</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>endofpacket</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>ff_rx_eop</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>error</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_err</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>empty</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>ff_rx_mod</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>ready</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>ff_rx_rdy</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>startofpacket</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>ff_rx_sop</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>valid</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>ff_rx_dval</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:master></ipxact:master> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value>receive_clock_connection</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value>reset_connection</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="beatsPerCycle" type="int"> + <ipxact:name>beatsPerCycle</ipxact:name> + <ipxact:displayName>Beats Per Cycle</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="dataBitsPerSymbol" type="int"> + <ipxact:name>dataBitsPerSymbol</ipxact:name> + <ipxact:displayName>Data bits per symbol</ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="emptyWithinPacket" type="bit"> + <ipxact:name>emptyWithinPacket</ipxact:name> + <ipxact:displayName>emptyWithinPacket</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="errorDescriptor" type="string"> + <ipxact:name>errorDescriptor</ipxact:name> + <ipxact:displayName>Error descriptor</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="firstSymbolInHighOrderBits" type="bit"> + <ipxact:name>firstSymbolInHighOrderBits</ipxact:name> + <ipxact:displayName>First Symbol In High-Order Bits</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="highOrderSymbolAtMSB" type="bit"> + <ipxact:name>highOrderSymbolAtMSB</ipxact:name> + <ipxact:displayName>highOrderSymbolAtMSB</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="maxChannel" type="int"> + <ipxact:name>maxChannel</ipxact:name> + <ipxact:displayName>Maximum channel</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="packetDescription" type="string"> + <ipxact:name>packetDescription</ipxact:name> + <ipxact:displayName>Packet description </ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="readyAllowance" type="int"> + <ipxact:name>readyAllowance</ipxact:name> + <ipxact:displayName>Ready allowance</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="readyLatency" type="int"> + <ipxact:name>readyLatency</ipxact:name> + <ipxact:displayName>Ready latency</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="symbolsPerBeat" type="int"> + <ipxact:name>symbolsPerBeat</ipxact:name> + <ipxact:displayName>Symbols per beat </ipxact:displayName> + <ipxact:value>4</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>transmit</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="avalon_streaming" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="avalon_streaming" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>data</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>ff_tx_data</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>endofpacket</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>ff_tx_eop</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>error</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>ff_tx_err</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>empty</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>ff_tx_mod</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>ready</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>ff_tx_rdy</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>startofpacket</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>ff_tx_sop</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>valid</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>ff_tx_wren</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value>transmit_clock_connection</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value>reset_connection</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="beatsPerCycle" type="int"> + <ipxact:name>beatsPerCycle</ipxact:name> + <ipxact:displayName>Beats Per Cycle</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="dataBitsPerSymbol" type="int"> + <ipxact:name>dataBitsPerSymbol</ipxact:name> + <ipxact:displayName>Data bits per symbol</ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="emptyWithinPacket" type="bit"> + <ipxact:name>emptyWithinPacket</ipxact:name> + <ipxact:displayName>emptyWithinPacket</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="errorDescriptor" type="string"> + <ipxact:name>errorDescriptor</ipxact:name> + <ipxact:displayName>Error descriptor</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="firstSymbolInHighOrderBits" type="bit"> + <ipxact:name>firstSymbolInHighOrderBits</ipxact:name> + <ipxact:displayName>First Symbol In High-Order Bits</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="highOrderSymbolAtMSB" type="bit"> + <ipxact:name>highOrderSymbolAtMSB</ipxact:name> + <ipxact:displayName>highOrderSymbolAtMSB</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="maxChannel" type="int"> + <ipxact:name>maxChannel</ipxact:name> + <ipxact:displayName>Maximum channel</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="packetDescription" type="string"> + <ipxact:name>packetDescription</ipxact:name> + <ipxact:displayName>Packet description </ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="readyAllowance" type="int"> + <ipxact:name>readyAllowance</ipxact:name> + <ipxact:displayName>Ready allowance</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="readyLatency" type="int"> + <ipxact:name>readyLatency</ipxact:name> + <ipxact:displayName>Ready latency</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="symbolsPerBeat" type="int"> + <ipxact:name>symbolsPerBeat</ipxact:name> + <ipxact:displayName>Symbols per beat </ipxact:displayName> + <ipxact:value>4</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>mac_misc_connection</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>ff_tx_crc_fwd</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>ff_tx_crc_fwd</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>ff_tx_septy</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>ff_tx_septy</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>tx_ff_uflow</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>tx_ff_uflow</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>ff_tx_a_full</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>ff_tx_a_full</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>ff_tx_a_empty</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>ff_tx_a_empty</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_err_stat</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_err_stat</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rx_frm_type</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_frm_type</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>ff_rx_dsav</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>ff_rx_dsav</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>ff_rx_a_full</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>ff_rx_a_full</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>ff_rx_a_empty</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>ff_rx_a_empty</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>control_port_clock_connection</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="clock" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="clockRate" type="longint"> + <ipxact:name>clockRate</ipxact:name> + <ipxact:displayName>Clock rate</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="externallyDriven" type="bit"> + <ipxact:name>externallyDriven</ipxact:name> + <ipxact:displayName>Externally driven</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ptfSchematicName" type="string"> + <ipxact:name>ptfSchematicName</ipxact:name> + <ipxact:displayName>PTF schematic name</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>reset_connection</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="reset" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="reset" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>reset</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>reset</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>Associated clock</ipxact:displayName> + <ipxact:value>control_port_clock_connection</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="synchronousEdges" type="string"> + <ipxact:name>synchronousEdges</ipxact:name> + <ipxact:displayName>Synchronous edges</ipxact:displayName> + <ipxact:value>DEASSERT</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>control_port</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="avalon" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="avalon" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>address</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>reg_addr</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>readdata</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>reg_data_out</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>read</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>reg_rd</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>writedata</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>reg_data_in</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>write</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>reg_wr</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>waitrequest</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>reg_busy</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="addressAlignment" type="string"> + <ipxact:name>addressAlignment</ipxact:name> + <ipxact:displayName>Slave addressing</ipxact:displayName> + <ipxact:value>DYNAMIC</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="addressGroup" type="int"> + <ipxact:name>addressGroup</ipxact:name> + <ipxact:displayName>Address group</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="addressSpan" type="string"> + <ipxact:name>addressSpan</ipxact:name> + <ipxact:displayName>Address span</ipxact:displayName> + <ipxact:value>1024</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="addressUnits" type="string"> + <ipxact:name>addressUnits</ipxact:name> + <ipxact:displayName>Address units</ipxact:displayName> + <ipxact:value>WORDS</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="alwaysBurstMaxBurst" type="bit"> + <ipxact:name>alwaysBurstMaxBurst</ipxact:name> + <ipxact:displayName>Always burst maximum burst</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>Associated clock</ipxact:displayName> + <ipxact:value>control_port_clock_connection</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>Associated reset</ipxact:displayName> + <ipxact:value>reset_connection</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="bitsPerSymbol" type="int"> + <ipxact:name>bitsPerSymbol</ipxact:name> + <ipxact:displayName>Bits per symbol</ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="bridgedAddressOffset" type="string"> + <ipxact:name>bridgedAddressOffset</ipxact:name> + <ipxact:displayName>Bridged Address Offset</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="bridgesToMaster" type="string"> + <ipxact:name>bridgesToMaster</ipxact:name> + <ipxact:displayName>Bridges to master</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="burstOnBurstBoundariesOnly" type="bit"> + <ipxact:name>burstOnBurstBoundariesOnly</ipxact:name> + <ipxact:displayName>Burst on burst boundaries only</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="burstcountUnits" type="string"> + <ipxact:name>burstcountUnits</ipxact:name> + <ipxact:displayName>Burstcount units</ipxact:displayName> + <ipxact:value>WORDS</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="constantBurstBehavior" type="bit"> + <ipxact:name>constantBurstBehavior</ipxact:name> + <ipxact:displayName>Constant burst behavior</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="explicitAddressSpan" type="string"> + <ipxact:name>explicitAddressSpan</ipxact:name> + <ipxact:displayName>Explicit address span</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="holdTime" type="int"> + <ipxact:name>holdTime</ipxact:name> + <ipxact:displayName>Hold</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="interleaveBursts" type="bit"> + <ipxact:name>interleaveBursts</ipxact:name> + <ipxact:displayName>Interleave bursts</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="isBigEndian" type="bit"> + <ipxact:name>isBigEndian</ipxact:name> + <ipxact:displayName>Big endian</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="isFlash" type="bit"> + <ipxact:name>isFlash</ipxact:name> + <ipxact:displayName>Flash memory</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="isMemoryDevice" type="bit"> + <ipxact:name>isMemoryDevice</ipxact:name> + <ipxact:displayName>Memory device</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="isNonVolatileStorage" type="bit"> + <ipxact:name>isNonVolatileStorage</ipxact:name> + <ipxact:displayName>Non-volatile storage</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="linewrapBursts" type="bit"> + <ipxact:name>linewrapBursts</ipxact:name> + <ipxact:displayName>Linewrap bursts</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="maximumPendingReadTransactions" type="int"> + <ipxact:name>maximumPendingReadTransactions</ipxact:name> + <ipxact:displayName>Maximum pending read transactions</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="maximumPendingWriteTransactions" type="int"> + <ipxact:name>maximumPendingWriteTransactions</ipxact:name> + <ipxact:displayName>Maximum pending write transactions</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="minimumReadLatency" type="int"> + <ipxact:name>minimumReadLatency</ipxact:name> + <ipxact:displayName>minimumReadLatency</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="minimumResponseLatency" type="int"> + <ipxact:name>minimumResponseLatency</ipxact:name> + <ipxact:displayName>Minimum response latency</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="minimumUninterruptedRunLength" type="int"> + <ipxact:name>minimumUninterruptedRunLength</ipxact:name> + <ipxact:displayName>Minimum uninterrupted run length</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="printableDevice" type="bit"> + <ipxact:name>printableDevice</ipxact:name> + <ipxact:displayName>Can receive stdout/stderr</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="readLatency" type="int"> + <ipxact:name>readLatency</ipxact:name> + <ipxact:displayName>Read latency</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="readWaitStates" type="int"> + <ipxact:name>readWaitStates</ipxact:name> + <ipxact:displayName>Read wait states</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="readWaitTime" type="int"> + <ipxact:name>readWaitTime</ipxact:name> + <ipxact:displayName>Read wait</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="registerIncomingSignals" type="bit"> + <ipxact:name>registerIncomingSignals</ipxact:name> + <ipxact:displayName>Register incoming signals</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="registerOutgoingSignals" type="bit"> + <ipxact:name>registerOutgoingSignals</ipxact:name> + <ipxact:displayName>Register outgoing signals</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="setupTime" type="int"> + <ipxact:name>setupTime</ipxact:name> + <ipxact:displayName>Setup</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="timingUnits" type="string"> + <ipxact:name>timingUnits</ipxact:name> + <ipxact:displayName>Timing units</ipxact:displayName> + <ipxact:value>Cycles</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="transparentBridge" type="bit"> + <ipxact:name>transparentBridge</ipxact:name> + <ipxact:displayName>Transparent bridge</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="waitrequestAllowance" type="int"> + <ipxact:name>waitrequestAllowance</ipxact:name> + <ipxact:displayName>Waitrequest allowance</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="wellBehavedWaitrequest" type="bit"> + <ipxact:name>wellBehavedWaitrequest</ipxact:name> + <ipxact:displayName>Well-behaved waitrequest</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="writeLatency" type="int"> + <ipxact:name>writeLatency</ipxact:name> + <ipxact:displayName>Write latency</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="writeWaitStates" type="int"> + <ipxact:name>writeWaitStates</ipxact:name> + <ipxact:displayName>Write wait states</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="writeWaitTime" type="int"> + <ipxact:name>writeWaitTime</ipxact:name> + <ipxact:displayName>Write wait</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="embeddedsw.configuration.isFlash" type="string"> + <ipxact:name>embeddedsw.configuration.isFlash</ipxact:name> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="embeddedsw.configuration.isMemoryDevice" type="string"> + <ipxact:name>embeddedsw.configuration.isMemoryDevice</ipxact:name> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="embeddedsw.configuration.isNonVolatileStorage" type="string"> + <ipxact:name>embeddedsw.configuration.isNonVolatileStorage</ipxact:name> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="embeddedsw.configuration.isPrintableDevice" type="string"> + <ipxact:name>embeddedsw.configuration.isPrintableDevice</ipxact:name> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>pcs_ref_clk_clock_connection</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="clock" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>ref_clk</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="clockRate" type="longint"> + <ipxact:name>clockRate</ipxact:name> + <ipxact:displayName>Clock rate</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="externallyDriven" type="bit"> + <ipxact:name>externallyDriven</ipxact:name> + <ipxact:displayName>Externally driven</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ptfSchematicName" type="string"> + <ipxact:name>ptfSchematicName</ipxact:name> + <ipxact:displayName>PTF schematic name</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>status_led_connection</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>crs</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>led_crs</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>link</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>led_link</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>panel_link</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>led_panel_link</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>col</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>led_col</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>an</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>led_an</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>char_err</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>led_char_err</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>disp_err</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>led_disp_err</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>serdes_control_connection</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>export</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rx_recovclkout</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>serial_connection</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>rxp_0</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rxp</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>txp_0</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>txp</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </ipxact:busInterface> + </ipxact:busInterfaces> + <ipxact:model> + <ipxact:views> + <ipxact:view> + <ipxact:name>QUARTUS_SYNTH</ipxact:name> + <ipxact:envIdentifier>:quartus.altera.com:</ipxact:envIdentifier> + <ipxact:componentInstantiationRef>QUARTUS_SYNTH</ipxact:componentInstantiationRef> + </ipxact:view> + </ipxact:views> + <ipxact:instantiations> + <ipxact:componentInstantiation> + <ipxact:name>QUARTUS_SYNTH</ipxact:name> + <ipxact:moduleName>altera_eth_tse</ipxact:moduleName> + <ipxact:fileSetRef> + <ipxact:localName>QUARTUS_SYNTH</ipxact:localName> + </ipxact:fileSetRef> + </ipxact:componentInstantiation> + </ipxact:instantiations> + <ipxact:ports> + <ipxact:port> + <ipxact:name>ff_tx_clk</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>ff_rx_clk</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>ff_rx_data</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>31</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>ff_rx_eop</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_err</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>5</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>ff_rx_mod</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>1</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>ff_rx_rdy</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>ff_rx_sop</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>ff_rx_dval</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>ff_tx_data</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>31</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>ff_tx_eop</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>ff_tx_err</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>ff_tx_mod</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>1</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>ff_tx_rdy</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>ff_tx_sop</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>ff_tx_wren</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>ff_tx_crc_fwd</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>ff_tx_septy</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>tx_ff_uflow</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>ff_tx_a_full</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>ff_tx_a_empty</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_err_stat</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>17</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_frm_type</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>3</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>ff_rx_dsav</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>ff_rx_a_full</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>ff_rx_a_empty</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>clk</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>reset</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>reg_data_out</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>31</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>reg_rd</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>reg_data_in</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>31</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>reg_wr</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>reg_busy</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>reg_addr</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>7</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>ref_clk</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>led_crs</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>led_link</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>led_panel_link</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>led_col</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>led_an</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>led_char_err</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>led_disp_err</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rx_recovclkout</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>rxp</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>txp</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + </ipxact:ports> + </ipxact:model> + <ipxact:vendorExtensions> + <altera:entity_info> + <ipxact:vendor>Intel Corporation</ipxact:vendor> + <ipxact:library>ip_arria10_e2sg_tse_sgmii_lvds</ipxact:library> + <ipxact:name>altera_eth_tse</ipxact:name> + <ipxact:version>19.4.0</ipxact:version> + </altera:entity_info> + <altera:altera_module_parameters> + <ipxact:parameters> + <ipxact:parameter parameterId="deviceFamilyName" type="string"> + <ipxact:name>deviceFamilyName</ipxact:name> + <ipxact:displayName>Device Family</ipxact:displayName> + <ipxact:value>Arria 10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="deviceDieList" type="string"> + <ipxact:name>deviceDieList</ipxact:name> + <ipxact:displayName>Device Die Type</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_padding" type="bit"> + <ipxact:name>enable_padding</ipxact:name> + <ipxact:displayName>enable_padding</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_lgth_check" type="bit"> + <ipxact:name>enable_lgth_check</ipxact:name> + <ipxact:displayName>enable_lgth_check</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gbit_only" type="bit"> + <ipxact:name>gbit_only</ipxact:name> + <ipxact:displayName>gbit_only</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="mbit_only" type="bit"> + <ipxact:name>mbit_only</ipxact:name> + <ipxact:displayName>mbit_only</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="reduced_control" type="bit"> + <ipxact:name>reduced_control</ipxact:name> + <ipxact:displayName>reduced_control</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="core_version" type="int"> + <ipxact:name>core_version</ipxact:name> + <ipxact:displayName>core_version</ipxact:displayName> + <ipxact:value>4868</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="dev_version" type="int"> + <ipxact:name>dev_version</ipxact:name> + <ipxact:displayName>dev_version</ipxact:displayName> + <ipxact:value>4868</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="eg_fifo" type="int"> + <ipxact:name>eg_fifo</ipxact:name> + <ipxact:displayName>eg_fifo</ipxact:displayName> + <ipxact:value>256</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ing_fifo" type="int"> + <ipxact:name>ing_fifo</ipxact:name> + <ipxact:displayName>ing_fifo</ipxact:displayName> + <ipxact:value>256</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="reduced_interface_ena" type="bit"> + <ipxact:name>reduced_interface_ena</ipxact:name> + <ipxact:displayName>reduced_interface_ena</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="synchronizer_depth" type="int"> + <ipxact:name>synchronizer_depth</ipxact:name> + <ipxact:displayName>synchronizer_depth</ipxact:displayName> + <ipxact:value>3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="deviceFamily" type="string"> + <ipxact:name>deviceFamily</ipxact:name> + <ipxact:displayName>deviceFamily</ipxact:displayName> + <ipxact:value>ARRIA10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="isUseMAC" type="bit"> + <ipxact:name>isUseMAC</ipxact:name> + <ipxact:displayName>isUseMAC</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="isUsePCS" type="bit"> + <ipxact:name>isUsePCS</ipxact:name> + <ipxact:displayName>isUsePCS</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="isUsePCS_2xTBI" type="bit"> + <ipxact:name>isUsePCS_2xTBI</ipxact:name> + <ipxact:displayName>isUsePCS_2xTBI</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_clk_sharing" type="bit"> + <ipxact:name>enable_clk_sharing</ipxact:name> + <ipxact:displayName>enable_clk_sharing</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="core_variation" type="string"> + <ipxact:name>core_variation</ipxact:name> + <ipxact:displayName>Core variation</ipxact:displayName> + <ipxact:value>MAC_PCS</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_hidden_features" type="bit"> + <ipxact:name>enable_hidden_features</ipxact:name> + <ipxact:displayName>Enable hidden features</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ifGMII" type="string"> + <ipxact:name>ifGMII</ipxact:name> + <ipxact:displayName>Interface</ipxact:displayName> + <ipxact:value>MII_GMII</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="use_mac_clken" type="bit"> + <ipxact:name>use_mac_clken</ipxact:name> + <ipxact:displayName>Use clock enable for MAC</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_use_internal_fifo" type="bit"> + <ipxact:name>enable_use_internal_fifo</ipxact:name> + <ipxact:displayName>Use internal FIFO</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_ecc" type="bit"> + <ipxact:name>enable_ecc</ipxact:name> + <ipxact:displayName>Enable ECC protection</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="max_channels" type="int"> + <ipxact:name>max_channels</ipxact:name> + <ipxact:displayName>Number of ports</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="use_misc_ports" type="bit"> + <ipxact:name>use_misc_ports</ipxact:name> + <ipxact:displayName>Use misc ports</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="transceiver_type" type="string"> + <ipxact:name>transceiver_type</ipxact:name> + <ipxact:displayName>Transceiver type</ipxact:displayName> + <ipxact:value>LVDS_IO</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_hd_logic" type="bit"> + <ipxact:name>enable_hd_logic</ipxact:name> + <ipxact:displayName>Enable MAC 10/100 half duplex support</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_gmii_loopback" type="bit"> + <ipxact:name>enable_gmii_loopback</ipxact:name> + <ipxact:displayName>Enable local loopback on MII/GMII</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_sup_addr" type="bit"> + <ipxact:name>enable_sup_addr</ipxact:name> + <ipxact:displayName>Enable supplemental MAC unicast addresses</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="stat_cnt_ena" type="bit"> + <ipxact:name>stat_cnt_ena</ipxact:name> + <ipxact:displayName>Include statistics counters</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ext_stat_cnt_ena" type="bit"> + <ipxact:name>ext_stat_cnt_ena</ipxact:name> + <ipxact:displayName>Enable 64-bit statistics byte counters</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ena_hash" type="bit"> + <ipxact:name>ena_hash</ipxact:name> + <ipxact:displayName>Include multicast hashtable</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_shift16" type="bit"> + <ipxact:name>enable_shift16</ipxact:name> + <ipxact:displayName>Align packet headers to 32-bit boundary</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_mac_flow_ctrl" type="bit"> + <ipxact:name>enable_mac_flow_ctrl</ipxact:name> + <ipxact:displayName>Enable full-duplex flow control</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_mac_vlan" type="bit"> + <ipxact:name>enable_mac_vlan</ipxact:name> + <ipxact:displayName>Enable VLAN detection</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_magic_detect" type="bit"> + <ipxact:name>enable_magic_detect</ipxact:name> + <ipxact:displayName>Enable magic packet detection</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="useMDIO" type="bit"> + <ipxact:name>useMDIO</ipxact:name> + <ipxact:displayName>Include MDIO module (MDC/MDIO)</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="mdio_clk_div" type="int"> + <ipxact:name>mdio_clk_div</ipxact:name> + <ipxact:displayName>Host clock divisor</ipxact:displayName> + <ipxact:value>40</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_ena" type="int"> + <ipxact:name>enable_ena</ipxact:name> + <ipxact:displayName>Width</ipxact:displayName> + <ipxact:value>32</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="eg_addr" type="int"> + <ipxact:name>eg_addr</ipxact:name> + <ipxact:displayName>Transmit</ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ing_addr" type="int"> + <ipxact:name>ing_addr</ipxact:name> + <ipxact:displayName>Receive</ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="phy_identifier" type="int"> + <ipxact:name>phy_identifier</ipxact:name> + <ipxact:displayName>PHY ID (32 bit)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_sgmii" type="bit"> + <ipxact:name>enable_sgmii</ipxact:name> + <ipxact:displayName>Enable SGMII bridge</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="export_pwrdn" type="bit"> + <ipxact:name>export_pwrdn</ipxact:name> + <ipxact:displayName>Export transceiver powerdown signal</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_alt_reconfig" type="bit"> + <ipxact:name>enable_alt_reconfig</ipxact:name> + <ipxact:displayName>Enable transceiver dynamic reconfiguration</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="starting_channel_number" type="int"> + <ipxact:name>starting_channel_number</ipxact:name> + <ipxact:displayName>Starting channel number</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="phyip_pll_type" type="string"> + <ipxact:name>phyip_pll_type</ipxact:name> + <ipxact:displayName>TX PLLs type</ipxact:displayName> + <ipxact:value>CMU</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="phyip_pll_base_data_rate" type="string"> + <ipxact:name>phyip_pll_base_data_rate</ipxact:name> + <ipxact:displayName>TX PLL Base Data Rate</ipxact:displayName> + <ipxact:value>1250 Mbps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="phyip_en_synce_support" type="bit"> + <ipxact:name>phyip_en_synce_support</ipxact:name> + <ipxact:displayName>Enable SyncE Support</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="phyip_pma_bonding_mode" type="string"> + <ipxact:name>phyip_pma_bonding_mode</ipxact:name> + <ipxact:displayName>TX PLL clock network</ipxact:displayName> + <ipxact:value>x1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="nf_phyip_rcfg_enable" type="bit"> + <ipxact:name>nf_phyip_rcfg_enable</ipxact:name> + <ipxact:displayName>Enable Arria 10 or Cyclone 10 transceiver dynamic reconfiguration</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="XCVR_RCFG_JTAG_ENABLE" type="int"> + <ipxact:name>XCVR_RCFG_JTAG_ENABLE</ipxact:name> + <ipxact:displayName>Enable Native PHY Debug Master Endpoint (NPDME)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="XCVR_SET_CAPABILITY_REG_ENABLE" type="int"> + <ipxact:name>XCVR_SET_CAPABILITY_REG_ENABLE</ipxact:name> + <ipxact:displayName>Enable capability registers</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="XCVR_SET_USER_IDENTIFIER" type="int"> + <ipxact:name>XCVR_SET_USER_IDENTIFIER</ipxact:name> + <ipxact:displayName>Set user-defined IP identifier</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="XCVR_SET_CSR_SOFT_LOGIC_ENABLE" type="int"> + <ipxact:name>XCVR_SET_CSR_SOFT_LOGIC_ENABLE</ipxact:name> + <ipxact:displayName>Enable control and status registers</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="XCVR_SET_PRBS_SOFT_LOGIC_ENABLE" type="int"> + <ipxact:name>XCVR_SET_PRBS_SOFT_LOGIC_ENABLE</ipxact:name> + <ipxact:displayName>Enable PRBS soft accumulators</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="nf_lvds_iopll_num_channels" type="int"> + <ipxact:name>nf_lvds_iopll_num_channels</ipxact:name> + <ipxact:displayName>IO PLL sharing among LVDS channels</ipxact:displayName> + <ipxact:value>4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="part_trait_bd" type="string"> + <ipxact:name>part_trait_bd</ipxact:name> + <ipxact:displayName>Base Device</ipxact:displayName> + <ipxact:value>NIGHTFURY5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="XCVR_TILE" type="string"> + <ipxact:name>XCVR_TILE</ipxact:name> + <ipxact:displayName>Transceiver Tile</ipxact:displayName> + <ipxact:value>htile</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="s10_xcvr_ip_name" type="string"> + <ipxact:name>s10_xcvr_ip_name</ipxact:name> + <ipxact:displayName>s10_xcvr_ip_name</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="nd_phyip_rcfg_enable" type="bit"> + <ipxact:name>nd_phyip_rcfg_enable</ipxact:name> + <ipxact:displayName>Enable E-tile transceiver dynamic reconfiguration</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ND_XCVR_RCFG_JTAG_ENABLE" type="int"> + <ipxact:name>ND_XCVR_RCFG_JTAG_ENABLE</ipxact:name> + <ipxact:displayName>Enable Native PHY Debug Master Endpoint (NPDME)</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ND_XCVR_SET_CAPABILITY_REG_ENABLE" type="int"> + <ipxact:name>ND_XCVR_SET_CAPABILITY_REG_ENABLE</ipxact:name> + <ipxact:displayName>Enable capability registers</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ND_XCVR_SET_USER_IDENTIFIER" type="int"> + <ipxact:name>ND_XCVR_SET_USER_IDENTIFIER</ipxact:name> + <ipxact:displayName>Set user-defined IP identifier</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ND_XCVR_SET_CSR_SOFT_LOGIC_ENABLE" type="int"> + <ipxact:name>ND_XCVR_SET_CSR_SOFT_LOGIC_ENABLE</ipxact:name> + <ipxact:displayName>Enable control and status registers</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_timestamping" type="bit"> + <ipxact:name>enable_timestamping</ipxact:name> + <ipxact:displayName>Enable timestamping</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_ptp_1step" type="bit"> + <ipxact:name>enable_ptp_1step</ipxact:name> + <ipxact:displayName>Enable PTP 1-step clock</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="tstamp_fp_width" type="int"> + <ipxact:name>tstamp_fp_width</ipxact:name> + <ipxact:displayName>Timestamp fingerprint width</ipxact:displayName> + <ipxact:value>4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rcp_load_enable" type="int"> + <ipxact:name>rcp_load_enable</ipxact:name> + <ipxact:displayName>Enable adaptation load soft IP</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_adpt_multi_recipe" type="int"> + <ipxact:name>enable_adpt_multi_recipe</ipxact:name> + <ipxact:displayName>enable_adpt_multi_recipe</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cal_recipe_sel" type="string"> + <ipxact:name>cal_recipe_sel</ipxact:name> + <ipxact:displayName>PMA adaptation Select </ipxact:displayName> + <ipxact:value>NRZ_28Gbps_VSR</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="enable_pma_adpt_disp" type="int"> + <ipxact:name>enable_pma_adpt_disp</ipxact:name> + <ipxact:displayName>enable_pma_adpt_disp</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ctle_lf_val_a" type="int"> + <ipxact:name>ctle_lf_val_a</ipxact:name> + <ipxact:displayName>GAINLF</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ctle_lf_val_ada_a" type="string"> + <ipxact:name>ctle_lf_val_ada_a</ipxact:name> + <ipxact:displayName>GAINLF Fix/Adaptable</ipxact:displayName> + <ipxact:value>adaptable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ctle_lf_min_a" type="int"> + <ipxact:name>ctle_lf_min_a</ipxact:name> + <ipxact:displayName>CTLE LF Min</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ctle_lf_max_a" type="int"> + <ipxact:name>ctle_lf_max_a</ipxact:name> + <ipxact:displayName>CTLE LF Max</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ctle_hf_val_a" type="int"> + <ipxact:name>ctle_hf_val_a</ipxact:name> + <ipxact:displayName>GAINHF</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ctle_hf_val_ada_a" type="string"> + <ipxact:name>ctle_hf_val_ada_a</ipxact:name> + <ipxact:displayName>GAINHF Fix/Adaptable</ipxact:displayName> + <ipxact:value>adaptable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ctle_hf_min_a" type="int"> + <ipxact:name>ctle_hf_min_a</ipxact:name> + <ipxact:displayName>CTLE HF Min</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ctle_hf_max_a" type="int"> + <ipxact:name>ctle_hf_max_a</ipxact:name> + <ipxact:displayName>CTLE HF Max</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_p2_val_a" type="int"> + <ipxact:name>rf_p2_val_a</ipxact:name> + <ipxact:displayName>RF_P2</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_p2_val_ada_a" type="string"> + <ipxact:name>rf_p2_val_ada_a</ipxact:name> + <ipxact:displayName>RF_P2 Fix/Adaptable</ipxact:displayName> + <ipxact:value>adaptable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_p2_min_a" type="int"> + <ipxact:name>rf_p2_min_a</ipxact:name> + <ipxact:displayName>RF_P2_MIN</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_p2_max_a" type="int"> + <ipxact:name>rf_p2_max_a</ipxact:name> + <ipxact:displayName>RF_P2_MAX</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_p1_val_a" type="int"> + <ipxact:name>rf_p1_val_a</ipxact:name> + <ipxact:displayName>RF_P1</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_p1_val_ada_a" type="string"> + <ipxact:name>rf_p1_val_ada_a</ipxact:name> + <ipxact:displayName>RF_P1 Fix/Adaptable</ipxact:displayName> + <ipxact:value>adaptable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_p1_min_a" type="int"> + <ipxact:name>rf_p1_min_a</ipxact:name> + <ipxact:displayName>RF_P1_MIN</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_p1_max_a" type="int"> + <ipxact:name>rf_p1_max_a</ipxact:name> + <ipxact:displayName>RF_P1_MAX</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_reserved0_a" type="int"> + <ipxact:name>rf_reserved0_a</ipxact:name> + <ipxact:displayName>Reserved 0</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_p0_val_a" type="int"> + <ipxact:name>rf_p0_val_a</ipxact:name> + <ipxact:displayName>RF_P0</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_p0_val_ada_a" type="string"> + <ipxact:name>rf_p0_val_ada_a</ipxact:name> + <ipxact:displayName>RF_P0 Fix/Adaptable</ipxact:displayName> + <ipxact:value>adaptable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_reserved1_a" type="int"> + <ipxact:name>rf_reserved1_a</ipxact:name> + <ipxact:displayName>Reserved 1</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_b0t_a" type="int"> + <ipxact:name>rf_b0t_a</ipxact:name> + <ipxact:displayName>RF_B0T</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ctle_gs1_val_a" type="int"> + <ipxact:name>ctle_gs1_val_a</ipxact:name> + <ipxact:displayName>GS1</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ctle_gs2_val_a" type="int"> + <ipxact:name>ctle_gs2_val_a</ipxact:name> + <ipxact:displayName>GS2</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_b1_a" type="int"> + <ipxact:name>rf_b1_a</ipxact:name> + <ipxact:displayName>RF_B1</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_b1_ada_a" type="string"> + <ipxact:name>rf_b1_ada_a</ipxact:name> + <ipxact:displayName>RF_B1 Fix/Adaptable</ipxact:displayName> + <ipxact:value>adaptable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_b0_a" type="int"> + <ipxact:name>rf_b0_a</ipxact:name> + <ipxact:displayName>RF_B0</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_b0_ada_a" type="string"> + <ipxact:name>rf_b0_ada_a</ipxact:name> + <ipxact:displayName>RF_B0 Fix/Adaptable</ipxact:displayName> + <ipxact:value>adaptable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_a_a" type="int"> + <ipxact:name>rf_a_a</ipxact:name> + <ipxact:displayName>RF_A</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_ctle_frz_a" type="int"> + <ipxact:name>l_ctle_frz_a</ipxact:name> + <ipxact:displayName>l_ctle_frz_a</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_rf_frz_a" type="int"> + <ipxact:name>l_rf_frz_a</ipxact:name> + <ipxact:displayName>l_rf_frz_a</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ctle_lf_val_b" type="int"> + <ipxact:name>ctle_lf_val_b</ipxact:name> + <ipxact:displayName>GAINLF</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ctle_lf_val_ada_b" type="string"> + <ipxact:name>ctle_lf_val_ada_b</ipxact:name> + <ipxact:displayName>GAINLF Fix/Adaptable</ipxact:displayName> + <ipxact:value>adaptable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ctle_lf_min_b" type="int"> + <ipxact:name>ctle_lf_min_b</ipxact:name> + <ipxact:displayName>CTLE LF Min</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ctle_lf_max_b" type="int"> + <ipxact:name>ctle_lf_max_b</ipxact:name> + <ipxact:displayName>CTLE LF Max</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ctle_hf_val_b" type="int"> + <ipxact:name>ctle_hf_val_b</ipxact:name> + <ipxact:displayName>GAINHF</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ctle_hf_val_ada_b" type="string"> + <ipxact:name>ctle_hf_val_ada_b</ipxact:name> + <ipxact:displayName>GAINHF Fix/Adaptable</ipxact:displayName> + <ipxact:value>adaptable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ctle_hf_min_b" type="int"> + <ipxact:name>ctle_hf_min_b</ipxact:name> + <ipxact:displayName>CTLE HF Min</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ctle_hf_max_b" type="int"> + <ipxact:name>ctle_hf_max_b</ipxact:name> + <ipxact:displayName>CTLE HF Max</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_p2_val_b" type="int"> + <ipxact:name>rf_p2_val_b</ipxact:name> + <ipxact:displayName>RF_P2</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_p2_val_ada_b" type="string"> + <ipxact:name>rf_p2_val_ada_b</ipxact:name> + <ipxact:displayName>RF_P2 Fix/Adaptable</ipxact:displayName> + <ipxact:value>adaptable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_p2_min_b" type="int"> + <ipxact:name>rf_p2_min_b</ipxact:name> + <ipxact:displayName>RF_P2_MIN</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_p2_max_b" type="int"> + <ipxact:name>rf_p2_max_b</ipxact:name> + <ipxact:displayName>RF_P2_MAX</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_p1_val_b" type="int"> + <ipxact:name>rf_p1_val_b</ipxact:name> + <ipxact:displayName>RF_P1</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_p1_val_ada_b" type="string"> + <ipxact:name>rf_p1_val_ada_b</ipxact:name> + <ipxact:displayName>RF_P1 Fix/Adaptable</ipxact:displayName> + <ipxact:value>adaptable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_p1_min_b" type="int"> + <ipxact:name>rf_p1_min_b</ipxact:name> + <ipxact:displayName>RF_P1_MIN</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_p1_max_b" type="int"> + <ipxact:name>rf_p1_max_b</ipxact:name> + <ipxact:displayName>RF_P1_MAX</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_reserved0_b" type="int"> + <ipxact:name>rf_reserved0_b</ipxact:name> + <ipxact:displayName>Reserved 0</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_p0_val_b" type="int"> + <ipxact:name>rf_p0_val_b</ipxact:name> + <ipxact:displayName>RF_P0</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_p0_val_ada_b" type="string"> + <ipxact:name>rf_p0_val_ada_b</ipxact:name> + <ipxact:displayName>RF_P0 Fix/Adaptable</ipxact:displayName> + <ipxact:value>adaptable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_reserved1_b" type="int"> + <ipxact:name>rf_reserved1_b</ipxact:name> + <ipxact:displayName>Reserved 1</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_b0t_b" type="int"> + <ipxact:name>rf_b0t_b</ipxact:name> + <ipxact:displayName>RF_B0T</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ctle_gs1_val_b" type="int"> + <ipxact:name>ctle_gs1_val_b</ipxact:name> + <ipxact:displayName>GS1</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ctle_gs2_val_b" type="int"> + <ipxact:name>ctle_gs2_val_b</ipxact:name> + <ipxact:displayName>GS2</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_b1_b" type="int"> + <ipxact:name>rf_b1_b</ipxact:name> + <ipxact:displayName>RF_B1</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_b1_ada_b" type="string"> + <ipxact:name>rf_b1_ada_b</ipxact:name> + <ipxact:displayName>RF_B1 Fix/Adaptable</ipxact:displayName> + <ipxact:value>adaptable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_b0_b" type="int"> + <ipxact:name>rf_b0_b</ipxact:name> + <ipxact:displayName>RF_B0</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_b0_ada_b" type="string"> + <ipxact:name>rf_b0_ada_b</ipxact:name> + <ipxact:displayName>RF_B0 Fix/Adaptable</ipxact:displayName> + <ipxact:value>adaptable</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="rf_a_b" type="int"> + <ipxact:name>rf_a_b</ipxact:name> + <ipxact:displayName>RF_A</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_ctle_frz_b" type="int"> + <ipxact:name>l_ctle_frz_b</ipxact:name> + <ipxact:displayName>l_ctle_frz_b</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="l_rf_frz_b" type="int"> + <ipxact:name>l_rf_frz_b</ipxact:name> + <ipxact:displayName>l_rf_frz_b</ipxact:displayName> + <ipxact:value>999</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_multi_enable" type="int"> + <ipxact:name>adpt_multi_enable</ipxact:name> + <ipxact:displayName>Enable multiple PMA configuration</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_recipe_cnt" type="int"> + <ipxact:name>adpt_recipe_cnt</ipxact:name> + <ipxact:displayName>Number of PMA configuration</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_recipe_select" type="int"> + <ipxact:name>adpt_recipe_select</ipxact:name> + <ipxact:displayName>Select a PMA configuration to load or store</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_recipe_data0" type="string"> + <ipxact:name>adpt_recipe_data0</ipxact:name> + <ipxact:displayName>adpt_recipe_data0</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_recipe_data1" type="string"> + <ipxact:name>adpt_recipe_data1</ipxact:name> + <ipxact:displayName>adpt_recipe_data1</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_recipe_data2" type="string"> + <ipxact:name>adpt_recipe_data2</ipxact:name> + <ipxact:displayName>adpt_recipe_data2</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_recipe_data3" type="string"> + <ipxact:name>adpt_recipe_data3</ipxact:name> + <ipxact:displayName>adpt_recipe_data3</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_recipe_data4" type="string"> + <ipxact:name>adpt_recipe_data4</ipxact:name> + <ipxact:displayName>adpt_recipe_data4</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_recipe_data5" type="string"> + <ipxact:name>adpt_recipe_data5</ipxact:name> + <ipxact:displayName>adpt_recipe_data5</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_recipe_data6" type="string"> + <ipxact:name>adpt_recipe_data6</ipxact:name> + <ipxact:displayName>adpt_recipe_data6</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_recipe_data7" type="string"> + <ipxact:name>adpt_recipe_data7</ipxact:name> + <ipxact:displayName>adpt_recipe_data7</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_params" type="string"> + <ipxact:name>adpt_params</ipxact:name> + <ipxact:displayName>adpt_params</ipxact:displayName> + <ipxact:value>ctle_lf_val_a,ctle_lf_val_ada_a,ctle_lf_min_a,ctle_lf_max_a,ctle_hf_val_a,ctle_hf_val_ada_a,ctle_hf_min_a,ctle_hf_max_a,rf_p2_val_a,rf_p2_val_ada_a,rf_p2_min_a,rf_p2_max_a,rf_p1_val_a,rf_p1_val_ada_a,rf_p1_min_a,rf_p1_max_a,rf_reserved0_a,rf_p0_val_a,rf_p0_val_ada_a,rf_reserved1_a,rf_b0t_a,ctle_gs1_val_a,ctle_gs2_val_a,rf_b1_a,rf_b1_ada_a,rf_b0_a,rf_b0_ada_a,rf_a_a,ctle_lf_val_b,ctle_lf_val_ada_b,ctle_lf_min_b,ctle_lf_max_b,ctle_hf_val_b,ctle_hf_val_ada_b,ctle_hf_min_b,ctle_hf_max_b,rf_p2_val_b,rf_p2_val_ada_b,rf_p2_min_b,rf_p2_max_b,rf_p1_val_b,rf_p1_val_ada_b,rf_p1_min_b,rf_p1_max_b,rf_reserved0_b,rf_p0_val_b,rf_p0_val_ada_b,rf_reserved1_b,rf_b0t_b,ctle_gs1_val_b,ctle_gs2_val_b,rf_b1_b,rf_b1_ada_b,rf_b0_b,rf_b0_ada_b,rf_a_b</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_params_a" type="string"> + <ipxact:name>adpt_params_a</ipxact:name> + <ipxact:displayName>adpt_params_a</ipxact:displayName> + <ipxact:value>ctle_lf_val_a,ctle_lf_val_ada_a,ctle_lf_min_a,ctle_lf_max_a,ctle_hf_val_a,ctle_hf_val_ada_a,ctle_hf_min_a,ctle_hf_max_a,rf_p2_val_a,rf_p2_val_ada_a,rf_p2_min_a,rf_p2_max_a,rf_p1_val_a,rf_p1_val_ada_a,rf_p1_min_a,rf_p1_max_a,rf_reserved0_a,rf_p0_val_a,rf_p0_val_ada_a,rf_reserved1_a,rf_b0t_a,ctle_gs1_val_a,ctle_gs2_val_a,rf_b1_a,rf_b1_ada_a,rf_b0_a,rf_b0_ada_a,rf_a_a</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_params_b" type="string"> + <ipxact:name>adpt_params_b</ipxact:name> + <ipxact:displayName>adpt_params_b</ipxact:displayName> + <ipxact:value>ctle_lf_val_b,ctle_lf_val_ada_b,ctle_lf_min_b,ctle_lf_max_b,ctle_hf_val_b,ctle_hf_val_ada_b,ctle_hf_min_b,ctle_hf_max_b,rf_p2_val_b,rf_p2_val_ada_b,rf_p2_min_b,rf_p2_max_b,rf_p1_val_b,rf_p1_val_ada_b,rf_p1_min_b,rf_p1_max_b,rf_reserved0_b,rf_p0_val_b,rf_p0_val_ada_b,rf_reserved1_b,rf_b0t_b,ctle_gs1_val_b,ctle_gs2_val_b,rf_b1_b,rf_b1_ada_b,rf_b0_b,rf_b0_ada_b,rf_a_b</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_param_labels_a" type="string"> + <ipxact:name>adpt_param_labels_a</ipxact:name> + <ipxact:displayName>Init. Parameters</ipxact:displayName> + <ipxact:value>GAINLF,GAINLF Fix/Adaptable,CTLE LF Min,CTLE LF Max,GAINHF,GAINHF Fix/Adaptable,CTLE HF Min,CTLE HF Max,RF_P2,RF_P2 Fix/Adaptable,RF_P2_MIN,RF_P2_MAX,RF_P1,RF_P1 Fix/Adaptable,RF_P1_MIN,RF_P1_MAX,Reserved 0,RF_P0,RF_P0 Fix/Adaptable,Reserved 1,RF_B0T,GS1,GS2,RF_B1,RF_B1 Fix/Adaptable,RF_B0,RF_B0 Fix/Adaptable,RF_A</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_param_labels_b" type="string"> + <ipxact:name>adpt_param_labels_b</ipxact:name> + <ipxact:displayName>Cont. Parameters</ipxact:displayName> + <ipxact:value>GAINLF,GAINLF Fix/Adaptable,CTLE LF Min,CTLE LF Max,GAINHF,GAINHF Fix/Adaptable,CTLE HF Min,CTLE HF Max,RF_P2,RF_P2 Fix/Adaptable,RF_P2_MIN,RF_P2_MAX,RF_P1,RF_P1 Fix/Adaptable,RF_P1_MIN,RF_P1_MAX,Reserved 0,RF_P0,RF_P0 Fix/Adaptable,Reserved 1,RF_B0T,GS1,GS2,RF_B1,RF_B1 Fix/Adaptable,RF_B0,RF_B0 Fix/Adaptable,RF_A</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_param_vals0" type="string"> + <ipxact:name>adpt_param_vals0</ipxact:name> + <ipxact:displayName>adpt_param_vals0</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_param_vals1" type="string"> + <ipxact:name>adpt_param_vals1</ipxact:name> + <ipxact:displayName>adpt_param_vals1</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_param_vals2" type="string"> + <ipxact:name>adpt_param_vals2</ipxact:name> + <ipxact:displayName>adpt_param_vals2</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_param_vals3" type="string"> + <ipxact:name>adpt_param_vals3</ipxact:name> + <ipxact:displayName>adpt_param_vals3</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_param_vals4" type="string"> + <ipxact:name>adpt_param_vals4</ipxact:name> + <ipxact:displayName>adpt_param_vals4</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_param_vals5" type="string"> + <ipxact:name>adpt_param_vals5</ipxact:name> + <ipxact:displayName>adpt_param_vals5</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_param_vals6" type="string"> + <ipxact:name>adpt_param_vals6</ipxact:name> + <ipxact:displayName>adpt_param_vals6</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_param_vals7" type="string"> + <ipxact:name>adpt_param_vals7</ipxact:name> + <ipxact:displayName>adpt_param_vals7</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_param_vals0_a" type="string"> + <ipxact:name>adpt_param_vals0_a</ipxact:name> + <ipxact:displayName>PMA configuration 0</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_param_vals1_a" type="string"> + <ipxact:name>adpt_param_vals1_a</ipxact:name> + <ipxact:displayName>PMA configuration 1</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_param_vals2_a" type="string"> + <ipxact:name>adpt_param_vals2_a</ipxact:name> + <ipxact:displayName>PMA configuration 2</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_param_vals3_a" type="string"> + <ipxact:name>adpt_param_vals3_a</ipxact:name> + <ipxact:displayName>PMA configuration 3</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_param_vals4_a" type="string"> + <ipxact:name>adpt_param_vals4_a</ipxact:name> + <ipxact:displayName>PMA configuration 4</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_param_vals5_a" type="string"> + <ipxact:name>adpt_param_vals5_a</ipxact:name> + <ipxact:displayName>PMA configuration 5</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_param_vals6_a" type="string"> + <ipxact:name>adpt_param_vals6_a</ipxact:name> + <ipxact:displayName>PMA configuration 6</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_param_vals7_a" type="string"> + <ipxact:name>adpt_param_vals7_a</ipxact:name> + <ipxact:displayName>PMA configuration 7</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_param_vals0_b" type="string"> + <ipxact:name>adpt_param_vals0_b</ipxact:name> + <ipxact:displayName>PMA configuration 0</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_param_vals1_b" type="string"> + <ipxact:name>adpt_param_vals1_b</ipxact:name> + <ipxact:displayName>PMA configuration 1</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_param_vals2_b" type="string"> + <ipxact:name>adpt_param_vals2_b</ipxact:name> + <ipxact:displayName>PMA configuration 2</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_param_vals3_b" type="string"> + <ipxact:name>adpt_param_vals3_b</ipxact:name> + <ipxact:displayName>PMA configuration 3</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_param_vals4_b" type="string"> + <ipxact:name>adpt_param_vals4_b</ipxact:name> + <ipxact:displayName>PMA configuration 4</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_param_vals5_b" type="string"> + <ipxact:name>adpt_param_vals5_b</ipxact:name> + <ipxact:displayName>PMA configuration 5</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_param_vals6_b" type="string"> + <ipxact:name>adpt_param_vals6_b</ipxact:name> + <ipxact:displayName>PMA configuration 6</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="adpt_param_vals7_b" type="string"> + <ipxact:name>adpt_param_vals7_b</ipxact:name> + <ipxact:displayName>PMA configuration 7</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="AUTO_DEVICE" type="string"> + <ipxact:name>AUTO_DEVICE</ipxact:name> + <ipxact:displayName>Auto DEVICE</ipxact:displayName> + <ipxact:value>10AX115U3F45E2SG</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="AUTO_DEVICE_SPEEDGRADE" type="string"> + <ipxact:name>AUTO_DEVICE_SPEEDGRADE</ipxact:name> + <ipxact:displayName>Auto DEVICE_SPEEDGRADE</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_module_parameters> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="embeddedsw.CMacro.ENABLE_MACLITE" type="string"> + <ipxact:name>embeddedsw.CMacro.ENABLE_MACLITE</ipxact:name> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="embeddedsw.CMacro.FIFO_WIDTH" type="string"> + <ipxact:name>embeddedsw.CMacro.FIFO_WIDTH</ipxact:name> + <ipxact:value>32</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="embeddedsw.CMacro.IS_MULTICHANNEL_MAC" type="string"> + <ipxact:name>embeddedsw.CMacro.IS_MULTICHANNEL_MAC</ipxact:name> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="embeddedsw.CMacro.MACLITE_GIGE" type="string"> + <ipxact:name>embeddedsw.CMacro.MACLITE_GIGE</ipxact:name> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="embeddedsw.CMacro.MDIO_SHARED" type="string"> + <ipxact:name>embeddedsw.CMacro.MDIO_SHARED</ipxact:name> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="embeddedsw.CMacro.NUMBER_OF_CHANNEL" type="string"> + <ipxact:name>embeddedsw.CMacro.NUMBER_OF_CHANNEL</ipxact:name> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="embeddedsw.CMacro.NUMBER_OF_MAC_MDIO_SHARED" type="string"> + <ipxact:name>embeddedsw.CMacro.NUMBER_OF_MAC_MDIO_SHARED</ipxact:name> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="embeddedsw.CMacro.PCS" type="string"> + <ipxact:name>embeddedsw.CMacro.PCS</ipxact:name> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="embeddedsw.CMacro.PCS_ID" type="string"> + <ipxact:name>embeddedsw.CMacro.PCS_ID</ipxact:name> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="embeddedsw.CMacro.PCS_SGMII" type="string"> + <ipxact:name>embeddedsw.CMacro.PCS_SGMII</ipxact:name> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="embeddedsw.CMacro.RECEIVE_FIFO_DEPTH" type="string"> + <ipxact:name>embeddedsw.CMacro.RECEIVE_FIFO_DEPTH</ipxact:name> + <ipxact:value>256</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="embeddedsw.CMacro.REGISTER_SHARED" type="string"> + <ipxact:name>embeddedsw.CMacro.REGISTER_SHARED</ipxact:name> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="embeddedsw.CMacro.RGMII" type="string"> + <ipxact:name>embeddedsw.CMacro.RGMII</ipxact:name> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="embeddedsw.CMacro.TRANSMIT_FIFO_DEPTH" type="string"> + <ipxact:name>embeddedsw.CMacro.TRANSMIT_FIFO_DEPTH</ipxact:name> + <ipxact:value>256</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="embeddedsw.CMacro.USE_MDIO" type="string"> + <ipxact:name>embeddedsw.CMacro.USE_MDIO</ipxact:name> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="embeddedsw.dts.compatible" type="string"> + <ipxact:name>embeddedsw.dts.compatible</ipxact:name> + <ipxact:value>altr,tse-1.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="embeddedsw.dts.group" type="string"> + <ipxact:name>embeddedsw.dts.group</ipxact:name> + <ipxact:value>ethernet</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="embeddedsw.dts.name" type="string"> + <ipxact:name>embeddedsw.dts.name</ipxact:name> + <ipxact:value>tse</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="embeddedsw.dts.params.ALTR,rx-fifo-depth" type="string"> + <ipxact:name>embeddedsw.dts.params.ALTR,rx-fifo-depth</ipxact:name> + <ipxact:value>256</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="embeddedsw.dts.params.ALTR,tx-fifo-depth" type="string"> + <ipxact:name>embeddedsw.dts.params.ALTR,tx-fifo-depth</ipxact:name> + <ipxact:value>256</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="embeddedsw.dts.vendor" type="string"> + <ipxact:name>embeddedsw.dts.vendor</ipxact:name> + <ipxact:value>altr</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + <altera:altera_system_parameters> + <ipxact:parameters> + <ipxact:parameter parameterId="device" type="string"> + <ipxact:name>device</ipxact:name> + <ipxact:displayName>Device</ipxact:displayName> + <ipxact:value>10AX115U3F45E2SG</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="deviceFamily" type="string"> + <ipxact:name>deviceFamily</ipxact:name> + <ipxact:displayName>Device family</ipxact:displayName> + <ipxact:value>Arria 10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="deviceSpeedGrade" type="string"> + <ipxact:name>deviceSpeedGrade</ipxact:name> + <ipxact:displayName>Device Speed Grade</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="generationId" type="int"> + <ipxact:name>generationId</ipxact:name> + <ipxact:displayName>Generation Id</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="bonusData" type="string"> + <ipxact:name>bonusData</ipxact:name> + <ipxact:displayName>bonusData</ipxact:displayName> + <ipxact:value>bonusData +{ + element eth_tse_0 + { + datum _sortIndex + { + value = "0"; + type = "int"; + } + } +} +</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hideFromIPCatalog" type="bit"> + <ipxact:name>hideFromIPCatalog</ipxact:name> + <ipxact:displayName>Hide from IP Catalog</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="lockedInterfaceDefinition" type="string"> + <ipxact:name>lockedInterfaceDefinition</ipxact:name> + <ipxact:displayName>lockedInterfaceDefinition</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="systemInfos" type="string"> + <ipxact:name>systemInfos</ipxact:name> + <ipxact:displayName>systemInfos</ipxact:displayName> + <ipxact:value><systemInfosDefinition> + <connPtSystemInfos> + <entry> + <key>control_port</key> + <value> + <connectionPointName>control_port</connectionPointName> + <suppliedSystemInfos/> + <consumedSystemInfos> + <entry> + <key>ADDRESS_MAP</key> + <value>&lt;address-map&gt;&lt;slave name='control_port' start='0x0' end='0x400' datawidth='32' /&gt;&lt;/address-map&gt;</value> + </entry> + <entry> + <key>ADDRESS_WIDTH</key> + <value>10</value> + </entry> + <entry> + <key>MAX_SLAVE_DATA_WIDTH</key> + <value>32</value> + </entry> + </consumedSystemInfos> + </value> + </entry> + </connPtSystemInfos> +</systemInfosDefinition></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_system_parameters> + <altera:altera_interface_boundary> + <altera:interface_mapping altera:name="control_port" altera:internal="eth_tse_0.control_port" altera:type="avalon" altera:dir="end"> + <altera:port_mapping altera:name="reg_addr" altera:internal="reg_addr"></altera:port_mapping> + <altera:port_mapping altera:name="reg_busy" altera:internal="reg_busy"></altera:port_mapping> + <altera:port_mapping altera:name="reg_data_in" altera:internal="reg_data_in"></altera:port_mapping> + <altera:port_mapping altera:name="reg_data_out" altera:internal="reg_data_out"></altera:port_mapping> + <altera:port_mapping altera:name="reg_rd" altera:internal="reg_rd"></altera:port_mapping> + <altera:port_mapping altera:name="reg_wr" altera:internal="reg_wr"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="control_port_clock_connection" altera:internal="eth_tse_0.control_port_clock_connection" altera:type="clock" altera:dir="end"> + <altera:port_mapping altera:name="clk" altera:internal="clk"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="mac_gmii_connection" altera:internal="eth_tse_0.mac_gmii_connection"></altera:interface_mapping> + <altera:interface_mapping altera:name="mac_mii_connection" altera:internal="eth_tse_0.mac_mii_connection"></altera:interface_mapping> + <altera:interface_mapping altera:name="mac_misc_connection" altera:internal="eth_tse_0.mac_misc_connection" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="ff_rx_a_empty" altera:internal="ff_rx_a_empty"></altera:port_mapping> + <altera:port_mapping altera:name="ff_rx_a_full" altera:internal="ff_rx_a_full"></altera:port_mapping> + <altera:port_mapping altera:name="ff_rx_dsav" altera:internal="ff_rx_dsav"></altera:port_mapping> + <altera:port_mapping altera:name="ff_tx_a_empty" altera:internal="ff_tx_a_empty"></altera:port_mapping> + <altera:port_mapping altera:name="ff_tx_a_full" altera:internal="ff_tx_a_full"></altera:port_mapping> + <altera:port_mapping altera:name="ff_tx_crc_fwd" altera:internal="ff_tx_crc_fwd"></altera:port_mapping> + <altera:port_mapping altera:name="ff_tx_septy" altera:internal="ff_tx_septy"></altera:port_mapping> + <altera:port_mapping altera:name="rx_err_stat" altera:internal="rx_err_stat"></altera:port_mapping> + <altera:port_mapping altera:name="rx_frm_type" altera:internal="rx_frm_type"></altera:port_mapping> + <altera:port_mapping altera:name="tx_ff_uflow" altera:internal="tx_ff_uflow"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="mac_status_connection" altera:internal="eth_tse_0.mac_status_connection"></altera:interface_mapping> + <altera:interface_mapping altera:name="pcs_mac_rx_clock_connection" altera:internal="eth_tse_0.pcs_mac_rx_clock_connection"></altera:interface_mapping> + <altera:interface_mapping altera:name="pcs_mac_tx_clock_connection" altera:internal="eth_tse_0.pcs_mac_tx_clock_connection"></altera:interface_mapping> + <altera:interface_mapping altera:name="pcs_ref_clk_clock_connection" altera:internal="eth_tse_0.pcs_ref_clk_clock_connection" altera:type="clock" altera:dir="end"> + <altera:port_mapping altera:name="ref_clk" altera:internal="ref_clk"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="receive" altera:internal="eth_tse_0.receive" altera:type="avalon_streaming" altera:dir="start"> + <altera:port_mapping altera:name="ff_rx_data" altera:internal="ff_rx_data"></altera:port_mapping> + <altera:port_mapping altera:name="ff_rx_dval" altera:internal="ff_rx_dval"></altera:port_mapping> + <altera:port_mapping altera:name="ff_rx_eop" altera:internal="ff_rx_eop"></altera:port_mapping> + <altera:port_mapping altera:name="ff_rx_mod" altera:internal="ff_rx_mod"></altera:port_mapping> + <altera:port_mapping altera:name="ff_rx_rdy" altera:internal="ff_rx_rdy"></altera:port_mapping> + <altera:port_mapping altera:name="ff_rx_sop" altera:internal="ff_rx_sop"></altera:port_mapping> + <altera:port_mapping altera:name="rx_err" altera:internal="rx_err"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="receive_clock_connection" altera:internal="eth_tse_0.receive_clock_connection" altera:type="clock" altera:dir="end"> + <altera:port_mapping altera:name="ff_rx_clk" altera:internal="ff_rx_clk"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="reset_connection" altera:internal="eth_tse_0.reset_connection" altera:type="reset" altera:dir="end"> + <altera:port_mapping altera:name="reset" altera:internal="reset"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="serdes_control_connection" altera:internal="eth_tse_0.serdes_control_connection" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rx_recovclkout" altera:internal="rx_recovclkout"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="serial_connection" altera:internal="eth_tse_0.serial_connection" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="rxp" altera:internal="rxp"></altera:port_mapping> + <altera:port_mapping altera:name="txp" altera:internal="txp"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="status_led_connection" altera:internal="eth_tse_0.status_led_connection" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="led_an" altera:internal="led_an"></altera:port_mapping> + <altera:port_mapping altera:name="led_char_err" altera:internal="led_char_err"></altera:port_mapping> + <altera:port_mapping altera:name="led_col" altera:internal="led_col"></altera:port_mapping> + <altera:port_mapping altera:name="led_crs" altera:internal="led_crs"></altera:port_mapping> + <altera:port_mapping altera:name="led_disp_err" altera:internal="led_disp_err"></altera:port_mapping> + <altera:port_mapping altera:name="led_link" altera:internal="led_link"></altera:port_mapping> + <altera:port_mapping altera:name="led_panel_link" altera:internal="led_panel_link"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="tbi_connection" altera:internal="eth_tse_0.tbi_connection"></altera:interface_mapping> + <altera:interface_mapping altera:name="transmit" altera:internal="eth_tse_0.transmit" altera:type="avalon_streaming" altera:dir="end"> + <altera:port_mapping altera:name="ff_tx_data" altera:internal="ff_tx_data"></altera:port_mapping> + <altera:port_mapping altera:name="ff_tx_eop" altera:internal="ff_tx_eop"></altera:port_mapping> + <altera:port_mapping altera:name="ff_tx_err" altera:internal="ff_tx_err"></altera:port_mapping> + <altera:port_mapping altera:name="ff_tx_mod" altera:internal="ff_tx_mod"></altera:port_mapping> + <altera:port_mapping altera:name="ff_tx_rdy" altera:internal="ff_tx_rdy"></altera:port_mapping> + <altera:port_mapping altera:name="ff_tx_sop" altera:internal="ff_tx_sop"></altera:port_mapping> + <altera:port_mapping altera:name="ff_tx_wren" altera:internal="ff_tx_wren"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="transmit_clock_connection" altera:internal="eth_tse_0.transmit_clock_connection" altera:type="clock" altera:dir="end"> + <altera:port_mapping altera:name="ff_tx_clk" altera:internal="ff_tx_clk"></altera:port_mapping> + </altera:interface_mapping> + </altera:altera_interface_boundary> + <altera:altera_has_warnings>true</altera:altera_has_warnings> + <altera:altera_has_errors>false</altera:altera_has_errors> + </ipxact:vendorExtensions> +</ipxact:component> \ No newline at end of file diff --git a/libraries/technology/ip_arria10_e2sg/tse_sgmii_lvds/ip_arria10_e2sg_tse_sgmii_lvds.qsys b/libraries/technology/ip_arria10_e2sg/tse_sgmii_lvds/ip_arria10_e2sg_tse_sgmii_lvds.qsys deleted file mode 100644 index 16679fd6d1..0000000000 --- a/libraries/technology/ip_arria10_e2sg/tse_sgmii_lvds/ip_arria10_e2sg_tse_sgmii_lvds.qsys +++ /dev/null @@ -1,325 +0,0 @@ -<?xml version="1.0" encoding="UTF-8"?> -<system name="ip_arria10_e2sg_tse_sgmii_lvds"> - <component - name="$${FILENAME}" - displayName="$${FILENAME}" - version="1.0" - description="" - tags="AUTHORSHIP=Intel Corporation /// INTERNAL_COMPONENT=true" - categories="System" - tool="QsysStandard" /> - <parameter name="bonusData"><![CDATA[bonusData -{ - element $system - { - } - element eth_tse_0 - { - datum _sortIndex - { - value = "0"; - type = "int"; - } - } -} -]]></parameter> - <parameter name="device" value="10AX115U3F45E2SG" /> - <parameter name="deviceFamily" value="Arria 10" /> - <parameter name="deviceSpeedGrade" value="2" /> - <parameter name="fabricMode" value="QSYS" /> - <parameter name="generateLegacySim" value="false" /> - <parameter name="generationId" value="0" /> - <parameter name="globalResetBus" value="false" /> - <parameter name="hdlLanguage" value="VERILOG" /> - <parameter name="hideFromIPCatalog" value="true" /> - <parameter name="lockedInterfaceDefinition" value="" /> - <parameter name="sopcBorderPoints" value="false" /> - <parameter name="systemHash" value="0" /> - <parameter name="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos> - <entry> - <key>control_port</key> - <value> - <connectionPointName>control_port</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='control_port' start='0x0' end='0x400' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>10</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> -</systemInfosDefinition>]]></parameter> - <parameter name="systemScripts" value="" /> - <parameter name="testBenchDutName" value="" /> - <parameter name="timeStamp" value="0" /> - <parameter name="useTestBenchNamingPattern" value="false" /> - <instanceScript></instanceScript> - <interface - name="control_port" - internal="eth_tse_0.control_port" - type="avalon" - dir="end"> - <port name="reg_addr" internal="reg_addr" /> - <port name="reg_busy" internal="reg_busy" /> - <port name="reg_data_in" internal="reg_data_in" /> - <port name="reg_data_out" internal="reg_data_out" /> - <port name="reg_rd" internal="reg_rd" /> - <port name="reg_wr" internal="reg_wr" /> - </interface> - <interface - name="control_port_clock_connection" - internal="eth_tse_0.control_port_clock_connection" - type="clock" - dir="end"> - <port name="clk" internal="clk" /> - </interface> - <interface name="mac_gmii_connection" internal="eth_tse_0.mac_gmii_connection" /> - <interface name="mac_mii_connection" internal="eth_tse_0.mac_mii_connection" /> - <interface - name="mac_misc_connection" - internal="eth_tse_0.mac_misc_connection" - type="conduit" - dir="end"> - <port name="ff_rx_a_empty" internal="ff_rx_a_empty" /> - <port name="ff_rx_a_full" internal="ff_rx_a_full" /> - <port name="ff_rx_dsav" internal="ff_rx_dsav" /> - <port name="ff_tx_a_empty" internal="ff_tx_a_empty" /> - <port name="ff_tx_a_full" internal="ff_tx_a_full" /> - <port name="ff_tx_crc_fwd" internal="ff_tx_crc_fwd" /> - <port name="ff_tx_septy" internal="ff_tx_septy" /> - <port name="rx_err_stat" internal="rx_err_stat" /> - <port name="rx_frm_type" internal="rx_frm_type" /> - <port name="tx_ff_uflow" internal="tx_ff_uflow" /> - </interface> - <interface - name="mac_status_connection" - internal="eth_tse_0.mac_status_connection" /> - <interface - name="pcs_mac_rx_clock_connection" - internal="eth_tse_0.pcs_mac_rx_clock_connection" /> - <interface - name="pcs_mac_tx_clock_connection" - internal="eth_tse_0.pcs_mac_tx_clock_connection" /> - <interface - name="pcs_ref_clk_clock_connection" - internal="eth_tse_0.pcs_ref_clk_clock_connection" - type="clock" - dir="end"> - <port name="ref_clk" internal="ref_clk" /> - </interface> - <interface - name="receive" - internal="eth_tse_0.receive" - type="avalon_streaming" - dir="start"> - <port name="ff_rx_data" internal="ff_rx_data" /> - <port name="ff_rx_dval" internal="ff_rx_dval" /> - <port name="ff_rx_eop" internal="ff_rx_eop" /> - <port name="ff_rx_mod" internal="ff_rx_mod" /> - <port name="ff_rx_rdy" internal="ff_rx_rdy" /> - <port name="ff_rx_sop" internal="ff_rx_sop" /> - <port name="rx_err" internal="rx_err" /> - </interface> - <interface - name="receive_clock_connection" - internal="eth_tse_0.receive_clock_connection" - type="clock" - dir="end"> - <port name="ff_rx_clk" internal="ff_rx_clk" /> - </interface> - <interface - name="reset_connection" - internal="eth_tse_0.reset_connection" - type="reset" - dir="end"> - <port name="reset" internal="reset" /> - </interface> - <interface - name="serdes_control_connection" - internal="eth_tse_0.serdes_control_connection" - type="conduit" - dir="end"> - <port name="rx_recovclkout" internal="rx_recovclkout" /> - </interface> - <interface - name="serial_connection" - internal="eth_tse_0.serial_connection" - type="conduit" - dir="end"> - <port name="rxp" internal="rxp" /> - <port name="txp" internal="txp" /> - </interface> - <interface - name="status_led_connection" - internal="eth_tse_0.status_led_connection" - type="conduit" - dir="end"> - <port name="led_an" internal="led_an" /> - <port name="led_char_err" internal="led_char_err" /> - <port name="led_col" internal="led_col" /> - <port name="led_crs" internal="led_crs" /> - <port name="led_disp_err" internal="led_disp_err" /> - <port name="led_link" internal="led_link" /> - <port name="led_panel_link" internal="led_panel_link" /> - </interface> - <interface name="tbi_connection" internal="eth_tse_0.tbi_connection" /> - <interface - name="transmit" - internal="eth_tse_0.transmit" - type="avalon_streaming" - dir="end"> - <port name="ff_tx_data" internal="ff_tx_data" /> - <port name="ff_tx_eop" internal="ff_tx_eop" /> - <port name="ff_tx_err" internal="ff_tx_err" /> - <port name="ff_tx_mod" internal="ff_tx_mod" /> - <port name="ff_tx_rdy" internal="ff_tx_rdy" /> - <port name="ff_tx_sop" internal="ff_tx_sop" /> - <port name="ff_tx_wren" internal="ff_tx_wren" /> - </interface> - <interface - name="transmit_clock_connection" - internal="eth_tse_0.transmit_clock_connection" - type="clock" - dir="end"> - <port name="ff_tx_clk" internal="ff_tx_clk" /> - </interface> - <module - name="eth_tse_0" - kind="altera_eth_tse" - version="19.4.0" - enabled="1" - autoexport="1"> - <parameter name="AUTO_DEVICE" value="10AX115U3F45E2SG" /> - <parameter name="AUTO_DEVICE_SPEEDGRADE" value="2" /> - <parameter name="ND_XCVR_RCFG_JTAG_ENABLE" value="0" /> - <parameter name="ND_XCVR_SET_CAPABILITY_REG_ENABLE" value="0" /> - <parameter name="ND_XCVR_SET_CSR_SOFT_LOGIC_ENABLE" value="0" /> - <parameter name="ND_XCVR_SET_USER_IDENTIFIER" value="0" /> - <parameter name="XCVR_RCFG_JTAG_ENABLE" value="0" /> - <parameter name="XCVR_SET_CAPABILITY_REG_ENABLE" value="0" /> - <parameter name="XCVR_SET_CSR_SOFT_LOGIC_ENABLE" value="0" /> - <parameter name="XCVR_SET_PRBS_SOFT_LOGIC_ENABLE" value="0" /> - <parameter name="XCVR_SET_USER_IDENTIFIER" value="0" /> - <parameter name="adpt_multi_enable" value="1" /> - <parameter name="adpt_recipe_cnt" value="1" /> - <parameter name="adpt_recipe_data0" value="" /> - <parameter name="adpt_recipe_data1" value="" /> - <parameter name="adpt_recipe_data2" value="" /> - <parameter name="adpt_recipe_data3" value="" /> - <parameter name="adpt_recipe_data4" value="" /> - <parameter name="adpt_recipe_data5" value="" /> - <parameter name="adpt_recipe_data6" value="" /> - <parameter name="adpt_recipe_data7" value="" /> - <parameter name="adpt_recipe_select" value="0" /> - <parameter name="cal_recipe_sel" value="NRZ_28Gbps_VSR" /> - <parameter name="core_variation" value="MAC_PCS" /> - <parameter name="ctle_gs1_val_a" value="999" /> - <parameter name="ctle_gs1_val_b" value="999" /> - <parameter name="ctle_gs2_val_a" value="999" /> - <parameter name="ctle_gs2_val_b" value="999" /> - <parameter name="ctle_hf_max_a" value="999" /> - <parameter name="ctle_hf_max_b" value="999" /> - <parameter name="ctle_hf_min_a" value="999" /> - <parameter name="ctle_hf_min_b" value="999" /> - <parameter name="ctle_hf_val_a" value="999" /> - <parameter name="ctle_hf_val_ada_a" value="adaptable" /> - <parameter name="ctle_hf_val_ada_b" value="adaptable" /> - <parameter name="ctle_hf_val_b" value="999" /> - <parameter name="ctle_lf_max_a" value="999" /> - <parameter name="ctle_lf_max_b" value="999" /> - <parameter name="ctle_lf_min_a" value="999" /> - <parameter name="ctle_lf_min_b" value="999" /> - <parameter name="ctle_lf_val_a" value="999" /> - <parameter name="ctle_lf_val_ada_a" value="adaptable" /> - <parameter name="ctle_lf_val_ada_b" value="adaptable" /> - <parameter name="ctle_lf_val_b" value="999" /> - <parameter name="deviceDieList" value="" /> - <parameter name="deviceFamilyName" value="Arria 10" /> - <parameter name="eg_addr" value="8" /> - <parameter name="ena_hash" value="false" /> - <parameter name="enable_alt_reconfig" value="false" /> - <parameter name="enable_ecc" value="false" /> - <parameter name="enable_ena" value="32" /> - <parameter name="enable_gmii_loopback" value="true" /> - <parameter name="enable_hd_logic" value="false" /> - <parameter name="enable_hidden_features" value="false" /> - <parameter name="enable_mac_flow_ctrl" value="false" /> - <parameter name="enable_mac_vlan" value="false" /> - <parameter name="enable_magic_detect" value="false" /> - <parameter name="enable_ptp_1step" value="false" /> - <parameter name="enable_sgmii" value="false" /> - <parameter name="enable_shift16" value="true" /> - <parameter name="enable_sup_addr" value="false" /> - <parameter name="enable_timestamping" value="false" /> - <parameter name="enable_use_internal_fifo" value="true" /> - <parameter name="export_pwrdn" value="false" /> - <parameter name="ext_stat_cnt_ena" value="false" /> - <parameter name="ifGMII" value="MII_GMII" /> - <parameter name="ing_addr" value="8" /> - <parameter name="max_channels" value="1" /> - <parameter name="mdio_clk_div" value="40" /> - <parameter name="nd_phyip_rcfg_enable" value="false" /> - <parameter name="nf_phyip_rcfg_enable" value="false" /> - <parameter name="part_trait_bd" value="NIGHTFURY5" /> - <parameter name="phy_identifier" value="0" /> - <parameter name="phyip_en_synce_support" value="false" /> - <parameter name="phyip_pll_base_data_rate" value="1250 Mbps" /> - <parameter name="phyip_pll_type" value="CMU" /> - <parameter name="phyip_pma_bonding_mode" value="x1" /> - <parameter name="rcp_load_enable" value="0" /> - <parameter name="rf_a_a" value="999" /> - <parameter name="rf_a_b" value="999" /> - <parameter name="rf_b0_a" value="999" /> - <parameter name="rf_b0_ada_a" value="adaptable" /> - <parameter name="rf_b0_ada_b" value="adaptable" /> - <parameter name="rf_b0_b" value="999" /> - <parameter name="rf_b0t_a" value="999" /> - <parameter name="rf_b0t_b" value="999" /> - <parameter name="rf_b1_a" value="999" /> - <parameter name="rf_b1_ada_a" value="adaptable" /> - <parameter name="rf_b1_ada_b" value="adaptable" /> - <parameter name="rf_b1_b" value="999" /> - <parameter name="rf_p0_val_a" value="999" /> - <parameter name="rf_p0_val_ada_a" value="adaptable" /> - <parameter name="rf_p0_val_ada_b" value="adaptable" /> - <parameter name="rf_p0_val_b" value="999" /> - <parameter name="rf_p1_max_a" value="999" /> - <parameter name="rf_p1_max_b" value="999" /> - <parameter name="rf_p1_min_a" value="999" /> - <parameter name="rf_p1_min_b" value="999" /> - <parameter name="rf_p1_val_a" value="999" /> - <parameter name="rf_p1_val_ada_a" value="adaptable" /> - <parameter name="rf_p1_val_ada_b" value="adaptable" /> - <parameter name="rf_p1_val_b" value="999" /> - <parameter name="rf_p2_max_a" value="999" /> - <parameter name="rf_p2_max_b" value="999" /> - <parameter name="rf_p2_min_a" value="999" /> - <parameter name="rf_p2_min_b" value="999" /> - <parameter name="rf_p2_val_a" value="999" /> - <parameter name="rf_p2_val_ada_a" value="adaptable" /> - <parameter name="rf_p2_val_ada_b" value="adaptable" /> - <parameter name="rf_p2_val_b" value="999" /> - <parameter name="rf_reserved0_a" value="999" /> - <parameter name="rf_reserved0_b" value="999" /> - <parameter name="rf_reserved1_a" value="999" /> - <parameter name="rf_reserved1_b" value="999" /> - <parameter name="starting_channel_number" value="0" /> - <parameter name="stat_cnt_ena" value="true" /> - <parameter name="transceiver_type" value="LVDS_IO" /> - <parameter name="tstamp_fp_width" value="4" /> - <parameter name="useMDIO" value="false" /> - <parameter name="use_mac_clken" value="false" /> - <parameter name="use_misc_ports" value="true" /> - </module> -</system> diff --git a/libraries/technology/ip_arria10_e2sg/voltage_sense/hdllib.cfg b/libraries/technology/ip_arria10_e2sg/voltage_sense/hdllib.cfg index fd5645bdb7..6db8476629 100644 --- a/libraries/technology/ip_arria10_e2sg/voltage_sense/hdllib.cfg +++ b/libraries/technology/ip_arria10_e2sg/voltage_sense/hdllib.cfg @@ -21,5 +21,5 @@ quartus_qip_files = [generate_ip_libs] qsys-generate_ip_files = - ip_arria10_e2sg_voltage_sense.qsys + ip_arria10_e2sg_voltage_sense.ip diff --git a/libraries/technology/ip_arria10_e2sg/voltage_sense/ip_arria10_e2sg_voltage_sense.ip b/libraries/technology/ip_arria10_e2sg/voltage_sense/ip_arria10_e2sg_voltage_sense.ip new file mode 100644 index 0000000000..c0880c049b --- /dev/null +++ b/libraries/technology/ip_arria10_e2sg/voltage_sense/ip_arria10_e2sg_voltage_sense.ip @@ -0,0 +1,1062 @@ +<?xml version="1.0" ?> +<ipxact:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact2014/extensions" xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"> + <ipxact:vendor>Intel Corporation</ipxact:vendor> + <ipxact:library>ip_arria10_e2sg_voltage_sense</ipxact:library> + <ipxact:name>voltage_sensor_0</ipxact:name> + <ipxact:version>19.1.0</ipxact:version> + <ipxact:busInterfaces> + <ipxact:busInterface> + <ipxact:name>clock</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="clock" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>clock_clk</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="clockRate" type="longint"> + <ipxact:name>clockRate</ipxact:name> + <ipxact:displayName>Clock rate</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="externallyDriven" type="bit"> + <ipxact:name>externallyDriven</ipxact:name> + <ipxact:displayName>Externally driven</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ptfSchematicName" type="string"> + <ipxact:name>ptfSchematicName</ipxact:name> + <ipxact:displayName>PTF schematic name</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>reset_sink</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="reset" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="reset" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>reset</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>reset_sink_reset</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>Associated clock</ipxact:displayName> + <ipxact:value>clock</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="synchronousEdges" type="string"> + <ipxact:name>synchronousEdges</ipxact:name> + <ipxact:displayName>Synchronous edges</ipxact:displayName> + <ipxact:value>DEASSERT</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>controller_csr</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="avalon" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="avalon" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>address</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>controller_csr_address</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>read</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>controller_csr_read</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>write</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>controller_csr_write</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>writedata</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>controller_csr_writedata</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>readdata</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>controller_csr_readdata</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="addressAlignment" type="string"> + <ipxact:name>addressAlignment</ipxact:name> + <ipxact:displayName>Slave addressing</ipxact:displayName> + <ipxact:value>DYNAMIC</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="addressGroup" type="int"> + <ipxact:name>addressGroup</ipxact:name> + <ipxact:displayName>Address group</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="addressSpan" type="string"> + <ipxact:name>addressSpan</ipxact:name> + <ipxact:displayName>Address span</ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="addressUnits" type="string"> + <ipxact:name>addressUnits</ipxact:name> + <ipxact:displayName>Address units</ipxact:displayName> + <ipxact:value>WORDS</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="alwaysBurstMaxBurst" type="bit"> + <ipxact:name>alwaysBurstMaxBurst</ipxact:name> + <ipxact:displayName>Always burst maximum burst</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>Associated clock</ipxact:displayName> + <ipxact:value>clock</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>Associated reset</ipxact:displayName> + <ipxact:value>reset_sink</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="bitsPerSymbol" type="int"> + <ipxact:name>bitsPerSymbol</ipxact:name> + <ipxact:displayName>Bits per symbol</ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="bridgedAddressOffset" type="string"> + <ipxact:name>bridgedAddressOffset</ipxact:name> + <ipxact:displayName>Bridged Address Offset</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="bridgesToMaster" type="string"> + <ipxact:name>bridgesToMaster</ipxact:name> + <ipxact:displayName>Bridges to master</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="burstOnBurstBoundariesOnly" type="bit"> + <ipxact:name>burstOnBurstBoundariesOnly</ipxact:name> + <ipxact:displayName>Burst on burst boundaries only</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="burstcountUnits" type="string"> + <ipxact:name>burstcountUnits</ipxact:name> + <ipxact:displayName>Burstcount units</ipxact:displayName> + <ipxact:value>WORDS</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="constantBurstBehavior" type="bit"> + <ipxact:name>constantBurstBehavior</ipxact:name> + <ipxact:displayName>Constant burst behavior</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="explicitAddressSpan" type="string"> + <ipxact:name>explicitAddressSpan</ipxact:name> + <ipxact:displayName>Explicit address span</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="holdTime" type="int"> + <ipxact:name>holdTime</ipxact:name> + <ipxact:displayName>Hold</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="interleaveBursts" type="bit"> + <ipxact:name>interleaveBursts</ipxact:name> + <ipxact:displayName>Interleave bursts</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="isBigEndian" type="bit"> + <ipxact:name>isBigEndian</ipxact:name> + <ipxact:displayName>Big endian</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="isFlash" type="bit"> + <ipxact:name>isFlash</ipxact:name> + <ipxact:displayName>Flash memory</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="isMemoryDevice" type="bit"> + <ipxact:name>isMemoryDevice</ipxact:name> + <ipxact:displayName>Memory device</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="isNonVolatileStorage" type="bit"> + <ipxact:name>isNonVolatileStorage</ipxact:name> + <ipxact:displayName>Non-volatile storage</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="linewrapBursts" type="bit"> + <ipxact:name>linewrapBursts</ipxact:name> + <ipxact:displayName>Linewrap bursts</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="maximumPendingReadTransactions" type="int"> + <ipxact:name>maximumPendingReadTransactions</ipxact:name> + <ipxact:displayName>Maximum pending read transactions</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="maximumPendingWriteTransactions" type="int"> + <ipxact:name>maximumPendingWriteTransactions</ipxact:name> + <ipxact:displayName>Maximum pending write transactions</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="minimumReadLatency" type="int"> + <ipxact:name>minimumReadLatency</ipxact:name> + <ipxact:displayName>minimumReadLatency</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="minimumResponseLatency" type="int"> + <ipxact:name>minimumResponseLatency</ipxact:name> + <ipxact:displayName>Minimum response latency</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="minimumUninterruptedRunLength" type="int"> + <ipxact:name>minimumUninterruptedRunLength</ipxact:name> + <ipxact:displayName>Minimum uninterrupted run length</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="printableDevice" type="bit"> + <ipxact:name>printableDevice</ipxact:name> + <ipxact:displayName>Can receive stdout/stderr</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="readLatency" type="int"> + <ipxact:name>readLatency</ipxact:name> + <ipxact:displayName>Read latency</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="readWaitStates" type="int"> + <ipxact:name>readWaitStates</ipxact:name> + <ipxact:displayName>Read wait states</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="readWaitTime" type="int"> + <ipxact:name>readWaitTime</ipxact:name> + <ipxact:displayName>Read wait</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="registerIncomingSignals" type="bit"> + <ipxact:name>registerIncomingSignals</ipxact:name> + <ipxact:displayName>Register incoming signals</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="registerOutgoingSignals" type="bit"> + <ipxact:name>registerOutgoingSignals</ipxact:name> + <ipxact:displayName>Register outgoing signals</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="setupTime" type="int"> + <ipxact:name>setupTime</ipxact:name> + <ipxact:displayName>Setup</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="timingUnits" type="string"> + <ipxact:name>timingUnits</ipxact:name> + <ipxact:displayName>Timing units</ipxact:displayName> + <ipxact:value>Cycles</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="transparentBridge" type="bit"> + <ipxact:name>transparentBridge</ipxact:name> + <ipxact:displayName>Transparent bridge</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="waitrequestAllowance" type="int"> + <ipxact:name>waitrequestAllowance</ipxact:name> + <ipxact:displayName>Waitrequest allowance</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="wellBehavedWaitrequest" type="bit"> + <ipxact:name>wellBehavedWaitrequest</ipxact:name> + <ipxact:displayName>Well-behaved waitrequest</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="writeLatency" type="int"> + <ipxact:name>writeLatency</ipxact:name> + <ipxact:displayName>Write latency</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="writeWaitStates" type="int"> + <ipxact:name>writeWaitStates</ipxact:name> + <ipxact:displayName>Write wait states</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="writeWaitTime" type="int"> + <ipxact:name>writeWaitTime</ipxact:name> + <ipxact:displayName>Write wait</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="embeddedsw.configuration.isFlash" type="string"> + <ipxact:name>embeddedsw.configuration.isFlash</ipxact:name> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="embeddedsw.configuration.isMemoryDevice" type="string"> + <ipxact:name>embeddedsw.configuration.isMemoryDevice</ipxact:name> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="embeddedsw.configuration.isNonVolatileStorage" type="string"> + <ipxact:name>embeddedsw.configuration.isNonVolatileStorage</ipxact:name> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="embeddedsw.configuration.isPrintableDevice" type="string"> + <ipxact:name>embeddedsw.configuration.isPrintableDevice</ipxact:name> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>sample_store_csr</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="avalon" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="avalon" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>address</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>sample_store_csr_address</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>read</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>sample_store_csr_read</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>write</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>sample_store_csr_write</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>writedata</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>sample_store_csr_writedata</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>readdata</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>sample_store_csr_readdata</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="addressAlignment" type="string"> + <ipxact:name>addressAlignment</ipxact:name> + <ipxact:displayName>Slave addressing</ipxact:displayName> + <ipxact:value>DYNAMIC</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="addressGroup" type="int"> + <ipxact:name>addressGroup</ipxact:name> + <ipxact:displayName>Address group</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="addressSpan" type="string"> + <ipxact:name>addressSpan</ipxact:name> + <ipxact:displayName>Address span</ipxact:displayName> + <ipxact:value>64</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="addressUnits" type="string"> + <ipxact:name>addressUnits</ipxact:name> + <ipxact:displayName>Address units</ipxact:displayName> + <ipxact:value>WORDS</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="alwaysBurstMaxBurst" type="bit"> + <ipxact:name>alwaysBurstMaxBurst</ipxact:name> + <ipxact:displayName>Always burst maximum burst</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>Associated clock</ipxact:displayName> + <ipxact:value>clock</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>Associated reset</ipxact:displayName> + <ipxact:value>reset_sink</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="bitsPerSymbol" type="int"> + <ipxact:name>bitsPerSymbol</ipxact:name> + <ipxact:displayName>Bits per symbol</ipxact:displayName> + <ipxact:value>8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="bridgedAddressOffset" type="string"> + <ipxact:name>bridgedAddressOffset</ipxact:name> + <ipxact:displayName>Bridged Address Offset</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="bridgesToMaster" type="string"> + <ipxact:name>bridgesToMaster</ipxact:name> + <ipxact:displayName>Bridges to master</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="burstOnBurstBoundariesOnly" type="bit"> + <ipxact:name>burstOnBurstBoundariesOnly</ipxact:name> + <ipxact:displayName>Burst on burst boundaries only</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="burstcountUnits" type="string"> + <ipxact:name>burstcountUnits</ipxact:name> + <ipxact:displayName>Burstcount units</ipxact:displayName> + <ipxact:value>WORDS</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="constantBurstBehavior" type="bit"> + <ipxact:name>constantBurstBehavior</ipxact:name> + <ipxact:displayName>Constant burst behavior</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="explicitAddressSpan" type="string"> + <ipxact:name>explicitAddressSpan</ipxact:name> + <ipxact:displayName>Explicit address span</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="holdTime" type="int"> + <ipxact:name>holdTime</ipxact:name> + <ipxact:displayName>Hold</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="interleaveBursts" type="bit"> + <ipxact:name>interleaveBursts</ipxact:name> + <ipxact:displayName>Interleave bursts</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="isBigEndian" type="bit"> + <ipxact:name>isBigEndian</ipxact:name> + <ipxact:displayName>Big endian</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="isFlash" type="bit"> + <ipxact:name>isFlash</ipxact:name> + <ipxact:displayName>Flash memory</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="isMemoryDevice" type="bit"> + <ipxact:name>isMemoryDevice</ipxact:name> + <ipxact:displayName>Memory device</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="isNonVolatileStorage" type="bit"> + <ipxact:name>isNonVolatileStorage</ipxact:name> + <ipxact:displayName>Non-volatile storage</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="linewrapBursts" type="bit"> + <ipxact:name>linewrapBursts</ipxact:name> + <ipxact:displayName>Linewrap bursts</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="maximumPendingReadTransactions" type="int"> + <ipxact:name>maximumPendingReadTransactions</ipxact:name> + <ipxact:displayName>Maximum pending read transactions</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="maximumPendingWriteTransactions" type="int"> + <ipxact:name>maximumPendingWriteTransactions</ipxact:name> + <ipxact:displayName>Maximum pending write transactions</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="minimumReadLatency" type="int"> + <ipxact:name>minimumReadLatency</ipxact:name> + <ipxact:displayName>minimumReadLatency</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="minimumResponseLatency" type="int"> + <ipxact:name>minimumResponseLatency</ipxact:name> + <ipxact:displayName>Minimum response latency</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="minimumUninterruptedRunLength" type="int"> + <ipxact:name>minimumUninterruptedRunLength</ipxact:name> + <ipxact:displayName>Minimum uninterrupted run length</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="printableDevice" type="bit"> + <ipxact:name>printableDevice</ipxact:name> + <ipxact:displayName>Can receive stdout/stderr</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="readLatency" type="int"> + <ipxact:name>readLatency</ipxact:name> + <ipxact:displayName>Read latency</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="readWaitStates" type="int"> + <ipxact:name>readWaitStates</ipxact:name> + <ipxact:displayName>Read wait states</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="readWaitTime" type="int"> + <ipxact:name>readWaitTime</ipxact:name> + <ipxact:displayName>Read wait</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="registerIncomingSignals" type="bit"> + <ipxact:name>registerIncomingSignals</ipxact:name> + <ipxact:displayName>Register incoming signals</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="registerOutgoingSignals" type="bit"> + <ipxact:name>registerOutgoingSignals</ipxact:name> + <ipxact:displayName>Register outgoing signals</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="setupTime" type="int"> + <ipxact:name>setupTime</ipxact:name> + <ipxact:displayName>Setup</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="timingUnits" type="string"> + <ipxact:name>timingUnits</ipxact:name> + <ipxact:displayName>Timing units</ipxact:displayName> + <ipxact:value>Cycles</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="transparentBridge" type="bit"> + <ipxact:name>transparentBridge</ipxact:name> + <ipxact:displayName>Transparent bridge</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="waitrequestAllowance" type="int"> + <ipxact:name>waitrequestAllowance</ipxact:name> + <ipxact:displayName>Waitrequest allowance</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="wellBehavedWaitrequest" type="bit"> + <ipxact:name>wellBehavedWaitrequest</ipxact:name> + <ipxact:displayName>Well-behaved waitrequest</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="writeLatency" type="int"> + <ipxact:name>writeLatency</ipxact:name> + <ipxact:displayName>Write latency</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="writeWaitStates" type="int"> + <ipxact:name>writeWaitStates</ipxact:name> + <ipxact:displayName>Write wait states</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="writeWaitTime" type="int"> + <ipxact:name>writeWaitTime</ipxact:name> + <ipxact:displayName>Write wait</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> + <altera:altera_assignments> + <ipxact:parameters> + <ipxact:parameter parameterId="embeddedsw.configuration.isFlash" type="string"> + <ipxact:name>embeddedsw.configuration.isFlash</ipxact:name> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="embeddedsw.configuration.isMemoryDevice" type="string"> + <ipxact:name>embeddedsw.configuration.isMemoryDevice</ipxact:name> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="embeddedsw.configuration.isNonVolatileStorage" type="string"> + <ipxact:name>embeddedsw.configuration.isNonVolatileStorage</ipxact:name> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="embeddedsw.configuration.isPrintableDevice" type="string"> + <ipxact:name>embeddedsw.configuration.isPrintableDevice</ipxact:name> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_assignments> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>sample_store_irq</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="interrupt" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="interrupt" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>irq</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>sample_store_irq_irq</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedAddressablePoint" type="string"> + <ipxact:name>associatedAddressablePoint</ipxact:name> + <ipxact:displayName>Associated addressable interface</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>Associated clock</ipxact:displayName> + <ipxact:value>clock</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>Associated reset</ipxact:displayName> + <ipxact:value>reset_sink</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="bridgedReceiverOffset" type="longint"> + <ipxact:name>bridgedReceiverOffset</ipxact:name> + <ipxact:displayName>Bridged receiver offset</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="bridgesToReceiver" type="string"> + <ipxact:name>bridgesToReceiver</ipxact:name> + <ipxact:displayName>Bridges to receiver</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="irqScheme" type="string"> + <ipxact:name>irqScheme</ipxact:name> + <ipxact:displayName>Interrupt scheme</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </ipxact:busInterface> + </ipxact:busInterfaces> + <ipxact:model> + <ipxact:views> + <ipxact:view> + <ipxact:name>QUARTUS_SYNTH</ipxact:name> + <ipxact:envIdentifier>:quartus.altera.com:</ipxact:envIdentifier> + <ipxact:componentInstantiationRef>QUARTUS_SYNTH</ipxact:componentInstantiationRef> + </ipxact:view> + </ipxact:views> + <ipxact:instantiations> + <ipxact:componentInstantiation> + <ipxact:name>QUARTUS_SYNTH</ipxact:name> + <ipxact:moduleName>altera_voltage_sensor</ipxact:moduleName> + <ipxact:fileSetRef> + <ipxact:localName>QUARTUS_SYNTH</ipxact:localName> + </ipxact:fileSetRef> + </ipxact:componentInstantiation> + </ipxact:instantiations> + <ipxact:ports> + <ipxact:port> + <ipxact:name>clock_clk</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>reset_sink_reset</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>controller_csr_address</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>controller_csr_read</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>controller_csr_write</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>controller_csr_writedata</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>31</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>controller_csr_readdata</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>31</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>sample_store_csr_address</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>3</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>sample_store_csr_read</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>sample_store_csr_write</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>sample_store_csr_writedata</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>31</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>sample_store_csr_readdata</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:vectors> + <ipxact:vector> + <ipxact:left>0</ipxact:left> + <ipxact:right>31</ipxact:right> + </ipxact:vector> + </ipxact:vectors> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>sample_store_irq_irq</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + </ipxact:ports> + </ipxact:model> + <ipxact:vendorExtensions> + <altera:entity_info> + <ipxact:vendor>Intel Corporation</ipxact:vendor> + <ipxact:library>ip_arria10_e2sg_voltage_sense</ipxact:library> + <ipxact:name>altera_voltage_sensor</ipxact:name> + <ipxact:version>19.1.0</ipxact:version> + </altera:entity_info> + <altera:altera_module_parameters> + <ipxact:parameters> + <ipxact:parameter parameterId="CORE_VAR" type="int"> + <ipxact:name>CORE_VAR</ipxact:name> + <ipxact:displayName>Core Variant</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="MEM_TYPE" type="int"> + <ipxact:name>MEM_TYPE</ipxact:name> + <ipxact:displayName>Memory Type</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="AUTO_DEVICE_FAMILY" type="string"> + <ipxact:name>AUTO_DEVICE_FAMILY</ipxact:name> + <ipxact:displayName>Auto DEVICE_FAMILY</ipxact:displayName> + <ipxact:value>Arria 10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="AUTO_DEVICE" type="string"> + <ipxact:name>AUTO_DEVICE</ipxact:name> + <ipxact:displayName>Auto DEVICE</ipxact:displayName> + <ipxact:value>10AX115U3F45E2SG</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="AUTO_DEVICE_SPEEDGRADE" type="string"> + <ipxact:name>AUTO_DEVICE_SPEEDGRADE</ipxact:name> + <ipxact:displayName>Auto DEVICE_SPEEDGRADE</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_module_parameters> + <altera:altera_system_parameters> + <ipxact:parameters> + <ipxact:parameter parameterId="device" type="string"> + <ipxact:name>device</ipxact:name> + <ipxact:displayName>Device</ipxact:displayName> + <ipxact:value>10AX115U3F45E2SG</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="deviceFamily" type="string"> + <ipxact:name>deviceFamily</ipxact:name> + <ipxact:displayName>Device family</ipxact:displayName> + <ipxact:value>Arria 10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="deviceSpeedGrade" type="string"> + <ipxact:name>deviceSpeedGrade</ipxact:name> + <ipxact:displayName>Device Speed Grade</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="generationId" type="int"> + <ipxact:name>generationId</ipxact:name> + <ipxact:displayName>Generation Id</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="bonusData" type="string"> + <ipxact:name>bonusData</ipxact:name> + <ipxact:displayName>bonusData</ipxact:displayName> + <ipxact:value>bonusData +{ + element voltage_sensor_0 + { + datum _sortIndex + { + value = "0"; + type = "int"; + } + } +} +</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hideFromIPCatalog" type="bit"> + <ipxact:name>hideFromIPCatalog</ipxact:name> + <ipxact:displayName>Hide from IP Catalog</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="lockedInterfaceDefinition" type="string"> + <ipxact:name>lockedInterfaceDefinition</ipxact:name> + <ipxact:displayName>lockedInterfaceDefinition</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="systemInfos" type="string"> + <ipxact:name>systemInfos</ipxact:name> + <ipxact:displayName>systemInfos</ipxact:displayName> + <ipxact:value><systemInfosDefinition> + <connPtSystemInfos> + <entry> + <key>controller_csr</key> + <value> + <connectionPointName>controller_csr</connectionPointName> + <suppliedSystemInfos/> + <consumedSystemInfos> + <entry> + <key>ADDRESS_MAP</key> + <value>&lt;address-map&gt;&lt;slave name='controller_csr' start='0x0' end='0x8' datawidth='32' /&gt;&lt;/address-map&gt;</value> + </entry> + <entry> + <key>ADDRESS_WIDTH</key> + <value>3</value> + </entry> + <entry> + <key>MAX_SLAVE_DATA_WIDTH</key> + <value>32</value> + </entry> + </consumedSystemInfos> + </value> + </entry> + <entry> + <key>sample_store_csr</key> + <value> + <connectionPointName>sample_store_csr</connectionPointName> + <suppliedSystemInfos/> + <consumedSystemInfos> + <entry> + <key>ADDRESS_MAP</key> + <value>&lt;address-map&gt;&lt;slave name='sample_store_csr' start='0x0' end='0x40' datawidth='32' /&gt;&lt;/address-map&gt;</value> + </entry> + <entry> + <key>ADDRESS_WIDTH</key> + <value>6</value> + </entry> + <entry> + <key>MAX_SLAVE_DATA_WIDTH</key> + <value>32</value> + </entry> + </consumedSystemInfos> + </value> + </entry> + </connPtSystemInfos> +</systemInfosDefinition></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + </altera:altera_system_parameters> + <altera:altera_interface_boundary> + <altera:interface_mapping altera:name="clock" altera:internal="voltage_sensor_0.clock" altera:type="clock" altera:dir="end"> + <altera:port_mapping altera:name="clock_clk" altera:internal="clock_clk"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="controller_csr" altera:internal="voltage_sensor_0.controller_csr" altera:type="avalon" altera:dir="end"> + <altera:port_mapping altera:name="controller_csr_address" altera:internal="controller_csr_address"></altera:port_mapping> + <altera:port_mapping altera:name="controller_csr_read" altera:internal="controller_csr_read"></altera:port_mapping> + <altera:port_mapping altera:name="controller_csr_readdata" altera:internal="controller_csr_readdata"></altera:port_mapping> + <altera:port_mapping altera:name="controller_csr_write" altera:internal="controller_csr_write"></altera:port_mapping> + <altera:port_mapping altera:name="controller_csr_writedata" altera:internal="controller_csr_writedata"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="reset_sink" altera:internal="voltage_sensor_0.reset_sink" altera:type="reset" altera:dir="end"> + <altera:port_mapping altera:name="reset_sink_reset" altera:internal="reset_sink_reset"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="sample_store_csr" altera:internal="voltage_sensor_0.sample_store_csr" altera:type="avalon" altera:dir="end"> + <altera:port_mapping altera:name="sample_store_csr_address" altera:internal="sample_store_csr_address"></altera:port_mapping> + <altera:port_mapping altera:name="sample_store_csr_read" altera:internal="sample_store_csr_read"></altera:port_mapping> + <altera:port_mapping altera:name="sample_store_csr_readdata" altera:internal="sample_store_csr_readdata"></altera:port_mapping> + <altera:port_mapping altera:name="sample_store_csr_write" altera:internal="sample_store_csr_write"></altera:port_mapping> + <altera:port_mapping altera:name="sample_store_csr_writedata" altera:internal="sample_store_csr_writedata"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="sample_store_irq" altera:internal="voltage_sensor_0.sample_store_irq" altera:type="interrupt" altera:dir="end"> + <altera:port_mapping altera:name="sample_store_irq_irq" altera:internal="sample_store_irq_irq"></altera:port_mapping> + </altera:interface_mapping> + </altera:altera_interface_boundary> + <altera:altera_has_warnings>false</altera:altera_has_warnings> + <altera:altera_has_errors>false</altera:altera_has_errors> + </ipxact:vendorExtensions> +</ipxact:component> \ No newline at end of file diff --git a/libraries/technology/ip_arria10_e2sg/voltage_sense/ip_arria10_e2sg_voltage_sense.qsys b/libraries/technology/ip_arria10_e2sg/voltage_sense/ip_arria10_e2sg_voltage_sense.qsys deleted file mode 100644 index bb8535c562..0000000000 --- a/libraries/technology/ip_arria10_e2sg/voltage_sense/ip_arria10_e2sg_voltage_sense.qsys +++ /dev/null @@ -1,142 +0,0 @@ -<?xml version="1.0" encoding="UTF-8"?> -<system name="ip_arria10_e2sg_voltage_sense"> - <component - name="$${FILENAME}" - displayName="$${FILENAME}" - version="1.0" - description="" - tags="AUTHORSHIP=Intel Corporation /// INTERNAL_COMPONENT=true" - categories="System" - tool="QsysStandard" /> - <parameter name="bonusData"><![CDATA[bonusData -{ - element $system - { - } - element voltage_sensor_0 - { - datum _sortIndex - { - value = "0"; - type = "int"; - } - } -} -]]></parameter> - <parameter name="device" value="10AX115U3F45E2SG" /> - <parameter name="deviceFamily" value="Arria 10" /> - <parameter name="deviceSpeedGrade" value="2" /> - <parameter name="fabricMode" value="QSYS" /> - <parameter name="generateLegacySim" value="false" /> - <parameter name="generationId" value="0" /> - <parameter name="globalResetBus" value="false" /> - <parameter name="hdlLanguage" value="VERILOG" /> - <parameter name="hideFromIPCatalog" value="true" /> - <parameter name="lockedInterfaceDefinition" value="" /> - <parameter name="sopcBorderPoints" value="false" /> - <parameter name="systemHash" value="0" /> - <parameter name="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos> - <entry> - <key>controller_csr</key> - <value> - <connectionPointName>controller_csr</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='controller_csr' start='0x0' end='0x8' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>3</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>sample_store_csr</key> - <value> - <connectionPointName>sample_store_csr</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='sample_store_csr' start='0x0' end='0x40' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>6</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> -</systemInfosDefinition>]]></parameter> - <parameter name="systemScripts" value="" /> - <parameter name="testBenchDutName" value="" /> - <parameter name="timeStamp" value="0" /> - <parameter name="useTestBenchNamingPattern" value="false" /> - <instanceScript></instanceScript> - <interface name="clock" internal="voltage_sensor_0.clock" type="clock" dir="end"> - <port name="clock_clk" internal="clock_clk" /> - </interface> - <interface - name="controller_csr" - internal="voltage_sensor_0.controller_csr" - type="avalon" - dir="end"> - <port name="controller_csr_address" internal="controller_csr_address" /> - <port name="controller_csr_read" internal="controller_csr_read" /> - <port name="controller_csr_readdata" internal="controller_csr_readdata" /> - <port name="controller_csr_write" internal="controller_csr_write" /> - <port name="controller_csr_writedata" internal="controller_csr_writedata" /> - </interface> - <interface - name="reset_sink" - internal="voltage_sensor_0.reset_sink" - type="reset" - dir="end"> - <port name="reset_sink_reset" internal="reset_sink_reset" /> - </interface> - <interface - name="sample_store_csr" - internal="voltage_sensor_0.sample_store_csr" - type="avalon" - dir="end"> - <port name="sample_store_csr_address" internal="sample_store_csr_address" /> - <port name="sample_store_csr_read" internal="sample_store_csr_read" /> - <port name="sample_store_csr_readdata" internal="sample_store_csr_readdata" /> - <port name="sample_store_csr_write" internal="sample_store_csr_write" /> - <port - name="sample_store_csr_writedata" - internal="sample_store_csr_writedata" /> - </interface> - <interface - name="sample_store_irq" - internal="voltage_sensor_0.sample_store_irq" - type="interrupt" - dir="end"> - <port name="sample_store_irq_irq" internal="sample_store_irq_irq" /> - </interface> - <module - name="voltage_sensor_0" - kind="altera_voltage_sensor" - version="19.1.0" - enabled="1" - autoexport="1"> - <parameter name="AUTO_DEVICE" value="10AX115U3F45E2SG" /> - <parameter name="AUTO_DEVICE_FAMILY" value="Arria 10" /> - <parameter name="AUTO_DEVICE_SPEEDGRADE" value="2" /> - <parameter name="CORE_VAR" value="0" /> - <parameter name="MEM_TYPE" value="0" /> - </module> -</system> -- GitLab