diff --git a/applications/disturb2/designs/disturb2_unb2b_station/src/vhdl/mmm_disturb2_unb2b_station.vhd b/applications/disturb2/designs/disturb2_unb2b_station/src/vhdl/mmm_disturb2_unb2b_station.vhd index 1435a2739620a45b654a18de25a74702c508ae64..1bdbd9c02c046c897a1a459e8ef17019bb41bbf6 100644 --- a/applications/disturb2/designs/disturb2_unb2b_station/src/vhdl/mmm_disturb2_unb2b_station.vhd +++ b/applications/disturb2/designs/disturb2_unb2b_station/src/vhdl/mmm_disturb2_unb2b_station.vhd @@ -159,8 +159,8 @@ ENTITY mmm_disturb2_unb2b_station IS reg_dp_selector_cipo : IN t_mem_cipo; -- SDP Info - reg_disturb_info_copi : OUT t_mem_copi; - reg_disturb_info_cipo : IN t_mem_cipo; + reg_disturb_info_copi : OUT t_mem_copi; + reg_disturb_info_cipo : IN t_mem_cipo; -- RING Info reg_ring_info_copi : OUT t_mem_copi; @@ -842,13 +842,13 @@ BEGIN reg_dp_selector_read_export => reg_dp_selector_copi.rd, reg_dp_selector_readdata_export => reg_dp_selector_cipo.rddata(c_word_w-1 DOWNTO 0), - reg_disturb_info_clk_export => OPEN, - reg_disturb_info_reset_export => OPEN, - reg_disturb_info_address_export => reg_disturb_info_copi.address(c_disturb_reg_disturb_info_addr_w-1 DOWNTO 0), - reg_disturb_info_write_export => reg_disturb_info_copi.wr, - reg_disturb_info_writedata_export => reg_disturb_info_copi.wrdata(c_word_w-1 DOWNTO 0), - reg_disturb_info_read_export => reg_disturb_info_copi.rd, - reg_disturb_info_readdata_export => reg_disturb_info_cipo.rddata(c_word_w-1 DOWNTO 0), + reg_sdp_info_clk_export => OPEN, + reg_sdp_info_reset_export => OPEN, + reg_sdp_info_address_export => reg_disturb_info_copi.address(c_disturb_reg_disturb_info_addr_w-1 DOWNTO 0), + reg_sdp_info_write_export => reg_disturb_enfo_copi.wr, + reg_sdp_info_writedata_export => reg_disturb_info_copi.wrdata(c_word_w-1 DOWNTO 0), + reg_sdp_info_read_export => reg_disturb_info_copi.rd, + reg_sdp_info_readdata_export => reg_disturb_info_cipo.rddata(c_word_w-1 DOWNTO 0), reg_ring_info_clk_export => OPEN, reg_ring_info_reset_export => OPEN, diff --git a/applications/disturb2/designs/disturb2_unb2b_station/src/vhdl/qsys_disturb2_unb2b_station_pkg.vhd b/applications/disturb2/designs/disturb2_unb2b_station/src/vhdl/qsys_disturb2_unb2b_station_pkg.vhd index 436decdb39c3e075cc161af977e9867ab3c7a1da..2b910bc4fd2e671afc3a71d3e3ed1df96dcee0bf 100644 --- a/applications/disturb2/designs/disturb2_unb2b_station/src/vhdl/qsys_disturb2_unb2b_station_pkg.vhd +++ b/applications/disturb2/designs/disturb2_unb2b_station/src/vhdl/qsys_disturb2_unb2b_station_pkg.vhd @@ -462,13 +462,13 @@ PACKAGE qsys_disturb2_unb2b_station_pkg IS reg_ring_lane_info_xst_reset_export : out std_logic; -- export reg_ring_lane_info_xst_write_export : out std_logic; -- export reg_ring_lane_info_xst_writedata_export : out std_logic_vector(31 downto 0); -- export - reg_disturb_info_address_export : out std_logic_vector(3 downto 0); -- export - reg_disturb_info_clk_export : out std_logic; -- export - reg_disturb_info_read_export : out std_logic; -- export - reg_disturb_info_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export - reg_disturb_info_reset_export : out std_logic; -- export - reg_disturb_info_write_export : out std_logic; -- export - reg_disturb_info_writedata_export : out std_logic_vector(31 downto 0); -- export + reg_sdp_info_address_export : out std_logic_vector(3 downto 0); -- export + reg_sdp_info_clk_export : out std_logic; -- export + reg_sdp_info_read_export : out std_logic; -- export + reg_sdp_info_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export + reg_sdp_info_reset_export : out std_logic; -- export + reg_sdp_info_write_export : out std_logic; -- export + reg_sdp_info_writedata_export : out std_logic_vector(31 downto 0); -- export reg_si_address_export : out std_logic_vector(0 downto 0); -- export reg_si_clk_export : out std_logic; -- export reg_si_read_export : out std_logic; -- export