diff --git a/applications/compaan/libraries/bunny_static_split/src/vhdl/bunny_static_split.vhd b/applications/compaan/libraries/bunny_static_split/src/vhdl/bunny_static_split.vhd
index e1d0dcd37cdaf2de12a52807fd8da3446e012939..dcf84e23faa18038ddd60a00340332b3f0e0b284 100644
--- a/applications/compaan/libraries/bunny_static_split/src/vhdl/bunny_static_split.vhd
+++ b/applications/compaan/libraries/bunny_static_split/src/vhdl/bunny_static_split.vhd
@@ -447,10 +447,6 @@ component bunny_static_split2rtl_register_rf_ip_wrapper is
 		write_en : in std_logic;
 		write_data : in std_logic_vector(31 downto 0);
 		pci_clk : in std_logic;
-		commit_rf_read_data : in std_logic_vector(31 downto 0);
-		commit_rf_read_en : out std_logic;
-		commit_rf_write_en : out std_logic;
-		commit_rf_write_data : out std_logic_vector(31 downto 0);
 		brightness_rf_read_data : in std_logic_vector(31 downto 0);
 		brightness_rf_read_en : out std_logic;
 		brightness_rf_write_en : out std_logic;